JP6710130B2 - 基板処理装置 - Google Patents

基板処理装置 Download PDF

Info

Publication number
JP6710130B2
JP6710130B2 JP2016178929A JP2016178929A JP6710130B2 JP 6710130 B2 JP6710130 B2 JP 6710130B2 JP 2016178929 A JP2016178929 A JP 2016178929A JP 2016178929 A JP2016178929 A JP 2016178929A JP 6710130 B2 JP6710130 B2 JP 6710130B2
Authority
JP
Japan
Prior art keywords
opening
gas
movable wall
inner pipe
substrate processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2016178929A
Other languages
English (en)
Other versions
JP2018046114A (ja
Inventor
講平 福島
講平 福島
弘弥 似鳥
弘弥 似鳥
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2016178929A priority Critical patent/JP6710130B2/ja
Priority to US15/699,010 priority patent/US10475641B2/en
Priority to TW106130920A priority patent/TWI697955B/zh
Priority to KR1020170115990A priority patent/KR102174107B1/ko
Priority to CN202110135975.5A priority patent/CN112962084B/zh
Priority to CN201710820931.XA priority patent/CN107815667B/zh
Publication of JP2018046114A publication Critical patent/JP2018046114A/ja
Application granted granted Critical
Publication of JP6710130B2 publication Critical patent/JP6710130B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45589Movable means, e.g. fans
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Automation & Control Theory (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Description

本発明は、基板処理装置に関する。
基板保持具に複数枚の基板を多段に保持した状態で、複数枚の基板に対し成膜処理等を行うことが可能なバッチ式の基板処理装置が知られている。
バッチ式の基板処理装置としては、基板が収容される内管と、内管を取り囲む外管と、内管の側壁に設けられたガス排気口と、内管と外管とに挟まれる空間を排気する排気ユニットとを有する装置が知られている(例えば、特許文献1参照)。この装置では、ガス排気口の開口幅が排気ユニットに近づくにつれて徐々に狭くなるようにすることで、排気のバランスを調整し、基板の表面に供給されるガスの流速を基板間で均一化させている。
特許第5284182号公報
しかしながら、上記の技術では、ガス排気口の開口形状は基板処理装置ごとに決められるものであるため、プロセス条件や処理枚数の変化によっては、所望の面間均一性が得られない場合がある。これは、プロセス条件や処理枚数ごとに排気のバランスを調整することができないからである。
そこで、本発明の一態様では、面間均一性を制御することが可能な基板処理装置を提供することを目的とする。
上記目的を達成するため、本発明の一態様に係る基板処理装置は、複数枚の基板を収容可能に設けられ、第1の開口部を有する内管と、前記内管を取り囲む外管と、前記内管の内部又は前記内管と前記外管との間に移動可能に設けられ、第2の開口部を有する可動壁と、前記基板に処理ガスを供給するガス供給手段と、前記可動壁よりも外側の位置に設けられ、前記基板に供給される前記処理ガスを排気する排気手段と、を有し、前記第1の開口部は、矩形状を有し、前記第2の開口部は、矩形状を含まない平行四辺形状を有する。
開示の基板処理装置によれば、面間均一性を制御することができる。
第1実施形態に係る基板処理装置の概略図 図1の基板処理装置の処理容器を説明するための横断面図 図1の基板処理装置の内管の一例を説明するための斜視図 図1の基板処理装置の可動壁の一例を説明するための斜視図 共通開口部を説明するための図 図4の可動壁を用いた場合の第1の開口部と第2の開口部との位置関係を説明するための図 可動壁の回転機構の一例を説明するための縦断面図 第1実施形態に係る基板処理方法を説明するための図 第2実施形態に係る基板処理装置の概略図 図9の基板処理装置の内管の一例を説明するための斜視図 図9の基板処理装置の可動壁の一例を説明するための図 図11の可動壁を用いた場合の第1の開口部と第2の開口部との位置関係を説明するための図 第3実施形態に係る基板処理装置の可動壁の一例を説明するための図 図13の可動壁を用いた場合の第1の開口部と第2の開口部との位置関係を説明するための図 面間均一性を説明するための図
以下、本発明を実施するための形態について図面を参照して説明する。なお、本明細書及び図面において、実質的に同一の構成については、同一の符号を付することにより重複した説明を省く。
〔第1実施形態〕
(基板処理装置)
本発明の第1実施形態に係る基板処理装置について説明する。図1は、第1実施形態に係る基板処理装置の概略図である。図2は、図1の基板処理装置の処理容器を説明するための横断面図である。図3は、図1の基板処理装置の内管の一例を説明するための斜視図である。図4は、図1の基板処理装置の可動壁の一例を説明するための斜視図である。
図1に示されるように、基板処理装置1は、基板である半導体ウエハ(以下「ウエハW」という。)を収容する処理容器34と、処理容器34の下端の開口部側を気密に塞ぐ蓋部36と、複数枚のウエハWを所定の間隔で保持して処理容器34内へ挿脱される基板保持具38と、処理容器34内へ所定のガスを導入するガス供給手段40と、処理容器34内のガスを排気する排気手段41と、ウエハWを加熱する加熱手段42とを有している。
処理容器34は、下端部が開放された有天井の円筒形状の内管44と、下端部が開放されて内管44の外側を覆う有天井の円筒形状の外管46とを有する。内管44及び外管46は、石英等の耐熱性材料により形成されており、同軸状に配置されて二重管構造となっている。
内管44の天井部は、例えば平坦になっている。内管44の一側には、その長手方向(上下方向)に沿ってガスノズルを収容するノズル収容部48が形成されている。第1実施形態では、図2に示されるように、内管44の側壁の一部を外側へ向けて突出させて凸部50を形成し、凸部50内をノズル収容部48として形成している。
また、ノズル収容部48に対向させて内管44の反対側の側壁には、図3に示されるように、その長手方向(上下方向)に沿って幅L1の矩形状の第1の開口部52が形成されている。
第1の開口部52は、内管44内のガスを排気できるように形成されたガス排気口である。第1の開口部52の長さは、基板保持具38の長さと同じであるか、又は、基板保持具38の長さよりも長く上下方向へそれぞれ延びるようにして形成されている。即ち、第1の開口部52の上端は、基板保持具38の上端に対応する位置以上の高さに延びて位置され、第1の開口部52の下端は、基板保持具38の下端に対応する位置以下の高さに延びて位置されている。具体的には、図1に示されるように、基板保持具38の上端と第1の開口部52の上端との間の高さ方向の距離L2は0mm〜5mm程度の範囲内である。また、基板保持具38の下端と第1の開口部52の下端との間の高さ方向の距離L3は0mm〜350mm程度の範囲内である。また、第1の開口部52の幅L1は、10mm〜400mm程度の範囲内、好ましくは40mm〜200mm程度の範囲内である。また、第1の開口部52の4つの角部のうち2つの角部が面取りされている。
処理容器34の下端は、例えばステンレス鋼により形成される円筒形状のマニホールド54によって支持されている。マニホールド54の上端部にはフランジ部56が形成されており、フランジ部56上に外管46の下端部を設置して支持するようになっている。フランジ部56と外管46との下端部との間にはOリング等のシール部材58を介在させて外管46内を気密状態にしている。
マニホールド54の上部の内壁には、リング状の支持部60が設けられており、支持部60上に内管44の下端部を設置してこれを支持するようになっている。マニホールド54の下端の開口部には、蓋部36がOリング等のシール部材62を介して気密に取り付けられており、処理容器34の下端の開口部側、即ち、マニホールド54の開口部を気密に塞ぐようになっている。蓋部36は、例えばステンレス鋼により形成される。
蓋部36の中央部には、磁性流体シール部64を介して回転軸66が貫通させて設けられている。回転軸66の下部は、ボートエレベータよりなる昇降手段68のアーム68Aに回転自在に支持されており、モータ69(図7参照)によって回転されるようになっている。
回転軸66の上端には回転プレート70が設けられており、回転プレート70上に石英製の保温台72を介してウエハWを保持する基板保持具38が載置されるようになっている。従って、昇降手段68を昇降させることによって蓋部36と基板保持具38とは一体的に上下動し、基板保持具38を処理容器34内に対して挿脱できるようになっている。
ガス供給手段40は、マニホールド54に設けられており、内管44内へ処理ガス、パージガス等のガスを導入する。ガス供給手段40は、複数本(例えば3本)の石英製のガスノズル76、78、80を有している。各ガスノズル76、78、80は、内管44内にその長手方向に沿って設けられると共に、その基端部がL字状に屈曲されてマニホールド54を貫通するようにして支持されている。
ガスノズル76、78、80は、図2に示されるように、内管44のノズル収容部48内に周方向に沿って一列になるように設置されている。各ガスノズル76、78、80には、その長手方向に沿って所定の間隔で複数のガス孔76A、78A、80Aが形成されており、各ガス孔76A、78A、80Aより水平方向に向けて各ガスを放出できるようになっている。所定の間隔は、例えば基板保持具38に支持されるウエハWの間隔と同じになるように設定される。また、高さ方向の位置は、各ガス孔76A、78A、80Aが上下方向に隣り合うウエハW間の中間に位置するように設定されており、各ガスをウエハW間の空間部に効率的に供給できるようになっている。
ガスの種類としては、原料ガス、酸化ガス及びパージガスが用いられ、各ガスを流量制御しながら必要に応じて各ガスノズル76、78、80を介して供給できるようになっている。原料ガスとしてシリコン含有ガスを用い、酸化ガスとしてオゾン(O)ガスを用い、パージガスとして窒素(N)ガスを用い、原子層堆積(ALD:Atomic Layer Deposition)法によりシリコン酸化膜を形成できるようになっている。尚、用いるガスの種類は成膜する膜の種類に応じて適宜選択することができる。
また、マニホールド54の上部の側壁であって、支持部60の上方には、ガス出口82が形成されており、内管44と外管46との間の空間部84を介して第1の開口部52より排出される内管44内のガスを排気できるようになっている。ガス出口82には、排気手段41が設けられる。排気手段41は、ガス出口82に接続された排気通路86を有しており、排気通路86には、圧力調整弁88及び真空ポンプ90が順次介設されて、処理容器34内を真空引きできるようになっている。第1の開口部52の幅L1は10mm〜400mmの範囲内の大きさに設定されており、効率的に内管44内のガスを排気できるようになっている。
外管46の外周側には、外管46を覆うように円筒形状の加熱手段42が設けられており、ウエハWを加熱するようになっている。
また、内管44の内部には、内管44の内側壁に沿って可動壁100が設けられている。可動壁100は、図4に示されるように、半円筒形状を有し、その側壁には第2の開口部102が形成されている。
第2の開口部102は、内管44内のガスを排気できるように形成されたガス排気口である。第2の開口部102は、例えば図4に示されるように、第1の開口部52と異なる形状であり、平行四辺形状に形成されている。第2の開口部102の上端は、例えば図1に示されるように、第1の開口部52の上端に対応する位置の高さに伸びて位置されている。第2の開口部102の下端は、例えば図1に示されるように、第1の開口部52の下端に対応する位置の高さに伸びて位置されている。
可動壁100には、磁性流体シール部64を介して回転軸104が貫通させて設けられている。回転軸104は、モータ106(図7参照)によって回転軸66から独立して移動可能(回転可能)に構成されている。回転軸104を回転させて可動壁100を回転させることにより、第1の開口部52に対する第2の開口部102の位置を変化させることができる。これにより、第1の開口部52と第2の開口部102とが重なる領域(以下「共通開口部CA」という。)の形状を変化させることができる。その結果、内管44内のガスの排気のバランスを調整し、ウエハWの表面に供給されるガスの流速を制御することができる。
図1に戻って、このように形成された基板処理装置1の全体の動作は、例えばコンピュータ等よりなる制御手段110により制御されるようになっており、この動作を行うコンピュータのプログラムは、記憶媒体112に記憶されている。記憶媒体112は、例えばフレキシブルディスク、コンパクトディスク、ハードディスク、フラッシュメモリ、DVD等よりなる。
図5は、共通開口部を説明するための図である。図5(a)は、第2の開口部の一部が第1の開口部と重なっている場合の共通開口部を説明するための図である。図5(b)は、図5(a)における「TOP」で示される位置における処理容器の横断面図である。図5(c)は、図5(a)における「CTR」で示される位置における処理容器の横断面図である。図5(d)は、図5(a)における「BTM」で示される位置における処理容器の横断面図である。なお、「TOP」は処理容器の上方側の位置を示し、「CTR」は処理容器の中央部の位置を示し、「BTM」は処理容器の下方側の位置を示している。
図5(a)に示されるように、第2の開口部102の一部が第1の開口部52に重なるように可動壁100が位置している場合、共通開口部CAの開口幅は、TOP側からBTM側に向かって狭くなる。
具体的には、「TOP」の位置では、図5(b)に示されるように、第2の開口部102が第1の開口部52と完全に重なっている。このため、共通開口部CAの開口幅は、第1の開口部52の幅となる。また、「CTR」の位置では、図5(c)に示されるように、第2の開口部102の一部が第1の開口部52と重なっている。このため、共通開口部CAの開口幅は、第1の開口部52と第2の開口部102とが重なっている部分の幅となり、「TOP」の位置における共通開口部CAの開口幅よりも狭くなっている。また、「BTM」の位置では、図5(d)に示されるように、第2の開口部102のごく一部が第1の開口部52と重なっている。このため、共通開口部CAの開口幅は、第1の開口部52と第2の開口部102とが重なっている部分の幅となり、「CTR」の位置における共通開口部CAの開口幅よりも狭くなっている。このように、共通開口部CAの開口幅は、TOP側からTOM側に向かって狭くなっている。
図6は、図4の可動壁を用いた場合の第1の開口部と第2の開口部との位置関係を説明するための図である。図6(a)から図6(f)は、可動壁100を移動(回転)させることによって、第1の開口部52に対する第2の開口部102の位置を変化させたときの共通開口部CAの形状の変化を示している。
図6(a)から図6(f)に示されるように、可動壁100を回転させることによって、共通開口部CAの形状を変化させることができる。
図6(a)では、第1の開口部52と第2の開口部102とが全く重なっておらず、共通開口部CAの開口面積は0である。これにより、内管44内のガスは排気されない、又は、ほとんど排気されない。
図6(b)では、第1の開口部52の上方側において、第1の開口部52と第2の開口部102とが重なっている。これに対し、第1の開口部52の下方側では、第1の開口部52と第2の開口部102とが重なっていない。これにより、内管44内のガスは、第1の開口部52の下方側から排気されず、第1の開口部52の上方側から選択的に排気される。
図6(c)では、第1の開口部52の上方側及び下方側において、第1の開口部52と第2の開口部102とが重なっており、その重なっている幅は、第1の開口部52の下方側よりも上方側のほうが広い。これにより、内管44内のガスは、第1の開口部52の下方側よりも第1の開口部52の上方側から排気されやすくなる。
図6(d)では、第2の開口部102が第1の開口部52に完全に重なっている。これにより、内管44内のガスは、第1の開口部52の全体から排気される。
図6(e)では、第1の開口部52の上方側及び下方側において、第1の開口部52と第2の開口部102とが重なっており、その重なっている幅は、第1の開口部52の上方側よりも下方側のほうが広い。これにより、内管44内のガスは、第1の開口部52の上方側よりも第1の開口部52の下方側から排気されやすくなる。
図6(f)では、第1の開口部52の下方側において、第1の開口部52と第2の開口部102とが重なっている。これに対し、第1の開口部52の上方側では、第1の開口部52と第2の開口部102とが重なっていない。これにより、内管44内のガスは、第1の開口部52の上方側から排気されず、第1の開口部52の下方側から選択的に排気される。
このように、可動壁100を回転させることによって、共通開口部CAの形状を変化させることができる。これにより、プロセス条件や処理枚数の変化に応じて可動壁100の位置を移動させることで、プロセス条件や処理枚数に応じて排気のバランスを調整することができる。その結果、所望の面間均一性を得ることができる。
図7は、可動壁の回転機構の一例を説明するための縦断面図である。
図7に示されるように、回転プレート70及び可動壁100は、蓋部36に設置された2重軸の磁性流体シール部64によって独立して回転可能に構成されている。具体的には、回転プレート70は、回転軸66を介してモータ69と接続されており、モータ69によって回転軸66の回転速度及び回転角度が調整されることにより、所定の回転速度で所定の回転角度だけ回転する。可動壁100は、回転軸104を介してモータ106と接続されており、モータ106によって回転軸104の回転角度及び回転速度が調整されることにより、所定の回転速度で所定の回転角度だけ回転する。
また、回転軸66と回転軸104との隙間、及び、回転軸66と蓋部36との隙間には、パージガスノズル108が設けられており、Nガス等のパージガスが供給可能となっている。これにより、処理容器34内で基板処理を行うことにより生成される物質が磁性流体シールに付着することを防止することができる。
(基板処理方法)
上述の基板処理装置1を使用した基板処理方法の一例について、図8に基づき説明する。図8は、第1実施形態に係る基板処理方法を説明するための図である。
第1実施形態においては、基板処理装置1を用いてALD法によりウエハWの表面にシリコン酸化膜を成膜する場合を例に挙げて説明する。この場合、原料ガスであるシリコン含有ガスと、酸化ガスであるOガスとを交互に供給することで、ウエハWの表面にシリコン酸化膜を形成する。また、シリコン含有ガスとOガスとを切り替える際、パージガスであるNガスを供給して、処理容器34内をパージする。
まず、例えば50枚〜150枚のウエハWが載置された状態の基板保持具38を、予め所定の温度に設定された処理容器34内に、その下方より上昇させてロードする。続いて、蓋部36によりマニホールド54の下端の開口部を閉じることにより、処理容器34内を密閉する。
次に、処理容器34内を真空引きして所定のプロセス圧力に維持すると共に、加熱手段42への供給電力を増大させることにより、ウエハWの温度を上昇させてプロセス温度に維持する。
次に、ガスノズル76から処理容器34内にシリコン含有ガスを供給し、ウエハWの表面にシリコン含有ガスを吸着させる(ステップS1)。このとき、例えば共通開口部CAの開口面積が0となるように、即ち、第1の開口部52と第2の開口部102とが重ならないように、可動壁100を移動させる。このため、内管44内のガスは排気されない、又は、ほとんど排気されない。これにより、ガスノズル76から供給されるシリコン含有ガスの流速が小さくなり、シリコン含有ガスの活性度を高めることができる。
次に、ガスノズル76からのシリコン含有ガスの供給を停止し、ガスノズル80から処理容器34内にNガスを供給し、処理容器34をパージする(ステップS2)。このとき、例えば共通開口部CAの開口面積が最大となるように、即ち、第1の開口部52及び第2の開口部102の重なる領域が最大となるように、可動壁100を移動させる。これにより、パージ効率を高めることができ、処理容器34内をパージするのに必要な時間を短縮することができる。
次に、ガスノズル80からのNガスの供給を停止し、ガスノズル78から処理容器34内にOガスを供給し、ウエハWの表面に吸着したシリコン含有ガスを酸化させる(ステップS3)。このとき、例えば第1の開口部52の下方側よりも第1の開口部52の上方側において、第1の開口部52と第2の開口部102とが重なっている領域が大きくなるように、可動壁100を移動させる。これにより、内管44内のガスは、ガス出口82から近い位置である第1の開口部52の下方側よりもガス出口82から遠い位置である第1の開口部52の上方側から排気されやすくなる。このため、ウエハWの表面に供給されるガスの流速をウエハW間で均一化させることができる。
次に、ガスノズル78からのOガスの供給を停止し、ガスノズル80から処理容器34内にNガスを供給し、処理容器34をパージする(ステップS4)。このとき、例えば共通開口部CAの開口面積が最大となるように、即ち、第1の開口部52及び第2の開口部102の重なる領域が最大となるように、可動壁100を移動させる。これにより、パージ効率を高めることができ、処理容器34内をパージするのに必要な時間を短縮することができる。
以上のステップS1からステップS4を所定の回数繰り返すことにより、ウエハWの表面に所望の膜厚を有するシリコン酸化膜を形成することができる。
第1実施形態に係る基板処理方法では、プロセス条件に応じて可動壁100を移動させることによって、内管44内のガスの排気のバランスを調整し、ウエハWの表面に供給されるガスの流速を制御することができる。その結果、所望の面間均一性を得ることができる。
なお、第1実施形態に係る基板処理方法では、処理容器34に供給するガスを切り替えるごとに可動壁100を移動させる場合を例に挙げて説明したが、可動壁100を移動させるタイミングはこれに限定されるものではない。
〔第2実施形態〕
本発明の第2実施形態に係る基板処理装置について説明する。図9は、第2実施形態に係る基板処理装置の概略図である。図10は、図9の基板処理装置の内管の一例を説明するための斜視図である。図11は、図9の基板処理装置の可動壁の一例を説明するための図である。
第2実施形態に係る基板処理装置1Aは、前述した第1実施形態に係る基板処理装置1とは異なる内管44Aと、可動壁100Aとを有する。なお、その他の点については、第1実施形態に係る基板処理装置1と同様の構成を有しているので、第1実施形態に係る基板処理装置1と同様の構成については説明を省略し、異なる構成について説明する。
図10に示されるように、内管44Aには、幅L11、長さL12の矩形状の開口部が、内管44Aの長手方向(上下方向)に沿って第1の間隔L13をおいて複数設けられることにより、第1の開口部52Aが形成されている。
第1の開口部52Aは、内管44内のガスを排気できるように形成されたガス排気口である。第1の開口部52Aのうち最も上方に位置する矩形状の開口部の上端は、基板保持具38の上端に対応する位置以上の高さに延びて位置されている。また、第1の開口部52Aのうち最も下方に位置する矩形状の開口部の下端は、基板保持具38の下端に対応する位置以下の高さに延びて位置されている。具体的には、図9に示されるように、基板保持具38の上端と第1の開口部52Aのうち最も上方に位置する矩形状の開口部の上端との間の高さ方向の距離L2は0mm〜5mm程度の範囲内である。また、基板保持具38の下端と第1の開口部52Aのうち最も下方に位置する矩形状の開口部の下端との間の高さ方向の距離L3は0mm〜350mm程度の範囲内である。
また、内管44Aの内部には、内管44Aの内側壁に沿って可動壁100Aが設けられている。可動壁100Aは、図11に示されるように、円筒形状を有し、その側壁には第2の開口部102Aが形成されている。
第2の開口部102Aは、内管44A内のガスを排気できるように形成されたガス排気口である。第2の開口部102Aは、例えば図11に示されるように、幅L21、長さL22の矩形状の開口部が、内管44Aの長手方向(上下方向)に沿って第2の間隔L23をおいて複数設けられることにより形成されている。第2の開口部102Aは、第2の間隔L23が、第1の間隔L13よりも小さくなるように形成されている。
可動壁100Aには、図示しない昇降機構が接続されており、可動壁100Aは昇降機構により昇降可能(移動可能)に構成されている。昇降機構を動作させて可動壁100Aを昇降させることにより、第1の開口部52に対する第2の開口部102の位置を変化させることができる。即ち、共通開口部CAの位置を変化させることができる。これにより、内管44内のガスの排気のバランスを調整し、ウエハWの表面に供給されるガスの流速を制御することができる。
図12は、図11の可動壁を用いた場合の第1の開口部と第2の開口部との位置関係を説明するための図である。図12(a)及び図12(b)は、可動壁100Aを移動(昇降)させることによって、第1の開口部52Aに対する第2の開口部102Aの位置を変化させたときの共通開口部CAの位置の変化を示している。
図12(a)及び図12(b)に示されるように、可動壁100Aを昇降させることによって、共通開口部CAの位置を変化させることができる。
図12(a)に示されるように、第1の開口部52Aのうち最も上方に位置する矩形状の開口部の上端と第2の開口部102Aのうち最も上方に位置する矩形状の開口部の上端とが一致するように可動壁100Aを上方向に移動させると、第2の間隔L23が第1の間隔L13よりも小さいので、第1の開口部52Aの上方側では、第1の開口部52Aと第2の開口部102Aとが重なる面積が大きく、第1の開口部52Aの下方側では、第1の開口部52Aと第2の開口部102Aとが重なる面積が小さくなる。これにより、内管44A内のガスは、第1の開口部52Aの下方側よりも第1の開口部52Aの上方側から排気されやすくなる。
図12(b)に示されるように、第1の開口部52Aのうち最も下方に位置する矩形状の開口部の下端と第2の開口部102Aのうち最も下方に位置する矩形状の開口部の下端とが一致するように可動壁100Aを下方向に移動させると、第2の間隔L23が第1の間隔L13よりも小さいので、第1の開口部52Aの下方側では、第1の開口部52Aと第2の開口部102Aとが重なる面積が大きく、第1の開口部52Aの上方側では、第1の開口部52Aと第2の開口部102Aとが重なる面積が小さくなる。これにより、内管44A内のガスは、第1の開口部52Aの上方側よりも第1の開口部52Aの下方側から排気されやすくなる。
このように、可動壁100Aを昇降させることによって、共通開口部CAの位置を変化させることができる。これにより、プロセス条件や処理枚数の変化に応じて可動壁100Aの位置を移動させることで、プロセス条件や処理枚数に応じて排気のバランスを調整することができる。その結果、所望の面間均一性を得ることができる。
〔第3実施形態〕
本発明の第3実施形態に係る基板処理装置について説明する。図13は、第3実施形態に係る基板処理装置の可動壁の一例を説明するための図である。
第3実施形態に係る基板処理装置は、前述した第1実施形態に係る基板処理装置1とは異なる可動壁100Bとを有する。なお、その他の点については、第1実施形態に係る基板処理装置1と同様の構成を有しているので、第1実施形態に係る基板処理装置1と同様の構成については説明を省略し、異なる構成について説明する。
可動壁100Bは、図13に示されるように、半円筒形状を有し、第2の開口部102B1、102B2が形成されている。
第2の開口部102B1、102B2は、内管44内のガスを排気できるように形成されたガス排気口である。第2の開口部102B1、102B2は、第1の開口部52と異なる形状に形成されている。また、第2の開口部102B1、102B2は、互いに異なる形状を有し、例えば平行でない2辺のなす角度が異なる平行四辺形状に形成されている。図13では、第2の開口部102B1の形状が前述の第2の開口部102と同様の形状である場合を示している。なお、第2の開口部102B1、102B2の形状は、これに限定されるものではなく、種々の形状とすることができる。
第1の開口部52と第2の開口部102B1、102B2との位置関係について説明する。
第1の開口部52と第2の開口部102B1との位置関係については、第1実施形態と同様であるので説明を省略する。
図14は、図13の可動壁100Bを用いた場合の第1の開口部52と第2の開口部102B2との位置関係を説明するための図である。図14(a)から図14(f)は、可動壁100Bを移動(回転)させることによって、第1の開口部52に対する第2の開口部102B2の位置を変化させたときの共通開口部CAの形状の変化を示している。
図14(a)から図14(f)に示されるように、可動壁100Bを回転させることによって、共通開口部CAの形状を変化させることができる。
図14(a)では、第1の開口部52と第2の開口部102B2とが全く重なっておらず、共通開口部CAの開口面積は0である。これにより、内管44内のガスは排気されない、又は、ほとんど排気されない。
図14(b)では、第1の開口部52の上方側において、第1の開口部52と第2の開口部102B2とが重なっている。これに対し、第1の開口部52の下方側では、第1の開口部52と第2の開口部102B2とが重なっていない。これにより、内管44内のガスは、第1の開口部52の下方側から排気されず、第1の開口部52の上方側から選択的に排気される。
図14(c)では、第1の開口部52の上方側及び下方側において、第1の開口部52と第2の開口部102B2とが重なっており、その重なっている幅は、第1の開口部52の下方側よりも上方側のほうが広い。また、第1の開口部52の上方側から中央部までの高さでは、第1の開口部52と第2の開口部102B2とが完全に重なっている。即ち、第1の開口部52の中央部よりも上方側では共通開口部CAがI型の形状となっており、第1の開口部52の中央部よりも下方側では共通開口部CAがV型の形状となっている。これにより、内管44内のガスは、第1の開口部52の下方側よりも第1の開口部52の上方側から排気されやすくなる。
図14(d)では、第2の開口部102B2が第1の開口部52にほぼ完全に重なっている。これにより、内管44内のガスは、第1の開口部52の全体から排気される。
図14(e)では、第1の開口部52の上方側及び下方側において、第1の開口部52と第2の開口部102B2とが重なっており、その重なっている幅は、第1の開口部52の上方側よりも下方側のほうが広い。また、第1の開口部52の下方側から中央部までの高さでは、第1の開口部52と第2の開口部102B2とが完全に重なっている。即ち、第1の開口部52の中央部よりも上方側では共通開口部CAがV型の形状となっており、第1の開口部52の中央部よりも下方側では共通開口部CAがI型の形状となっている。これにより、内管44内のガスは、第1の開口部52の上方側よりも第1の開口部52の下方側から排気されやすくなる。
図14(f)では、第1の開口部52の下方側において、第1の開口部52と第2の開口部102B2とが重なっている。これに対し、第1の開口部52の上方側では、第1の開口部52と第2の開口部102B2とが重なっていない。これにより、内管44内のガスは、第1の開口部52の上方側から排気されず、第1の開口部52の下方側から選択的に排気される。
このように、第3実施形態に係る基板処理装置では、可動壁100Bを回転させることによって、第2の開口部102B1又は第2の開口部102B2の一方が第1の開口部52と重なるようにすることができる。これにより、共通開口部CAの形状のバリエーションを増やすことができる。このため、第1実施形態よりも排気のバランスをより細かく調整することができる。その結果、面間均一性をより高い精度で制御することができる。
なお、第3実施形態では、内管44に第1の開口部52が1つ形成されている場合を例に挙げて説明したが、第1の開口部52が複数形成されていてもよい。この場合、複数の第1の開口部52の各々の形状は、互いに異なるものであることが好ましい。これにより、第1の開口部52と第2の開口部102B1、102B2との重ね合わせによって形成される共通開口部CAの形状のバリエーションを更に増やすことができる。この場合、第2の開口部は1つであってもよく、複数であってもよい。
(実施例)
共通開口部CAの形状を変化させたときの、ウエハWの表面に形成される膜の膜厚の面間均一性について説明する。図15は、面間均一性を説明するための図である。図15(a)は、第1の開口部の形状を説明するための図である。図15(b)は、ウエハWの位置とウエハWに形成されたシリコン酸化膜の膜厚との関係を示している。図15(b)において、横軸はウエハWの位置を示し、縦軸はウエハWの表面に形成されたシリコン酸化膜の膜厚(nm)を示している。また、図15(b)中、「TOP」は処理容器の上方側の位置を示し、「CTR」は処理容器の中央部の位置を示し、「BTM」は処理容器の下方側の位置を示している。また、図15中、「T−C」は「TOP」と「CTR」との間の位置を示し、「C−B」は「CTR」と「BTM」との間の位置を示している。
図15では、一例として、原料ガスとしてヘキサジクロロシラン(HCD)ガス、酸化ガスとしてOガスを使用してシリコン酸化膜を形成した場合を例に挙げて説明する。なお、実施例では、第1の開口部52の形状を変更することによって、共通開口部CAの形状を変化させたときの状態を疑似的に形成した。具体的には、第1の開口部52の形状をV型(図15(a)中の左側に示す。)、又はI型(図15(a)中の右側に示す。)に変更した。
図15(b)に示されるように、第1の開口部52の形状がV型の場合とI型の場合とでは、ウエハWに形成されるシリコン酸化膜の膜厚の面間均一性が大きく異なることが分かる。具体的には、第1の開口部52の形状がV型である場合、「BTM」の位置におけるウエハWに形成されるシリコン酸化膜の膜厚が薄くなっている。これは、BTM側に供給されるHCDガスが内管44内において第1の開口部52の開口面積が大きいTOP側に流れるためであると考えられる。これに対し、第1の開口部52の形状がI型の場合、「BTM」の位置におけるウエハWに形成されるシリコン酸化膜の膜厚が、第1の開口部52の形状がV型の場合よりも厚くなり、面間均一性が向上している。これは、第1の開口部52の形状がV型の場合と比較して、「TOP」の位置における開口幅が狭いので、BTM側に供給されるHCDガスが内管44内においてTOP側に流れなくなるためであると考えられる。
このように、第1の開口部52の形状を変化させることにより、ウエハWの表面に形成される膜の膜厚の面間均一性を制御することができることから、共通開口部CAの形状を変化させることにより、ウエハWの表面に形成される膜の膜厚の面間均一性を制御することができると考えられる。
以上、本発明を実施するための形態について説明したが、上記内容は、発明の内容を限定するものではなく、本発明の範囲内で種々の変形及び改良が可能である。
上記の実施形態では、可動壁100が内管44の内側壁に沿って設けられている形態について説明したが、これに限定されるものではなく、例えば内管44と外管46との間に設けられていてもよい。この場合には、内管44の外側壁に沿って可動壁100を設けるようにする。
また、上記の実施形態では、シリコン酸化膜を成膜する場合を例に挙げて説明したが、成膜すべき膜種に関係なく本発明を適用することができる。また、上記の実施形態では、ALD法を用いる場合を例に挙げて説明したが、これに限定されるものではなく、例えばCVD法を用いる場合にも本発明を適用することができる。
また、上記の実施形態では、プラズマを用いない成膜処理について説明したが、これに限定されるものではなく、プラズマを用いた成膜処理を行う場合にも本発明を適用することができる。この場合には、例えばノズル収容部48を区画する凸部50の区画壁の外側に、その長手方向に沿ってプラズマ発生用の高周波電力を印加する電力板を設けてプラズマを発生させるようにする。
また、上記の実施形態では、基板として半導体ウエハを例に挙げて説明したが、半導体ウエハにはシリコン基板やGaAs、SiC、GaN等の化合物半導体基板も含まれる。さらに、これらの基板に限定されず、液晶表示装置に用いるガラス基板やセラミック基板等にも本発明を適用することができる。
1 基板処理装置
41 排気手段
44 内管
46 外管
52 第1の開口部
76 ガスノズル
78 ガスノズル
80 ガスノズル
100 可動壁
102 第2の開口部
104 回転軸
106 モータ
110 制御手段
W ウエハ

Claims (10)

  1. 複数枚の基板を収容可能に設けられ、第1の開口部を有する内管と、
    前記内管を取り囲む外管と、
    前記内管の内部又は前記内管と前記外管との間に移動可能に設けられ、第2の開口部を有する可動壁と、
    前記基板に処理ガスを供給するガス供給手段と、
    前記可動壁よりも外側の位置に設けられ、前記基板に供給される前記処理ガスを排気する排気手段と、
    を有
    前記第1の開口部は、矩形状を有し、
    前記第2の開口部は、矩形状を含まない平行四辺形状を有する、
    基板処理装置。
  2. 前記可動壁を前記内管の周方向に沿って移動させる駆動機構と
    前記第1の開口部及び前記第2の開口部が重なることで形成される開口部の形状を変化させるように前記駆動機構の動作を制御する制御手段と
    を更に有する、
    請求項に記載の基板処理装置。
  3. 複数枚の基板を収容可能に設けられ、第1の開口部を有する内管と、
    前記内管を取り囲む外管と、
    前記内管の内部又は前記内管と前記外管との間に移動可能に設けられ、第2の開口部を有する可動壁と、
    前記基板に処理ガスを供給するガス供給手段と、
    前記可動壁よりも外側の位置に設けられ、前記基板に供給される前記処理ガスを排気する排気手段と、
    を有
    前記第1の開口部は、前記内管の長手方向に沿って第1の間隔をおいて設けられる複数の開口部を有し、
    前記第2の開口部は、前記内管の長手方向に沿って第2の間隔をおいて設けられる複数の開口部を有し、
    前記第1の間隔と前記第2の間隔とが異なる、
    基板処理装置。
  4. 前記可動壁を前記内管の上下方向に沿って移動させる駆動機構と
    前記第1の開口部及び前記第2の開口部が重なることで形成される開口部の形状を変化させるように前記駆動機構の動作を制御する制御手段と
    を更に有する、
    請求項に記載の基板処理装置。
  5. 前記排気手段は、前記第1の開口部及び前記第2の開口部を介して前記基板に供給される前記処理ガスを排気する、
    請求項1乃至4のいずれか一項に記載の基板処理装置。
  6. 前記可動壁は、前記内管の側壁に沿って設けられている、
    請求項1乃至5のいずれか一項に記載の基板処理装置。
  7. 前記可動壁は、半円筒形状を有する、
    請求項に記載の基板処理装置。
  8. 前記可動壁は、円筒形状を有する、
    請求項に記載の基板処理装置。
  9. 前記第2の開口部は、前記第1の開口部とは異なる形状を有する、
    請求項1乃至のいずれか一項に記載の基板処理装置。
  10. 複数枚の基板を収容可能に設けられ、第1の開口部を有する内管と、
    前記内管を取り囲む外管と、
    前記内管の内部又は前記内管と前記外管との間に移動可能に設けられ、第2の開口部を有する可動壁と、
    前記基板に処理ガスを供給するガス供給手段と、
    前記可動壁よりも外側の位置に設けられ、前記基板に供給される前記処理ガスを排気する排気手段と、
    を有
    前記可動壁は、半円筒形状を有し、前記内管の側壁に沿って設けられている、
    基板処理装置。
JP2016178929A 2016-09-13 2016-09-13 基板処理装置 Active JP6710130B2 (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP2016178929A JP6710130B2 (ja) 2016-09-13 2016-09-13 基板処理装置
US15/699,010 US10475641B2 (en) 2016-09-13 2017-09-08 Substrate processing apparatus
TW106130920A TWI697955B (zh) 2016-09-13 2017-09-11 基板處理裝置
KR1020170115990A KR102174107B1 (ko) 2016-09-13 2017-09-11 기판 처리 장치
CN202110135975.5A CN112962084B (zh) 2016-09-13 2017-09-13 基板处理装置
CN201710820931.XA CN107815667B (zh) 2016-09-13 2017-09-13 基板处理装置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2016178929A JP6710130B2 (ja) 2016-09-13 2016-09-13 基板処理装置

Publications (2)

Publication Number Publication Date
JP2018046114A JP2018046114A (ja) 2018-03-22
JP6710130B2 true JP6710130B2 (ja) 2020-06-17

Family

ID=61560304

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016178929A Active JP6710130B2 (ja) 2016-09-13 2016-09-13 基板処理装置

Country Status (5)

Country Link
US (1) US10475641B2 (ja)
JP (1) JP6710130B2 (ja)
KR (1) KR102174107B1 (ja)
CN (2) CN112962084B (ja)
TW (1) TWI697955B (ja)

Families Citing this family (305)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
CA2976081A1 (en) * 2016-08-10 2018-02-10 Pat Technology Systems Inc. Fume extraction apparatus with movable extraction aperture
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
TWI616555B (zh) * 2017-01-17 2018-03-01 漢民科技股份有限公司 應用於半導體設備之噴氣裝置
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
WO2019038974A1 (ja) * 2017-08-25 2019-02-28 株式会社Kokusai Electric 基板処理装置、反応管、基板処理方法、および、半導体装置の製造方法
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP6820816B2 (ja) * 2017-09-26 2021-01-27 株式会社Kokusai Electric 基板処理装置、反応管、半導体装置の製造方法、及びプログラム
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) * 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) * 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
JP7126425B2 (ja) * 2018-10-16 2022-08-26 東京エレクトロン株式会社 基板処理装置、基板の搬入方法及び基板処理方法
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
JP2022047594A (ja) 2020-09-14 2022-03-25 キオクシア株式会社 基板処理装置および半導体装置の製造方法
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220050047A (ko) 2020-10-15 2022-04-22 에이에스엠 아이피 홀딩 비.브이. 예측 유지보수 방법 및 예측 유지보수 장치
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2841583B2 (ja) * 1989-11-28 1998-12-24 富士通株式会社 気相成長装置
JPH06275533A (ja) * 1993-03-18 1994-09-30 Fujitsu Ltd 縦型cvd装置
JPH09330884A (ja) * 1996-06-07 1997-12-22 Sony Corp エピタキシャル成長装置
KR100998011B1 (ko) * 2008-05-22 2010-12-03 삼성엘이디 주식회사 화학기상 증착장치
JP5284182B2 (ja) 2008-07-23 2013-09-11 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
JP5043776B2 (ja) * 2008-08-08 2012-10-10 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
JP2010258265A (ja) * 2009-04-27 2010-11-11 Koyo Thermo System Kk 熱処理装置
JP5545061B2 (ja) * 2010-06-18 2014-07-09 東京エレクトロン株式会社 処理装置及び成膜方法
KR101879175B1 (ko) * 2011-10-20 2018-08-20 삼성전자주식회사 화학 기상 증착 장치
CN103094159B (zh) * 2011-10-31 2016-02-24 细美事有限公司 基板处理设备及基板处理方法
JP6270575B2 (ja) * 2014-03-24 2018-01-31 株式会社日立国際電気 反応管、基板処理装置及び半導体装置の製造方法
JP6275533B2 (ja) * 2014-04-11 2018-02-07 株式会社フルヤ金属 ガラス溶解用スターラー及びガラス溶解炉
JP6438320B2 (ja) * 2014-06-19 2018-12-12 東京エレクトロン株式会社 プラズマ処理装置

Also Published As

Publication number Publication date
KR20180029915A (ko) 2018-03-21
CN112962084A (zh) 2021-06-15
US10475641B2 (en) 2019-11-12
CN107815667A (zh) 2018-03-20
TWI697955B (zh) 2020-07-01
KR102174107B1 (ko) 2020-11-04
US20180076021A1 (en) 2018-03-15
JP2018046114A (ja) 2018-03-22
CN112962084B (zh) 2023-06-02
CN107815667B (zh) 2021-03-16
TW201820470A (zh) 2018-06-01

Similar Documents

Publication Publication Date Title
JP6710130B2 (ja) 基板処理装置
JP5589878B2 (ja) 成膜装置
KR102614522B1 (ko) 공간 분리를 갖는 단일 웨이퍼 프로세싱 환경들
JP5093078B2 (ja) 成膜装置
JP6478847B2 (ja) 基板処理装置
JP2010073823A (ja) 成膜装置、成膜方法、及びコンピュータ可読記憶媒体
KR102170612B1 (ko) 클리닝 방법
JP2010126797A (ja) 成膜装置、半導体製造装置、これらに用いられるサセプタ、プログラム、およびコンピュータ可読記憶媒体
JP2012222024A (ja) 基板処理装置及び半導体装置の製造方法
KR102205380B1 (ko) 기판 처리 장치
TW202127542A (zh) 基板處理裝置、昇降機構、半導體裝置之製造方法及程式
KR20220061234A (ko) 개선된 균일성을 위한 디더링 또는 동적 오프셋들
TWI731226B (zh) 基板處理裝置
CN111058015B (zh) 基板处理装置、基板的输入方法以及基板处理方法
KR102378336B1 (ko) 베이크 장치 및 베이크 방법
JP5708843B2 (ja) 支持体構造及び処理装置
JP3221102U (ja) シール構造及び処理装置
JP7089987B2 (ja) 原子層堆積装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190218

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20191114

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20191126

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200110

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20200428

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20200526

R150 Certificate of patent or registration of utility model

Ref document number: 6710130

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250