JP6702955B2 - フィラーセル、タップセル、デキャップセル、スクライブライン及び/又はダミーフィル並びにこれらを内包する製品ICチップのために使用されるはずの領域への、IC試験構造体及び/又はeビーム標的パッドの日和見的配置 - Google Patents

フィラーセル、タップセル、デキャップセル、スクライブライン及び/又はダミーフィル並びにこれらを内包する製品ICチップのために使用されるはずの領域への、IC試験構造体及び/又はeビーム標的パッドの日和見的配置 Download PDF

Info

Publication number
JP6702955B2
JP6702955B2 JP2017518035A JP2017518035A JP6702955B2 JP 6702955 B2 JP6702955 B2 JP 6702955B2 JP 2017518035 A JP2017518035 A JP 2017518035A JP 2017518035 A JP2017518035 A JP 2017518035A JP 6702955 B2 JP6702955 B2 JP 6702955B2
Authority
JP
Japan
Prior art keywords
cell
pad
test
fabrication process
obtaining
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2017518035A
Other languages
English (en)
Other versions
JP2017525160A (ja
Inventor
デ,インドラニル
シプリカス,デニス・ジェイ
ラム,スティーヴン
ヘイ,ジョナサン
ロヴナー,ヴャチェスラフ・ブイ
ヘス,クリストファー
ブロゼク,トマシュ・ダブリュ
ストロルワス,アンジェイ・ジェイ
ケルヴィン ドゥーン,
ケルヴィン ドゥーン,
カイバリアン,ジョン・ケイ
リー,シェリー・エフ
マイケルス,カイモン・ダブリュ
ストロワス,マルシン・エイ
オサリヴァン,コナー
ジャイン,メフル
Original Assignee
ピイディエフ・ソリューションズ・インコーポレーテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ピイディエフ・ソリューションズ・インコーポレーテッド filed Critical ピイディエフ・ソリューションズ・インコーポレーテッド
Publication of JP2017525160A publication Critical patent/JP2017525160A/ja
Application granted granted Critical
Publication of JP6702955B2 publication Critical patent/JP6702955B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/14Measuring as part of the manufacturing process for electrical parameters, e.g. resistance, deep-levels, CV, diffusions by electrical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • H01L22/34Circuits for electrically characterising or monitoring manufacturing processes, e. g. whole test die, wafers filled with test structures, on-board-devices incorporated on each die, process control monitors or pad structures thereof, devices in scribe line
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Engineering & Computer Science (AREA)
  • Automation & Control Theory (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)

Description

関連出願の相互参照
本出願は、2014年6月12日出願の米国特許出願第14303578号からの優先権を主張するものであり、上記米国特許出願第14303578号は、2014年2月25日出願の米国特許出願第14/190040号の一部継続出願であり、上記米国特許出願第14/190040号は、2013年9月27日出願の米国特許出願第14/038799号の一部継続出願である。本出願はまた、2014年6月12日出願の米国仮特許出願第62/011161号からの優先権を主張するものである。上記出願は全て、参照により本出願に援用される。
本発明は、半導体集積回路の分野、並びに上記回路を製造及び試験するための方法に関する。
製品ウェハ上の、「試験構造体(test structures)」(これは本明細書では、回路の機能付与のために必要ではないものの、製作プロセス、又は結果として得られる部分的に若しくは完全に製作済みのウェハ/チップの監視又は評価のために設計、構成又は使用される、いずれのパターン形成として定義される)の配置は、この10年で一般的なものとなっている。従来、このような試験構造体は、アクティブダイの間のスクライブライン領域に配置される。例えば非特許文献1を参照。
F.Duanらによる特許文献1(“Test Structures in Unused Areas of Semiconductor Integrated Circuits and Methods for Designing the Same”)は、試験及び製品ウェハのプローブパッドの下への、相互接続されたアクティブ試験セルの配置について記載している。
S.Jansenらによる特許文献2(“Semiconductor integrated test structures for electron beam inspection of semiconductor wafers”)は、製品ICの、予め指定された大型の領域内への、試験構造体の配置について記載している。
製品ウェハ上に試験構造体を配置する、これらの及びその他の公知の技術は、有用な結果をもたらすものの、依然として理想的とはいえない。具体的には、製品ウェハのスクライブラインにおいて利用可能な領域は厳しく限定されており、特定のタイプの試験構造体しか収容できない。更に、上記スクライブライン内の方法及び上記プローブパッド下の方法はいずれも、試験構造体が最も重要なアクティブ回路構成領域から遠い位置に配置されてしまい、従ってアクティブ回路構成の処理環境が正確に表現されない場合があるという難点がある。特許文献2はこの問題を潜在的には緩和させることができるものの、必要となる大型の指定された試験領域(特許文献2、図5の領域44〜45を参照)のコストはあまりに許容できないものとなり、貴重なアクティブダイ領域が消費される。
米国登録特許第7223616B2号 米国登録特許第7679083B2号
Hess, Christopher, et al., "Scribe characterization vehicle test chip for ultra fast product wafer yield monitoring," IEEE International Conference on Microelectronic Test Structures, 2006
本発明は、アクティブダイ領域を殆ど又は全く犠牲にせずに、製品IC上の試験構造体占有範囲を改善するための、複数の技術を開示する。
本発明の一態様によると、「フィラーセル(filler cell)」(これは、配線混雑を防止/緩和する、及び/又はセル密度を均一化するために、アクティブ回路構成領域内に配置される、非機能性セルとして定義される)を、追加の領域又は相互接続を必要としない自己完結型試験構造体に置換する。現行の標準セルレイアウトは一般に、このようなフィラーセルを用いて、配線混雑を緩和している。例えばCong, J., et al.“Optimizing routability in large-scale mixed-size placement,” ASP-DAC, 2013;及びMenezes, C., et al.“Design of regular layouts to improve predictability,” Proceedings of the 6th IEEE International Caribbean Conference on Devices, Circuits and Systems, 2006を参照。C.Mayorによる国際公開第2009/090516A1号(「監視セル及び監視セル配置方法(Monitor Cell and Monitor Cell Placement Method)」)は、フィラーセルを「監視セル(monitor cell)」(図5、ステップ540を参照)に置換するというアイデアを提案しているものの、提案されている監視セルは、フィラーセルのスペースにフィットさせるには大き過ぎ、また更に重要なことには、スキャンチェインへの統合のために追加の相互接続を必要とする。本発明と関連して使用するために好適な、試験対応フィラー(フィル)セルの例は、上記米国仮特許出願、及びこの出願の図11〜32に開示されている。
本発明の別の態様によると、デキャップ(decap:デカップリング容量(decoupling capacitance))セルを改造して、1つ又は複数の自己完結型試験構造体を組み込む。このようなデキャップセルの使用は、当該技術分野において公知である。例えばX. Meng, et al.,“Novel Decoupling Capacitor Designs for sub-90nm CMOS Technology,” Proceedings of the 7th IEEE International Symposium on Quality Electronic Design, 2006を参照。
本発明の別の態様では、ウェルタップ(タップ)セルを改造して、1つ又は複数の自己完結型試験構造体を組み込む。このようなタップセルの使用は、当該技術分野において公知である。例えば、参照により本出願に援用される米国特許第6388315号(“Tap connections for circuits with leakage suppression capability”)、Jungeblut, T., et al., 2010,“A modular design flow for very large design space exploration,”図4(“ add well tap cells”)を参照。このような試験対応タップセルの例は、上記米国仮特許出願に開示されている。
本発明の更に別の態様によると、「ダミーフィル(dummy fill)」領域(参照により本出願に援用される米国登録特許第7137092B2号参照)に試験構造体パターンを配置する。
いずれも参照により本出願に援用される、米国特許第7217579号(“Voltage contrast test structure”)及び特許文献2は、半導体ウェハのスクライブライン領域における、電圧コントラスト試験構造体の使用を開示している。本発明の別の態様は、更なる試験構造体の挿入のためのスクライブライン領域の使用を伴う。このようなスクライブライン領域は、実際の性能の問題又は既存のDRC(設計ルールチェック(design rule checking)」フローとの適合性に関する要件を理由として、製品ウェハのアクティブダイ領域内において使用が推奨されないか又は禁止される場合がある試験構造体を実装するために、有利に使用できる。このような推奨されない/禁止された試験構造体の例としては、1つ若しくは複数の意図的な層間不整合を伴う構造体、設計ルール未満の若しくはカナリア(canary)構造体、又は密度若しくはパターン形成がアクティブダイ領域における要件と適合できない構造体が挙げられる。例えば、eビーム適合性カナリア試験構造体の例に関しては、参照により本出願に援用される、放棄された米国特許出願第2009‐0102501A1号(“Test structures for e-beam testing of systematic and random defects in integrated circuits”)を参照。この発明の特定の実施形態では、本発明の製品ICウェハのダイ間スクライブライン領域の全体又は大半に、アクティブダイ領域内での使用が推奨されないか又は禁止されている電圧コントラスト試験構造体が配置される。
本発明の別の態様は、試験パッド(上で定義されている「試験構造体(test structure)」の1タイプ)の、上述のダミーフィル、フィラーセル、デキャップセル及び/若しくはタップセル位置への(並びに/又はこれらのセル内への)日和見的挿入を伴う。このような試験パッドは好ましくは、荷電粒子(例えばeビーム)標的を備え、これは好ましくは、所与の技術のノードにおける最小の分解可能な特徴部分サイズの1倍〜10倍の範囲内とするために、比較的小さな寸法にサイズ設定されるが、上記試験パッドはまた、マイクロ又はナノプローブ探査可能な接触パッドも備えてよい。このような試験パッドは、関連する試験構造体の上に位置決めしてよく、関連する試験構造体に隣接して位置決めしてよく、同一層上の隣接していない試験構造体に接続してよく、又は1つ若しくは複数の下層上の関連する試験構造体に接続してよい。
本発明の他の態様は、従来のスクライブライン及びパッド下試験構造体を有して又は有さずに、上述のタイプの日和見的に挿入された試験構造体のうちの1つ、2つ、3つ又は4つを有するIC及びICレイアウトに関する。本発明のまた更なる態様は:上述のようなICレイアウトを形成するためのCAD法;本発明による日和見的に挿入された試験構造体から得られた情報を少なくとも部分的に利用する製作プロセス;及び上記プロセスによって製造されたICに関する。
従って、限定を意図したものではないが一般に、本発明の特定の態様は、例えば:少なくとも10行、20行、30行又はそれを超える、少なくとも50個、75個、100個又はそれを超える当接したセルを包含する、製品ICに関する。上記製品ICは:各上記行が、複数の論理セルを含むこと;並びに上記行のうちの少なくとも半分、3/4又は3/4超が、フィラー、デキャップ若しくはタップセル位置に(及び/又はこれらのセル内に)試験構造体を含むことを特徴とする。上記製品ICは更に、上記行に少なくとも部分的に重なるダミーフィル領域に実装された、(試験パッドを含むがこれに限定されない)複数のダミーフィル試験構造体を内包する。上記ダミーフィル試験構造体は、いずれのパターン形成層、特に1つ又は2つ以上の金属層上に存在してよい。
各試験構造体は好ましくは自己完結型であり、従ってオンチップ接続のために配線領域を使用する必要がない。換言すると、本発明のこの自己完結型態様により、フィラー/デキャップ/タップセルを本発明の試験セル/構造体に置換しても、利用可能な配線領域には影響しない。いくつかの実施形態では、上記自己完結型試験構造体は、多数の隣接するフィラー、デキャップ又はタップセルのフットプリントに形成してよく、従って更に大型の、及び/又は不規則形状の自己完結型試験構造体が実現可能である。上記製品ICは、eビーム試験用に構成された試験構造体、SEM点検用に構成された試験構造体、明視野点検用に構成された試験構造体、(マイクロプローブ、ナノプローブ若しくはプローブカードによる)プローブ接触用に構成された試験構造体、又はこれらのうちの2つ、3つ若しくは4つのいずれの組み合わせを内包してよい。
また、限定を意図したものではないが一般に、本発明の他の態様は、例えば、配線領域と共に、少なくとも20行、30行、40行又はそれを超える隣接する行の、少なくとも100個、150個、200個又はそれを超える隣接するセルを内包する連続領域を内包する、製品ICに関する。上記製品ICは:各行が、論理セルの過半数(又は60%、70%若しくは80%等の超過半数)を含むこと;並びに上記連続領域が、少なくとも25個(又は50個、100個、150個若しくはそれを超える)の、不規則に分散された自己完結型試験構造体も内包し、各上記自己完結型試験構造体が、上記列のうちの1つの、論理セル又はフィラーセル又はタップセルに好適であったはずの位置に位置決めされることを特徴とする。いくつかの実施形態では、上記試験構造体のうちの少なくともいくつかは、デキャップセルに内包される。上記製品ICはまた、複数の自己完結型ダミーフィル試験構造体も含んでよく、各上記自己完結型ダミーフィル試験構造体は、上記連続領域に少なくとも部分的に重なるものの、(共用電源ネットへの接続を除いて)上記論理セルのいずれにも接続されない。いくつかの実施形態では、上記ダミーフィル試験構造体は、2つ以上の相互接続層を占有してよい。いくつかの実施形態では、上記試験構造体のうちの少なくともいくつかは、カナリア(即ち設計ルール未満の)試験構造体であり、上記ダミーフィル試験構造体のうちのいくつかは、ランダム欠陥試験構造体である。他の実施形態では、試験構造体は、システマティック故障モードを試験又は評価するために構成された、DRコンプライアンント構造体を備えてよい。また、これらの組み合わせを備えた実施形態も同様に考えられる。
また、限定を意図したものではないが一般に、本発明の他の態様は、例えば少なくとも以下のステップ:ICウェハを初期製作ステップに供するステップ;上記ウェハの連続論理部分内に日和見的に分散された、少なくとも5個(又は10個、20個、40個若しくはそれを超える)の自己完結型試験構造体からの測定を得るステップ;並びに上記試験構造体から得られた測定に少なくとも部分的に基づいて、上記ウェハを、追加の及び/又は修正された製作ステップに選択的に供するステップを含む、IC製作プロセスに関する。特定の実施形態では、測定を得るステップは、荷電粒子によって(例えばeビームによって)上記試験構造体を励起するステップ、明視野点検によって上記試験構造体を点検するステップ、SEM点検によって上記試験構造体を点検するステップ、又は電気的測定のためのプローブ探査によって上記試験構造体に接触するステップを伴ってよい。特定の実施形態では、上記ウェハを、追加の製作ステップ又は物理的故障分析に選択的に供するステップは、上記初期製作ステップのうちの1つ若しくは複数を再実行するかどうかを決定するステップ、又は上記追加の製作ステップを実施するかどうか、若しくは上記ウェハを破棄するかどうかを決定するステップを伴ってよい。
また、限定を意図したものではないが一般に、本発明の特定の実施形態によると、製品ICウェハを作製するためのプロセスは、例えば少なくとも以下のステップ:初期製品ウェハレイアウトを得るステップ;コンピュータを用いて上記初期製品ウェハレイアウトを分析し、試験構造体挿入のための日和見的領域(例えばダミーフィル、フィラーセル、タップセル、デキャップセル)を識別するステップ;上記コンピュータを用いて、試験構造体挿入のための上記日和見的領域を横断して、少なくとも1つの分散型DOEを一体として構成する複数の試験構造体を挿入することによって、上記初期製品ウェハレイアウトを修正するステップ;1つ又は複数の上記分散型DOEを利用するために必要な情報ではなく、上記修正された製品ウェハレイアウトを製作するために必要な情報を、コンピュータ可読レイアウトデータレコードに保存するステップ;及び上記レイアウトデータレコードから製作者に情報を提供することにより、上記修正された製品ウェハレイアウトに基づくウェハの製作を可能とするステップを含む。本発明のこの態様及び他の態様によると、このようなレイアウト修正は、設計フロー中(即ち設計終了前)、又は後続の1つ又は複数のマスクデータ処理(mask data processing:MDP)ステップ中、又はこれら両方の間に進行させることができる。本発明の関連する態様によると、IC製品チップを作製するための方法は例えば、少なくとも以下のステップ:少なくとも1つの分散型DOEを一体として構成する複数の埋入型試験構造体を有する複数の製品ICダイを備える、第1の製品ICウェハを受承するステップ:上記1つ又は複数の分散型DOEのうちの少なくとも1つを識別して利用できるようにするデータを受信するステップ;上記1つ又は複数の分散型DOEのうちの上記少なくとも1つを利用して、上記第1の製品ICウェハの製作に関する情報を得るステップ;及び上記第1の製品ICウェハを、複数のIC製品チップへと加工するステップを含んでよい。上記方法は更に、少なくとも以下の追加のステップ:上記第1の製品ICウェハと同一の、第2の製品ウェハを受承するステップ;第2の製品ICウェハ上の、1つ又は複数の上記分散型DOEの少なくとも1つを利用して、上記第2の製品ICウェハの製作に関する情報を得るステップ;及び上記第2の製品ICウェハを、複数のIC製品チップへと加工するステップを含んでよい。本発明のこれらの態様によると、上記1つ若しくは複数のDOE及び/又は1つ若しくは複数の試験構造体からのデータを、ウェハ配置の決定、再加工の決定、プロセス制御、歩留まりの学習、又は欠陥の診断において利用してよい。
また、限定を意図したものではないが一般に、本発明の別の態様によると、製品ICウェハは、少なくとも:多数のeビーム励起性試験構造体(又はパッド/標的)が中に分散された、機能性製品回路構成の領域;及びeビームスキャナに、いずれの試験構造体(又はパッド/標的)を励起する機会も逸することなく、(走査方向に測定された)その走査長さ全体の少なくとも10%、15%又は20%をそれぞれスキップさせる、複数のeビームスキップ領域を備えてよい。上記製品ICウェハは好ましくは、少なくとも、それぞれ上記機能性製品回路構成の領域の幅全体に亘って広がる1つ又は複数の空のeビーム走査トラックを更に含んでよい。
また、限定を意図したものではないが一般に、本発明の別の態様は、例えば少なくとも:少なくとも3×3(又は5×5、10×10、20×20若しくは50×50等)の製品ダイのアレイであって、スクライブライン領域が上記製品ダイを隔てている、アレイを内包する製品ウェハに関する。上記ウェハは:各上記製品ダイが、製品機能性をサポートする、複数(多数)の動作可能な(組み合わせ及び/又は順序)論理セルを含むこと;各製品ダイが、上記論理セルが点在する複数の試験対応タップセルを含み、上記試験対応タップセルは、(eビーム試験パッドを有する又は有しない)自己完結型電圧コントラスト試験構造体を備えること;並びに各スクライブライン領域が、(対応するeビーム試験パッドを有する又は有しない)複数の電圧コントラスト試験構造体を内包することを特徴とする。上記製品ダイは更に:(eビーム試験パッドを有する若しくは有しない)自己完結型電圧コントラスト試験構造体をそれぞれ備える、複数の試験対応デキャップセル;(対応するeビーム試験パッドを有する若しくは有しない)自己完結型電圧コントラスト試験構造体をそれぞれ備える、複数の試験対応フィラーセル;及び/又は(対応するeビーム試験パッドを有する若しくは有しない)上記製品ダイのダミーフィル領域に実装された、複数の自己完結型電圧コントラスト試験構造体を含んでよい。特定の実施形態では、上記スクライブライン領域には、略全体的に、(eビーム標的パッドを含む)電圧コントラスト試験構造体が配置され、上記スクライブライン領域に内包された上記試験構造体のうちのいくつか又は過半数は、意図的な層の不整合を内包し、及び/又はプロセス設計ルールの意図的な違反を内包する。
また、限定を意図したものではないが一般に、本発明の別の態様は、例えば少なくとも以下のステップ:製品ICウェハを初期製作ステップに供するステップ;少なくとも40個(好ましくは少なくとも100個)の自己完結型試験構造体から、eビーム励起測定を得るステップであって、上記試験構造体のうちの少なくとも20個は、上記ウェハの連続論理部分(即ち機能性製品論理を内包する上記ウェハの部分)内に不規則に分散され、また上記試験構造体のうちの少なくとも20個は、上記ウェハのスクライブライン領域内に配置されている、ステップ;及び上記試験構造体から得られた測定に少なくとも部分的に基づいて、上記ウェハを、追加の製作ステップに選択的に供するステップを含む、IC製作プロセスに関する。特定の好ましい実施形態では、上記測定を得るステップは、(例えば単一のピクセル値又は10未満のピクセル値をサンプリングすることによって)上記ウェハのいずれの実質的な部分を連続的に走査することなく、上記ウェハの上記スクライブライン領域に配置されたeビーム標的パッドを標的とするステップを含む。特定の好ましい実施形態では、上記測定を得るステップは、上記ウェハのいずれの実質的な部分を連続的に走査することなく、上記ウェハの上記連続論理領域内に配置されたeビーム標的パッドを選択的に標的とするステップを含む。いくつかの実施形態では、上記選択的に供するステップは、上記初期製作ステップのうちの1つ又は複数を再実行するかどうかを決定するステップを含んでよい。またいくつかの実施形態では、上記選択的に供するステップは、上記追加の製作ステップを実施するかどうかを決定するステップを含んでよい。
本発明の特定の実施形態は、電気的にプローブ探査可能な試験構造体を含んでよく、上記試験構造体は、上記米国仮特許出願に記載されているタイプのものを含むがそれに限定されず、製品ウェハのスクライブライン領域内に配置される。上記電気的にプローブ探査可能な試験構造体は、それ自体のプローブパッドを含んでよく、又は単一のパッドをプローブパッド及びeビーム標的パッドの両方として機能させることができるように、近接した電圧コントラスト試験構造体と1つ若しくは複数のパッドを共有してよい。
以下の議論において、(以下の図11〜85の)本発明のセルは、「セル(cell)」とも「手段(means)」とも呼ばれる。明瞭性及び明確性のために、例えば「FIG82セル(FIG82 cell)」という呼称は、「図82に示すトポロジ設計を有するセル」を意味し、その一方で「FIG82手段(FIG82 means)」という同様の呼称は、米国特許法第112条第6項の下で、「図82セルの論理機能、即ち「駆動強度1における2入力3状態マルチプレクサ」を実装し、かつ図82に示す構造又は同等の構造を有する、セル」を包含するものと解釈される。
限定を意図したものではないが一般に、本発明の更なる態様は、少なくとも500個(又は1000個、1500個等)のセルの連続論理領域内に、(i)FIG33A‐Bセル;FIG34A‐Bセル;FIG35A‐Bセル;FIG36A‐Bセル;FIG37A‐Bセル;FIG38A‐Bセル;FIG39A‐Bセル;FIG40A‐Bセル;FIG41A‐Bセル;FIG42A‐Bセル;FIG43A‐Bセル;FIG44A‐Bセル;FIG45セル;FIG46セル;FIG47セル;FIG48セル;FIG49セル;FIG50セル;FIG51セル;FIG52セル;FIG53セル;FIG54セル;FIG55セル;FIG56セル;FIG57セル;FIG58セル;FIG59セル;FIG60セル;FIG61セル;FIG62セル;FIG63セル;FIG64セル;FIG65セル;FIG66セル;FIG67セル;FIG68セル;FIG69セル;FIG70セル;FIG71セル;FIG72セル;FIG73セル;FIG74セル;FIG75セル;FIG76セル;FIG77セル;FIG78セル;FIG79セル;FIG80セル;FIG81セル;FIG82セル;FIG83A‐Bセル;FIG84セル;及びFIG85セルからなるセットから選択された、少なくともある選択された個数(例えば3個、4個、5個、6個、7個等)の別個の機能性セルと、(ii)少なくとも1つ(又は2つ、3つ、4つ等)の別個のタイプを含み、かつ:FIG11セル;FIG12セル;FIG13セル;FIG14セル;FIG15セル;FIG16セル;FIG17セル;FIG18セル;FIG19セル;FIG20セル;FIG21セル;FIG22セル;FIG23セル;FIG24セル;FIG25セル;FIG26セル;FIG27セル;FIG28セル;FIG29セル;FIG30セル;FIG31セル;及びFIG32セルからなるセットから選択された、少なくとも10個の試験対応セルとを内包する、製品集積回路に関する。本発明の別の態様は、例えば上述のセットから選択された、少なくともある選択された個数の別個のセルをインスタンス化及び製作することによって、上記ICを作製するための方法に関する。
また、限定を意図したものではないが一般に、本発明の更なる態様は、少なくとも200個(又は500個、1000個等)の手段の連続論理領域内に、(i)FIG33A‐B手段;FIG34A‐B手段;FIG35A‐B手段;FIG36A‐B手段;FIG37A‐B手段;FIG38A‐B手段;FIG39A‐B手段;FIG40A‐B手段;FIG41A‐B手段;FIG42A‐B手段;FIG43A‐B手段;FIG44A‐B手段;FIG45手段;FIG46手段;FIG47手段;FIG48手段;FIG49手段;FIG50手段;FIG51手段;FIG52手段;FIG53手段;FIG54手段;FIG55手段;FIG56手段;FIG57手段;FIG58手段;FIG59手段;FIG60手段;FIG61手段;FIG62手段;FIG63手段;FIG64手段;FIG65手段;FIG66手段;FIG67手段;FIG68手段;FIG69手段;FIG70手段;FIG71手段;FIG72手段;FIG73手段;FIG74手段;FIG75手段;FIG76手段;FIG77手段;FIG78手段;FIG79手段;FIG80手段;FIG81手段;FIG82手段;FIG83A‐B手段;FIG84手段;及びFIG85手段からなるセットから選択された、少なくともある選択された個数(2個、3個、4個、5個等)の別個の「手段」(即ち対応する手段又は米国特許法第112条第6項による「その等価物」)と、(ii)少なくとも1つ(又は2つ、3つ、4つ等)の別個のタイプを含み、かつ:FIG11手段;FIG12手段;FIG13手段;FIG14手段;FIG15手段;FIG16手段;FIG17手段;FIG18手段;FIG19手段;FIG20手段;FIG21手段;FIG22手段;FIG23手段;FIG24手段;FIG25手段;FIG26手段;FIG27手段;FIG28手段;FIG29手段;FIG30手段;FIG31手段;及びFIG32手段からなるセットから選択された、少なくとも10個の試験対応「手段」とを内包する、製品集積回路に関する。本発明の別の態様は、例えば上述のセットから選択された、少なくともある選択された個数の別個の手段をインスタンス化及び製作することによって、上記ICを作製するための方法に関する。本発明の更なる態様は、少なくともある選択された個数(1個、2個、3個、4個)の上で定義したタイプのICと、1つ又は複数の充電式電源といった他の任意の構成部品とを含む、電子システム(据え置き型又は携帯型)に関する。また、本発明のまた更なる態様は、例えば少なくともある選択された個数の、上で言及した「セル」及び/又は「手段」をインスタンス化することによって、上記ICを作製するための方法に関する。
例示的な論理及び試験対応セル(図11〜85)は、テーパードデバイスの使用を回避することによって、このようなデバイスに関連するパラメトリック変動性の問題及び機能的歩留まり損失の問題を回避しているが、当業者であれば、これらのセルの同等の代替バージョンがテーパードデバイスを採用してよいこと、及び上記代替的なテーパードバージョンが本発明の範囲内であることを、容易に理解するであろう。
本発明の別の態様は、荷電粒子カラム(電子又はイオン)を用いたツールの使用に関し、上記ツールの主要な機能は、半導体ウェハの表面上の欠陥を発見すること(即ち点検器として機能すること)である。(本説明は用語「eビーム(e−beam)」を使用するが、本説明は全ての荷電ビームに当てはまることを理解されたい。)
本発明の一態様に従い、本発明者らは、ウェハ表面上のピクセルをサンプリングするVC点検器を説明する。この走査方法は、以前に設計されたあらゆる点検器とは原理的に異なる。一実施形態では、ピクセルは、特定の指定されたX−Y座標を有し、そのピクセル値(即ち電子ビーム信号)を用いて、欠陥が存在しているかいないかが決定される。これは、従来技術の典型的な2D点検ではなく、0D点検と考えることができる。
一実施形態では、上記ピクセルは、電圧コントラスト欠陥を発見する目的のために明確に形成された、電子試験構造体内の「パッド(pad)」に対応する。ビームは上記パッドを、指定された時間に亘って照明する。各試験構造体は、1つ又は複数のパッドを有してよい(点検器はパッド1つあたり1ピクセルを読み出す)。上記試験パッドは、パターンが主に「試験チップ(test chip)」として設計されている半導体ウェハ上に存在してよく、又は「製品ウェハ(product wafer)」に埋め込まれてもよい。
一実施形態では、各ピクセルは、半導体製品レイアウトのある特定の具体的位置に対応する。製品上のこれらの位置における信号異常が、1つ又は複数の特定のタイプの欠陥の指標となるため、これらのピクセルが選択される。
一実施形態では、ステージは、「ステップ・アンド・スキャン(step and scan)」点検と同様に静止状態で保持される。ある所与の視野に対応するピクセル値が感知されると、上記ステージは、次のピクセルのセットを読み出すことができる別の位置へと移動する。
一実施形態では、ステージは、ピクセルの走査中に移動し、これに従ってeビームを屈折させてステージの移動を補償することによって、点検が行われる。
一実施形態では、各位置におけるピクセル読み出しの期間は、各ピクセルに関して動的であり、即ち各点において点検されている試験構造体又は製品回路に応じて、ビームをその位置に保持する期間は好適に変化する。
一実施形態では、ウェハ上のビームのサイズは固定されておらず、読み出される各位置に対して動的に変化する。このタイプのビーム成形は、eビーム描画装置において使用されるものと同様である。構造体毎のスポットのサイズ設定により、ビームを各構造体に対して最適化できる。この最適化は典型的には、点検の信号対ノイズ比を最大化できる。本発明の別の態様は、試験パッドを有する電圧コントラスト被測定デバイス(voltage−contrast device−under−test:「VC DUT」)の設計に関し、完全な構造体を、極わずかなピクセル(10未満)のみで試験する。上記VC DUTは、そのサイズ及び形状が非円形入射eビームを受け入れながら同時にSNRを最大化する、試験パッドを有してよい。上記ビームはまた、正方形状のパッドに適合するように、同様に正方形状であってよい。上記パッドは、3を超える非対称アスペクト比(X/Y長さ比)を有するビーム(例えばX寸法100nm及びY寸法300〜600nmのDUTは、アスペクト比3:1、4:1、5:1を有することになる)を捕捉するよう構成してよい。
本発明のこれらの及びその他の態様、特徴及び利点を、以下の一連の図面において例示する。
図1は、標準セルレイアウトの例示的セクションの概念図であり、上記レイアウトは、複数の行に配設された論理セル(L)、フィラーセル(F)及びタップセル(T)と、上記列の間の配線領域と、近傍のデキャップセル(dC)とを含む。 図2は、第1の層内にダミーフィル領域が示されている、図1と同一のレイアウトを示す。 図3は、第2の層内に1つ又は複数のダミーフィル領域が示されている、図1、2と同一のレイアウトを示す。 図4は、本発明による例示的なレイアウトを示し、上記レイアウトでは、図3のフィラーセル、タップセル、デキャップセル及びダミーフィル領域が、自己完結型試験構造体に置換されている。 図5は、(本発明に従って使用するための)標準セルレイアウトのある好ましい形態の概念図である。 図6は、本発明による例示的なレイアウトを示し、上記レイアウトでは、図5のフィラーセル、デキャップセル、タップセル及びダミーフィル領域が、自己完結型試験構造体に置換されている。 図7は、本発明の特定の実施形態による、日和見的試験構造体挿入のための例示的プロセスフローを示す。 図8は、(図7又は10によって)上記日和見的に挿入された試験構造体を利用して有用な結果を生成するための、例示的プロセスフローを示す。 図9は、例示的なウェハ又はダイの一部分の概念図であり、これは、日和見的に挿入された試験パッド及び/又は構造体が、より迅速なeビーム走査を可能とする1つ若しくは複数の空トラック及び/又は1つ若しくは複数のスキップ領域を生成するように配設される様を示す。 図10は、本発明の特定の実施形態による、日和見的試験構造体挿入のための代替的な例示的プロセスフローを示す。 図11は、第1の例示的な試験対応タップセルを示す。 図12は、第1の例示的な試験対応フィラーセルを示す。 図13は、別の例示的な試験対応フィラーセルを示す。 図14は、別の例示的な試験対応タップセルを示す。 図15は、別の例示的な試験対応フィラーセルを示す。 図16は、別の例示的な試験対応タップセルを示す。 図17は、別の例示的な試験対応フィラーセルを示す。 図18は、別の例示的な試験対応フィラーセルを示す。 図19は、別の例示的な試験対応タップセルを示す。 図20は、別の例示的な試験対応フィラーセルを示す。 図21は、別の例示的な試験対応フィラーセルを示す。 図22は、別の例示的な試験対応フィラーセルを示す。 図23は、別の例示的な試験対応タップセルを示す。 図24は、別の例示的な試験対応フィラーセルを示す。 図25は、別の例示的な試験対応タップセルを示す。 図26は、別の例示的な試験対応フィラーセルを示す。 図27は、別の例示的な試験対応タップセルを示す。 図28は、別の例示的な試験対応フィラーセルを示す。 図29は、別の例示的な試験対応タップセルを示す。 図30は、別の例示的な試験対応タップセルを示す。 図31は、別の例示的な試験対応フィラーセルを示す。 図32は、別の例示的な試験対応フィラーセルを示す。 図33A〜85は、例示的な標準セルライブラリからの例示的なセルを示す。図33A、Bはそれぞれ、第1の例示的な標準セルの当接している左側及び右側部分を示す。 図34A、Bはそれぞれ、別の例示的な標準セルの当接している左側及び右側部分を示す。 図35A、Bはそれぞれ、別の例示的な標準セルの当接している左側及び右側部分を示す。 図36A、Bはそれぞれ、別の例示的な標準セルの当接している左側及び右側部分を示す。 図37A、Bはそれぞれ、別の例示的な標準セルの当接している左側及び右側部分を示す。 図38A、Bはそれぞれ、別の例示的な標準セルの当接している左側及び右側部分を示す。 図39A、Bはそれぞれ、別の例示的な標準セルの当接している左側及び右側部分を示す。 図40A、Bはそれぞれ、別の例示的な標準セルの当接している左側及び右側部分を示す。 図41A、Bはそれぞれ、別の例示的な標準セルの当接している左側及び右側部分を示す。 図42A、Bはそれぞれ、別の例示的な標準セルの当接している左側及び右側部分を示す。 図43A、Bはそれぞれ、別の例示的な標準セルの当接している左側及び右側部分を示す。 図44A、Bはそれぞれ、別の例示的な標準セルの当接している左側及び右側部分を示す。 図45は、別の例示的な標準セルを示す。 図46は、別の例示的な標準セルを示す。 図47は、別の例示的な標準セルを示す。 図48は、別の例示的な標準セルを示す。 図49は、別の例示的な標準セルを示す。 図50は、別の例示的な標準セルを示す。 図51は、別の例示的な標準セルを示す。 図52は、別の例示的な標準セルを示す。 図53は、別の例示的な標準セルを示す。 図54は、別の例示的な標準セルを示す。 図55は、別の例示的な標準セルを示す。 図56は、別の例示的な標準セルを示す。 図57は、別の例示的な標準セルを示す。 図58は、別の例示的な標準セルを示す。 図59は、別の例示的な標準セルを示す。 図60は、別の例示的な標準セルを示す。 図61は、別の例示的な標準セルを示す。 図62は、別の例示的な標準セルを示す。 図63は、別の例示的な標準セルを示す。 図64は、別の例示的な標準セルを示す。 図65は、別の例示的な標準セルを示す。 図66は、別の例示的な標準セルを示す。 図67は、別の例示的な標準セルを示す。 図68は、別の例示的な標準セルを示す。 図69は、別の例示的な標準セルを示す。 図70は、別の例示的な標準セルを示す。 図71は、別の例示的な標準セルを示す。 図72は、別の例示的な標準セルを示す。 図73は、別の例示的な標準セルを示す。 図74は、別の例示的な標準セルを示す。 図75は、別の例示的な標準セルを示す。 図76は、別の例示的な標準セルを示す。 図77は、別の例示的な標準セルを示す。 図78は、別の例示的な標準セルを示す。 図79は、別の例示的な標準セルを示す。 図80は、別の例示的な標準セルを示す。 図81は、別の例示的な標準セルを示す。 図82は、別の例示的な標準セルを示す。 図83A、Bはそれぞれ、別の例示的な標準セルの当接している左側及び右側部分を示す。 図84は、別の例示的な標準セルを示す。 図85は、別の例示的な標準セルを示す。 図86は、従来技術の「ステップ・アンド・スキャン(step and scan)」及び「スウォージング(swathing)」技術を示す。 図87は、従来技術のビーム走査/成形装置を示す。 図88は、図87のカラムを用いて実現できるビーム形状の例を示す。 図89は、典型的には円形であり、複数の同一のダイに分割される、例示的な半導体ウェハを示し、更に、全ての試験構造体がダイのスクライブライン領域内に配置されている例示的ケースを示す。 図90は、パッドが一列にレイアウトされた一連の試験構造体を示し、電子ビームのスポットは、上記スポットに対するウェハの相対移動によってパッド全体を走査する。 図91は、パッドに送達される電子流を最大化するために、パッドのサイズ及び形状に適合するように非円形に成形された、電子ビームスポットを示す。 図92は、試験構造体に送達する必要がある荷電量に応じてサイズ設定されたパッド形状を示し、より多くの荷電を必要とする試験構造体は、ビームの走査方向に沿ってより長いパッドを有し、これにより、パッド上のビーム滞留時間を増大させる。 図93は、荷電させるパッドが存在しない長い区間が存在する場合にはビームが迅速に、ただし一定の速度で移動し、パッドが配置された領域においては、試験構造体のパッドにより多く荷電させることができるように、よりゆっくりと移動するシナリオを示す。 図94は、パッドの両側にレイアウトされた試験構造体を示し、これにより、ウェハ上のビームの単回パスを用いて、より多くの試験構造体を走査できる。 図95は、パッドのレイアウトが半導体加工の設計ルールに適合するように、中実のパッドをより細いライン又は代替的形状に分割できる方法を示す。 図96は、本発明の特定の実施形態と共に使用するための、「正味グレー(net grey)」パッドを示す。 図97は、本発明の特定の態様/実施形態によるVC DUTの一実施形態の概念図である。 図98は、本発明の特定の態様/実施形態によるVC DUTの別の実施形態の概念図である。 図99は、本発明の特定の態様/実施形態によるVC DUTの別の実施形態の概念図である。
図1は、標準セルレイアウトの例示的セクションの概念図であり、上記レイアウトは、複数の行に配設された論理セル(L)、タップセル(T)及びフィラーセル(F)と、上記列の間の配線チャネルと、近傍のデキャップセル(dC)とを含む。図示されているように、この例示的セクション内におけるデキャップ、タップ及びフィラーセルの全体的な分散は不規則であり、いずれの明確なパターン又は対称性に従うものではない。(当業者であれば、この図示が概念的なものであり、実際のレイアウトそのものを表すのではなく、本発明の原理を説明することのみを意図したものであることを容易に認識するであろう。)事実、当業者であれば、タップセルが典型的には1つのサイズであり、規則的な、又は略規則的な間隔で存在することを理解するであろう。同様に、当業者であれば、デキャップセルは、標準セル行内にフィットするようにサイズ設定でき、標準セル行内に配置でき、またそのようにサイズ設定及び配置されることが多いことも認識するであろう。
図2は、図1と同一の従来技術のレイアウトを示すが、第1の層内にダミーフィル領域が示されている。これらのダミーフィル領域は斜線付き領域として示されており、また図示されているように、規則的な(例えば長方形の)又は不規則な形状であってよい。本発明に従って最も有用なダミーフィル領域は、典型的には第3の、及びそれより上の金属層(例えばM3、M4、M5、M6)上に存在するが、アクティブな1つ又は複数のポリ層といった、より下の金属層及び/若しくは以前の層、又は局所的相互接続上に存在してもよい。(ダミーフィル領域は典型的には、1つ又は少数の標準セルよりも面積がはるかに大きくなるため、図2のダミーフィル領域の図示が概念的なものであることは、当業者には理解されるであろう。)
図3は、図1、2と同一のレイアウトの概念図であるが、第2の層内に1つ又は複数のダミーフィル領域が示されている。この第2の層のダミーフィル領域は、鱗状パターンで示されている。
図4は、図3のレイアウトをベースとした例示的なレイアウトの概念図であり、本発明の特定の態様を図示している。図4に例示されているように、フィラーセル(F)及びタップセル(T)は、試験構造体(TS4、TS5、TS6、TS7、TS8、TS9、TS10)に置換され、デキャップセル(dC)は試験対応デキャップセル(dC‐T)に置換され、ダミーフィル領域は試験構造体(TS1、TS2、TS3)に置換されている。
図5は、本発明に従って使用するために好適な標準セルレイアウトのある好ましい形態の概念図である。この図は、セルの複数の行が当接しており、配線領域がセル全体を覆っている、比較的現代的なスタイルを示す。図示されていないが、配線領域は規則的な形状である必要はなく、また行に対して平行な方向に配向される必要もない。
図6は、図5のフィラーセル(F)、タップセル(T)、デキャップセル(dC)及びダミーフィル(斜線付き)領域が、自己完結型試験構造体(それぞれTS、dC‐T及び網掛け領域)に置換されている、本発明による例示的なレイアウトを示す。
当業者であれば、特定の試験構造体を本発明に従って日和見的にインスタンス化するために、多数の選択肢が存在することを認識するであろう。
本発明による製品ICは、マルチパターン形成構造体を含む、システマティック欠陥に最も影響される製品レイアウトパターンの、明視野及び/又はeビーム(若しくは他の荷電)によるインラインシステマティック欠陥点検のために適合された試験構造体を含む。上記試験構造体は好ましくは、カナリア構造体(即ちプロセスレイアウトの限界を探るために使用される、設計ルール未満の構造体)を含んでよい。
本発明による製品ICはまた、単一ライン状開口等の最も発生しやすい欠陥、及び最も発生しやすいビアホール開口位置に関する、製品様パターンの明視野及び/又はeビームツールによるインラインランダム欠陥点検のために適合された試験構造体(カナリア構造体を含む))も含んでよい。
本発明による製品ICはまた、オーバレイ/ミスアライメント、ポリCD、MOL CD、ビアホール底部CD、金属CDといった製品固有パターン、及び高さ、誘電高さ等を抽出するための構造体といった、インライン計量のために適合された試験構造体も含んでよく、また(例えばオーバレイ、線状CD及びプロファイルに関して)電気的に並びに/又は走査電子顕微鏡によって試験可能であってよい。
本発明による製品ICはまた、発生する確率が高いシステマティック故障のための、物理的故障分析(Physical Failure Analysis:PFA)構造体も含んでよく、上記PFAは、製品固有レイアウトパターン(カナリア構造体を含む)、及びプローブ探査のためのパッドを含んでよい。
また本発明による製品ICは、上述の、又はその他の有用な試験構造体のいずれの組み合わせも含んでよい。
試験対応デキャップセルに関して、好ましい試験構造体は、単一線状開口点検のためのM1構造体である。
本発明の特定の実施形態による試験構造体の設計の重要な目標は:(1)試験構造体は、アクティブな領域(即ち標準セル又は相互接続)の印刷性に影響を及ぼしてはならないこと;並びに/又は(2)試験構造体は、アクティブセル特性(印刷性及び電気的特徴)の典型でなければならないことである。
以下に詳細に説明するように、図11〜32は、本発明の特定の実施形態における使用のために好適な、一連の例示的なVC DUTを示す。
第1の例示的な試験対応タップセルを示す図11を参照する。このセルは、E字型電圧コントラスト標的/パッドを内包し、また以下の故障モードを検出するためのeビーム(又は他の荷電粒子)インライン試験のために構成される:結合ビアホール構成(Merged Via configuration)の、近傍の金属/局所的相互接続に対する短絡。図示されている構成では、合格反応=フローティングしている金属=暗色のパッドであり、その一方で不合格反応=グランド接続された下層金属に対する短絡→グランド接続されたパッド=明色のパッドである。(eビーム点検を、フローティングしているポリゴンに関して暗色又は明色状態を生成するよう構成できることは、当業者には理解されるであろう。後者の構成が典型的には比較的安定しており、従って本開示の実施例に関してこの構成が想定されているが、本発明がいずれの構成においても有用であることは、当業者には理解されるであろう。)
第1の例示的な試験対応フィラーセルを示す図12を参照する。このセルは、E字型電圧コントラスト標的/パッドを内包し、また以下の故障モードを検出するためのeビーム(又は他の荷電粒子)インライン試験のために構成される:結合ビアホール構成の、下層の金属に対する短絡。図示されている構成では、合格反応=フローティングしている金属=暗色のパッドであり、その一方で不合格反応=グランド接続された下層金属に対する短絡→グランド接続されたパッド=明色のパッドである。
別の例示的な試験対応フィラーセルを示す図13を参照する。このセルは、E字型電圧コントラスト標的/パッドを内包し、また以下の故障モードを検出するためのeビーム(又は他の荷電粒子)インライン試験のために構成される:結合ビアホール構成の、下層の金属に対する短絡。図示されている構成では、合格反応=フローティングしている金属=暗色のパッドであり、その一方で不合格反応=グランド接続された下層金属に対する短絡→グランド接続されたパッド=明色のパッドである。
別の例示的な試験対応タップセルを示す図14を参照する。このセルは、E字型電圧コントラスト標的/パッドを内包し、また以下の故障モードを検出するためのeビーム(又は他の荷電粒子)インライン試験のために構成される:結合ビアホール構成の開口。図示されている構成では、合格反応=グランド接続された金属=明色のパッドであり、その一方で不合格反応=グランド接続された下層金属への接続の失敗→フローティングしているパッド=暗色のパッドである。
別の例示的な試験対応フィラーセルを示す図15を参照する。このセルは、E字型電圧コントラスト標的/パッドを内包し、また以下の故障モードを検出するためのeビーム(又は他の荷電粒子)インライン試験のために構成される:結合ビアホール構成の開口。図示されている構成では、合格反応=グランド接続された金属=明色のパッドであり、その一方で不合格反応=グランド接続された下層金属への接続の失敗→フローティングしているパッド=暗色のパッドである。
別の例示的な試験対応タップセルを示す図16を参照する。このセルは、E字型電圧コントラスト標的/パッドを内包し、また以下の故障モードを検出するためのeビーム(又は他の荷電粒子)インライン試験のために構成される:ビアホールの、近傍の金属/局所的相互接続に対する短絡。図示されている構成では、合格反応=フローティングしている金属=暗色のパッドであり、その一方で不合格反応=グランド接続された下層金属に対する短絡→グランド接続されたパッド=明色のパッドである。
別の例示的な試験対応フィラーセルを示す図17を参照する。このセルは、E字型電圧コントラスト標的/パッドを内包し、また以下の故障モードを検出するためのeビーム(又は他の荷電粒子)インライン試験のために構成される:ビアホールの、下層の金属に対する短絡。図示されている構成では、合格反応=フローティングしている金属=暗色のパッドであり、その一方で不合格反応=グランド接続された下層金属に対する短絡→グランド接続されたパッド=明色のパッドである。
別の例示的な試験対応フィラーセルを示す図18を参照する。このセルは、E字型電圧コントラスト標的/パッドを内包し、また以下の故障モードを検出するためのeビーム(又は他の荷電粒子)インライン試験のために構成される:ビアホールの、下層の金属に対する短絡。図示されている構成では、合格反応=フローティングしている金属=暗色のパッドであり、その一方で不合格反応=グランド接続された下層金属に対する短絡→グランド接続されたパッド=明色のパッドである。
別の例示的な試験対応タップセルを示す図19を参照する。このセルは、E字型電圧コントラスト標的/パッドを内包し、また以下の故障モードを検出するためのeビーム(又は他の荷電粒子)インライン試験のために構成される:下層に対する接点短絡。図示されている構成では、合格反応=フローティングしている金属=暗色のパッドであり、その一方で不合格反応=グランド接続された下層→グランド接続されたパッド=明色のパッドである。
別の例示的な試験対応フィラーセルを示す図20を参照する。このセルは、E字型電圧コントラスト標的/パッドを内包し、また以下の故障モードを検出するためのeビーム(又は他の荷電粒子)インライン試験のために構成される:下層に対する接点短絡。図示されている構成では、合格反応=フローティングしている金属=暗色のパッドであり、その一方で不合格反応=グランド接続された下層→グランド接続されたパッド=明色のパッドである。
別の例示的な試験対応フィラーセルを示す図21を参照する。このセルは、E字型電圧コントラスト標的/パッドを内包し、また以下の故障モードを検出するためのeビーム(又は他の荷電粒子)インライン試験のために構成される:下層に対する接点短絡。図示されている構成では、合格反応=フローティングしている金属=暗色のパッドであり、その一方で不合格反応=グランド接続された下層→グランド接続されたパッド=明色のパッドである。
別の例示的な試験対応フィラーセルを示す図22を参照する。このセルは、E字型電圧コントラスト標的/パッドを内包し、また以下の故障モードを検出するためのeビーム(又は他の荷電粒子)インライン試験のために構成される:下層に対する接点短絡。図示されている構成では、合格反応=フローティングしている金属=暗色のパッドであり、その一方で不合格反応=グランド接続された下層→グランド接続されたパッド=明色のパッドである。
別の例示的な試験対応タップセルを示す図23を参照する。このセルは、E字型電圧コントラスト標的/パッドを内包し、また以下の故障モードを検出するためのeビーム(又は他の荷電粒子)インライン試験のために構成される:同色金属端部の金属側部に対する短絡。図示されている構成では、合格反応=フローティングしている金属=暗色のパッドであり、その一方で不合格反応=グランド接続された金属層→グランド接続されたパッド=明色のパッドである。
別の例示的な試験対応フィラーセルを示す図24を参照する。このセルは、E字型電圧コントラスト標的/パッドを内包し、また以下の故障モードを検出するためのeビーム(又は他の荷電粒子)インライン試験のために構成される:同色金属端部の金属側部に対する短絡。図示されている構成では、合格反応=フローティングしている金属=暗色のパッドであり、その一方で不合格反応=グランド接続された金属層→グランド接続されたパッド=明色のパッドである。
別の例示的な試験対応タップセルを示す図25を参照する。このセルは、E字型電圧コントラスト標的/パッドを内包し、また以下の故障モードを検出するためのeビーム(又は他の荷電粒子)インライン試験のために構成される:金属の開口。図示されている構成では、合格反応=グランド接続された金属=明色のパッドであり、その一方で不合格反応=グランド接続された金属への接続の失敗→フローティングしているパッド=暗色のパッドである。
別の例示的な試験対応フィラーセルを示す図26を参照する。このセルは、E字型電圧コントラスト標的/パッドを内包し、また以下の故障モードを検出するためのeビーム(又は他の荷電粒子)インライン試験のために構成される:金属の開口。図示されている構成では、合格反応=グランド接続された金属=明色のパッドであり、その一方で不合格反応=グランド接続された金属への接続の失敗→フローティングしているパッド=暗色のパッドである。
別の例示的な試験対応タップセルを示す図27を参照する。このセルは、E字型電圧コントラスト標的/パッドを内包し、また以下の故障モードを検出するためのeビーム(又は他の荷電粒子)インライン試験のために構成される:金属コーナーに対する金属の短絡。図示されている構成では、合格反応=フローティングしている金属=暗色のパッドであり、その一方で不合格反応=グランド接続された金属層に対する短絡→グランド接続されたパッド=明色のパッドである。
別の例示的な試験対応フィラーセルを示す図28を参照する。このセルは、E字型電圧コントラスト標的/パッドを内包し、また以下の故障モードを検出するためのeビーム(又は他の荷電粒子)インライン試験のために構成される:金属コーナーに対する金属の短絡。図示されている構成では、合格反応=フローティングしている金属=暗色のパッドであり、その一方で不合格反応=グランド接続された金属層に対する短絡→グランド接続されたパッド=明色のパッドである。
別の例示的な試験対応タップセルを示す図29を参照する。このセルは、E字型電圧コントラスト標的/パッドを内包し、また以下の故障モードを検出するためのeビーム(又は他の荷電粒子)インライン試験のために構成される:同色の接点端部の接点に対する短絡。図示されている構成では、合格反応=フローティングしている接点=暗色のパッドであり、その一方で不合格反応=グランド接続された接触層に対する短絡→グランド接続されたパッド=明色のパッドである。
別の例示的な試験対応タップセルを示す図30を参照する。このセルは、E字型電圧コントラスト標的/パッドを内包し、また以下の故障モードを検出するためのeビーム(又は他の荷電粒子)インライン試験のために構成される:異なる色の接点の接点端部に対する短絡。図示されている構成では、合格反応=フローティングしている接点=暗色のパッドであり、その一方で不合格反応=グランド接続された接触層に対する短絡→グランド接続されたパッド=明色のパッドである。
別の例示的な試験対応フィラーセルを示す図31を参照する。このセルは、E字型電圧コントラスト標的/パッドを内包し、また以下の故障モードを検出するためのeビーム(又は他の荷電粒子)インライン試験のために構成される:接点の接点に対する短絡。図示されている構成では、合格反応=フローティングしている接点=暗色のパッドであり、その一方で不合格反応=グランド接続された接触層に対する短絡→グランド接続されたパッド=明色のパッドである。
別の例示的な試験対応フィラーセルを示す図32を参照する。このセルは、E字型電圧コントラスト標的/パッドを内包し、また以下の故障モードを検出するためのeビーム(又は他の荷電粒子)インライン試験のために構成される:接点の接点に対する短絡。図示されている構成では、合格反応=フローティングしている接点=暗色のパッドであり、その一方で不合格反応=グランド接続された接触層に対する短絡→グランド接続されたパッド=明色のパッドである。
図33A〜85は、標準セルライブラリからの例示的なセルを示す。これらのセルは、上述の図11〜32の試験対応フィラーセルと適合する。これらの例示的な標準セルを、添付の図33A〜85に詳細に示す。図示されている各セルの機能を以下に説明する。図33Aは、これらの図のための層の凡例を提供するものであり、層が以下のように図示されている:金属‐1/第1のマスク(11);金属‐1/第2のマスク(12);ビアホール‐0(13);ビアホール‐1(14);金属‐2(15);ポリ接点(16);アクティブ(17);アクティブ接点(18);ポリ(19);ポリカット(20);及びアクティブカット(21)。これらのセルが、(例えば:S. Saika,“Standard cell library and semiconductor integrated circuit”、米国登録特許第8302057B2号(参照により本出願に援用される);J. J. Lee, et al.,“Standard Cell Placement Technique For Double Patterning Technology”、米国特許出願第20130036397A1号(これもまた参照により本出願に援用される);D. D. Sherlekar,“Power Routing in Standard Cell Designs”、米国特許出願第20120249182A1号(これもまた参照により本出願に援用される);H. H. Nguyen, et al.,“7-tracks standard cell library”、米国特許第6938226号(これもまた参照により本出願に援用される);P. Penzes, et al.,“High-speed low‐leakage‐power standard cell library”、米国特許第8079008号(これもまた参照により本出願に援用される);H.‐Y. Kim, et al.,“Standard cell libraries and integrated circuit including standard cells”、米国特許第8174052号(これもまた参照により本出願に援用される);及びO. M. K. Law, et al.,“Standard cell architecture and methods with variable design rules”米国特許第8173491号(これもまた参照により本出願に援用される)に記載されているような)当該技術分野において公知の方法及び構成においてインスタンス化及び使用するために構成されていることは、当業者であれば理解するであろう。更に、当業者であれば認識するであろうように、各セル境界の右及び左縁部を超えて図示されているダミーポリストライプは、DRC検査に使用され、従ってセル自体の一部と考えてはならない。本発明のライブラリを用いて構成された製品ICは好ましくは、市販の14nm製作プロセスを用いて製作される。
第1の例示的な標準セルの当接している左側及び右側部分をそれぞれ示す、図33A、Bを参照する。このセルは、駆動強度3において設定及び反転された出力を有する走査対応d‐フリップフロップの論理機能を実装する。
別の標準セルの当接している左側及び右側部分をそれぞれ示す、図34A、Bを参照する。このセルは、駆動強度2において設定及び反転された出力を有する走査対応d‐フリップフロップの論理機能を実装する。
別の標準セルの当接している左側及び右側部分をそれぞれ示す、図35A、Bを参照する。このセルは、駆動強度1において設定及び反転された出力を有する走査対応d‐フリップフロップの論理機能を実装する。
別の標準セルの当接している左側及び右側部分をそれぞれ示す、図36A、Bを参照する。このセルは、駆動強度3に設定された走査対応d‐フリップフロップを実装する。
別の標準セルの当接している左側及び右側部分をそれぞれ示す、図37A、Bを参照する。このセルは、駆動強度2に設定された走査対応d‐フリップフロップの論理機能を実装する。
別の標準セルの当接している左側及び右側部分をそれぞれ示す、図38A、Bを参照する。このセルは、駆動強度1に設定された走査対応d‐フリップフロップを実装する。
別の標準セルの当接している左側及び右側部分をそれぞれ示す、図39A、Bを参照する。これは、駆動強度3においてリセットされ反転された出力を有する走査対応d‐フリップフロップの論理機能を実装する。
別の標準セルの当接している左側及び右側部分をそれぞれ示す、図40A、Bを参照する。このセルは、駆動強度2においてリセットされ反転された出力を有する走査対応d‐フリップフロップを実装する。
別の標準セルの当接している左側及び右側部分をそれぞれ示す、図41A、Bを参照する。このセルは、駆動強度1においてリセットされ反転された出力を有する走査対応d‐フリップフロップを実装する。
別の標準セルの当接している左側及び右側部分をそれぞれ示す、図42A、Bを参照する。このセルは、駆動強度3にリセットされた走査対応d‐フリップフロップの論理機能を実装する。
別の標準セルの当接している左側及び右側部分をそれぞれ示す、図43A、Bを参照する。このセルは、駆動強度2にリセットされた走査対応d‐フリップフロップを実装する。
別の標準セルの当接している左側及び右側部分をそれぞれ示す、図44A、Bを参照する。このセルは、駆動強度1にリセットされた走査対応d‐フリップフロップの論理機能を実装する。
別の標準セルを示す図45を参照する。このセルは、駆動強度3において設定及びリセットされたラッチの論理機能を実装する。
別の標準セルを示す図46を参照する。このセルは、駆動強度2において設定及びリセットされたラッチの論理機能を実装する。
別の標準セルを示す図47を参照する。このセルは、駆動強度1において設定及びリセットされたラッチの論理機能を実装する。
別の標準セルを示す図48を参照する。このセルは、駆動強度3において設定されたラッチの論理機能を実装する。
別の標準セルを示す図49を参照する。このセルは、駆動強度2において設定されたラッチの論理機能を実装する。
別の標準セルを示す図50を参照する。このセルは、駆動強度1において設定されたラッチの論理機能を実装する。
別の標準セルを示す図51を参照する。このセルは、駆動強度3においてリセットされたラッチの論理機能を実装する。
別の標準セルを示す図52を参照する。このセルは、駆動強度2においてリセットされたラッチの論理機能を実装する。
別の標準セルを示す図53を参照する。このセルは、駆動強度1においてリセットされたラッチの論理機能を実装する。
別の標準セルを示す図54を参照する。このセルは、駆動強度4において反転された出力を有するラッチの論理機能を実装する。
別の標準セルを示す図55を参照する。このセルは、駆動強度3において反転された出力を有するラッチの論理機能を実装する。
別の標準セルを示す図56を参照する。このセルは、駆動強度2において反転された出力を有するラッチの論理機能を実装する。
別の標準セルを示す図57を参照する。このセルは、駆動強度1において反転された出力を有するラッチの論理機能を実装する。
別の標準セルを示す図58を参照する。このセルは、駆動強度3において反転された出力を有するラッチの論理機能を実装する。
別の標準セルを示す図59を参照する。このセルは、駆動強度2において反転された出力を有するラッチの論理機能を実装する。
別の標準セルを示す図60を参照する。このセルは、駆動強度1において反転された出力を有するラッチの論理機能を実装する。
別の標準セルを示す図61を参照する。このセルは、駆動強度3において設定、リセット及び反転されたクロックを有するラッチの論理機能を実装する。
別の標準セルを示す図62を参照する。このセルは、駆動強度2において設定、リセット及び反転されたクロックを有するラッチの論理機能を実装する。
別の標準セルを示す図63を参照する。このセルは、駆動強度1において設定、リセット及び反転されたクロックを有するラッチの論理機能を実装する。
別の標準セルを示す図64を参照する。このセルは、駆動強度3において設定及び反転されたクロックを有するラッチの論理機能を実装する。
別の標準セルを示す図65を参照する。このセルは、駆動強度2において設定及び反転されたクロックを有するラッチの論理機能を実装する。
別の標準セルを示す図66を参照する。このセルは、駆動強度1において設定及び反転されたクロックを有するラッチの論理機能を実装する。
別の標準セルを示す図67を参照する。このセルは、駆動強度3においてリセット及び反転されたクロックを有するラッチの論理機能を実装する。
別の標準セルを示す図68を参照する。このセルは、駆動強度2においてリセット及び反転されたクロックを有するラッチの論理機能を実装する。
別の標準セルを示す図69を参照する。このセルは、駆動強度1においてリセット及び反転されたクロックを有するラッチの論理機能を実装する。
別の標準セルを示す図70を参照する。このセルは、駆動強度3においてリセット、反転されたクロック及び反転された出力を有するラッチの論理機能を実装する。
別の標準セルを示す図71を参照する。このセルは、駆動強度2においてリセット、反転されたクロック及び反転された出力を有するラッチの論理機能を実装する。
別の標準セルを示す図72を参照する。このセルは、駆動強度1においてリセット、反転されたクロック及び反転された出力を有するラッチの論理機能を実装する。
別の標準セルを示す図73を参照する。このセルは、駆動強度4において反転されたクロック及び反転された出力を有するラッチの論理機能を実装する。
別の標準セルを示す図74を参照する。このセルは、駆動強度3において反転されたクロック及び反転された出力を有するラッチの論理機能を実装する。
別の標準セルを示す図75を参照する。このセルは、駆動強度2において反転されたクロック及び反転された出力を有するラッチの論理機能を実装する。
別の標準セルを示す図76を参照する。このセルは、駆動強度1において反転されたクロック及び反転された出力を有するラッチの論理機能を実装する。
別の標準セルを示す図77を参照する。このセルは、駆動強度3において反転されたクロックを有するラッチの論理機能を実装する。
別の標準セルを示す図78を参照する。このセルは、駆動強度2において反転されたクロックを有するラッチの論理機能を実装する。
別の標準セルを示す図79を参照する。このセルは、駆動強度1において反転されたクロックを有するラッチの論理機能を実装する。
別の標準セルを示す図80を参照する。このセルは、駆動強度4において2入力3状態マルチプレクサの論理機能を実装する。
別の標準セルを示す図81を参照する。このセルは、駆動強度2において2入力3状態マルチプレクサの論理機能を実装する。
別の標準セルを示す図82を参照する。このセルは、駆動強度1において2入力3状態マルチプレクサの論理機能を実装する。
別の標準セルの当接している左側及び右側部分をそれぞれ示す、図83A、Bを参照する。このセルは、駆動強度4において反転された出力を有する2入力3状態マルチプレクサの論理機能を実装する。
別の標準セルを示す図84を参照する。このセルは、駆動強度2において反転された出力を有する2入力3状態マルチプレクサの論理機能を実装する。
別の標準セルを示す図85を参照する。このセルは、駆動強度1において反転された出力を有する2入力3状態マルチプレクサの論理機能を実装する。
当業者であれば理解するであろうように、図33A〜85に図示されている例示的なフリップフロップ、ラッチ及びmuxの設計は、競合する設計と比較して大幅な改善(例えば少なくとも1つのポリストライプの削減)を達成している。
図86は、従来技術の「ステップ・アンド・スキャン(step and scan)」及び「スウォージング(swathing)」技術を示す。
図87は、従来技術のビーム走査/成形装置を示す。
図88は、図87のカラムを用いて実現できるビーム形状の例を示す。
図89は、典型的には円形であり、複数の同一のダイに分割される、例示的な半導体ウェハを示し、更に、全ての試験構造体がダイのスクライブライン領域内に配置されている例示的ケースを示す。
図90は、パッドが一列にレイアウトされた一連の試験構造体を示し、電子ビームのスポットは、上記スポットに対するウェハの相対移動によってパッド全体を走査する。
図91は、パッドに送達される電子流を最大化するために、パッドのサイズ及び形状に適合するように非円形に成形された、電子ビームスポットを示す。
図92は、試験構造体に送達する必要がある荷電量に応じてサイズ設定されたパッド形状の別の図を示し、より多くの荷電を必要とする試験構造体は、ビームの走査方向に沿ってより長いパッドを有し、これにより、パッド上のビーム滞留時間を増大させる。
図93は、荷電させるパッドが存在しない長い区間が存在する場合にはビームが迅速に、ただし一定の速度で移動し、パッドが配置された領域においては、試験構造体のパッドにより多く荷電させることができるように、よりゆっくりと移動するシナリオを示す。
図94は、パッドの両側にレイアウトされた試験構造体を示し、これにより、ウェハ上のビームの単回パスを用いて、より多くの試験構造体を走査できる。
図95は、パッドのレイアウトが半導体加工の設計ルールに適合するように、中実のパッドをより細いライン又は代替的形状に分割できる方法を示す。ここで図96を参照する。図96は、単一スポット測定における読み出しのための非円形入射eビームを受け入れるためのサイズ及び形状を有するVC DUTを示し、パッドグループは、1つ置きのラインのみがDUTに接続され、パッドの残りのラインがフローティング又はグランドへと接続されることによって、これらの極性が機能しているDUTの極性と反対になるように設計されている。
機能しているDUTに関して、パッドのラインは交互になった明色/暗色として現れることになり、その一方で機能していないDUT(即ち故障したDUT)に関しては、パッドは全て明色であるか、又は全て暗色である。ここでその利点は、全ての欠陥を有しないDUTに関する「正味の(net)」グレーレベルが事実上常に同一となり、画像コンピュータが全ての欠陥を有するDUTの検出のために同一の閾値を使用できる点である。これにより、画像コンピュータのソフトウェアアルゴリズム及びハードウェアが簡略化される。
これより本発明の特定の態様によるVC DUTの一実施形態の概念図である、図97を参照する。パッドは、大型スポットサイズeビームツールを用いて、単一ピクセル測定(即ち単一のアナログ読み出し値)又は同一位置におけるN個のアナログ値(即ちSNRを改善するためにN個のサンプルの二値平均化を使用できる)によって読み出される。
ビーム及びパッドは、ある程度同一のフットプリントを有するように設計される。この場合、X/Yアスペクト比は〜1となる。ビームはパッドに適合するように正方形に成形されるが、同様のサイズの円形であってもよい。図は4つのパッドを示しているが、本発明は1つ又は複数のパッドにも同様に適用される。
本発明の特定の態様によるVC DUTの別の実施形態の概念図である、図98を参照する。パッドは、大型スポットサイズeビームツールを用いて、単一ピクセル測定(即ち単一のアナログ読み出し値)又は同一位置におけるN個のアナログ値(即ちSNRを改善するためにN個のサンプルの二値平均化を使用できる)によって読み出される。全体として、パッド及びビームはウェハ上で同様のフットプリントを有する。しかしながら、半導体レイアウト設計ルールを満たしながら非対称ビーム(X/Yアスペクト比>3)を受け入れるために、パッドは、幅が狭い複数の水平なラインのアレイに分割されている。図は1つのパッドを示しているが、本発明は1つ又は複数のパッドにも同様に適用される。
本発明の特定の態様によるVC DUTの別の実施形態の概念図である、図99を参照する。パッドは線状ビームに対して最適化されている。パッド及びビームのX/Yアスペクト比は3超である。パッドは、バーコードスキャナのように読み取され、各パッドの極性が10ピクセル未満で読み取られる。図は4つのパッドを示しているが、本発明は1つ又は複数のパッドにも同様に適用される。

Claims (20)

  1. 少なくとも以下のステップ:
    製品ICウェハを初期製作ステップに供するステップ;
    前記ウェハ上に設けられた複数の試験構造体から連続走査を行わずにeビーム励起測定を得るステップであって前記各試験構造体に関連するeビームパッドであって、複数の電気的に接続された細長い金属セグメントを備えた論理デバイスを含むeビームパッドから10未満のピクセルを選択的にサンプリングすることによって当該eビームパッドを選択的に標的とする、前記測定を得るステップ;及び
    前記試験構造体から得られた測定に少なくとも部分的に基づいて、前記ウェハを、追加の製作ステップに選択的に供するステップ
    を含む、IC製作プロセス。
  2. 前記測定を得るステップは、非対称アスペクト比を有するeビーム標的パッドを選択的に標的とするステップを含む、請求項1に記載のIC製作プロセス。
  3. 前記測定を得るステップは、標的にされた前記各eビームパッドから単一のピクセルの測定のみを得るステップを伴う、請求項1に記載のIC製作プロセス。
  4. 前記選択的に供するステップは、前記初期製作ステップのうちの1つ又は複数を再実行するかどうかを決定するステップを含む、請求項1に記載のIC製作プロセス。
  5. 前記選択的に供するステップは、前記追加の製作ステップを実施するかどうかを決定するステップを含む、請求項1に記載のIC製作プロセス。
  6. 少なくとも以下のステップ:
    製品ICウェハを初期製作ステップに供するステップ;
    前記ウェハ上に設けられた複数の試験構造体からeビーム励起測定を得るステップであって、細長主軸を有するeビームスポットを用いて、前記各試験構造体に関連するeビームパッドであって、複数の電気的に接続された細長い金属セグメントを備えた論理デバイスを含むeビームパッドを選択的に標的とする、前記測定を得るステップ;及び
    前記試験構造体から得られた測定に少なくとも部分的に基づいて、前記ウェハを、追加の製作ステップに選択的に供するステップ
    を含む、IC製作プロセス。
  7. 標的にされた前記各eビームパッドのサイズおよび形状に適合するように、前記eビームスポットを成形することにより、走査効率を最大化する、請求項6に記載のIC製作プロセス。
  8. 標的にされた前記各eビームパッドは、前記eビームスポットの前記細長主軸に適合する、該eビームの走査方向の第1の寸法を有し、
    標的にされた前記eビームパッドのうちの少なくともいくつかは、前記第1の寸法に対して垂直な第2の寸法が異なっている、請求項6に記載のIC製作プロセス。
  9. 標的にされた前記各eビームパッドは、直線状の走査ラインに沿って位置決めされ、
    前記eビームスポットの前記細長主軸は、前記走査ラインに対して垂直に配向される、請求項6に記載のIC製作プロセス。
  10. 前記測定を得るステップは、標的にされた前記各eビームパッドから、10未満のピクセルの測定を得るステップを伴う、請求項6に記載のIC製作プロセス。
  11. 前記測定を得るステップは、標的にされた前記各eビームパッドから、単一のピクセルの測定のみを得るステップを伴う、請求項10に記載のIC製作プロセス。
  12. 前記選択的に供するステップは、前記初期製作ステップのうちの1つ又は複数を再実行するかどうかを決定するステップを含む、請求項6に記載のIC製作プロセス。
  13. 前記選択的に供するステップは、前記追加の製作ステップを実施するかどうかを決定するステップを含む、請求項6に記載のIC製作プロセス。
  14. 少なくとも以下のステップ:
    製品ICウェハを初期製作ステップに供するステップ;
    前記ウェハ上に設けられた複数の試験構造体からeビーム励起測定を得るステップであって、直線状走査方向に沿って、前記各試験構造体に関連するeビームパッドであって複数の電気的に接続された細長い金属セグメントを備えた論理デバイスを含むeビームパッドを選択的に標的とする、前記測定を得るステップ;及び
    前記試験構造体から得られた測定に少なくとも部分的に基づいて、前記ウェハを、追加の製作ステップに選択的に供するステップ
    を含む、IC製作プロセス。
  15. 標的にされた前記各eビームパッドは、サイズ及び形状が同一の少なくとも2つの前記細長金属セグメントを有する、請求項14に記載のIC製作プロセス。
  16. 前記測定を得るステップは、標的にされた前記各eビームパッドから、10未満のピクセルの測定を得るステップを伴う、請求項14に記載のIC製作プロセス。
  17. 前記測定を得るステップは、標的にされた前記各eビームパッドから、単一のピクセルの測定のみを得るステップを伴う、請求項16に記載のIC製作プロセス。
  18. 前記測定を得るステップは、前記直線状走査方向に対して垂直に配向された細長主軸を有するeビームスポットを用いて、選択的に標的とするステップを伴う、請求項14に記載のIC製作プロセス。
  19. 前記選択的に供するステップは、前記初期製作ステップのうちの1つ又は複数を再実行するかどうかを決定するステップを含む、請求項14に記載のIC製作プロセス。
  20. 前記選択的に供するステップは、前記追加の製作ステップを実施するかどうかを決定するステップを含む、請求項14に記載のIC製作プロセス。
JP2017518035A 2014-06-12 2015-06-12 フィラーセル、タップセル、デキャップセル、スクライブライン及び/又はダミーフィル並びにこれらを内包する製品ICチップのために使用されるはずの領域への、IC試験構造体及び/又はeビーム標的パッドの日和見的配置 Active JP6702955B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201462011161P 2014-06-12 2014-06-12
US201414303578A 2014-06-12 2014-06-12
US62/011,161 2014-06-12
US14/303,578 2014-06-12
PCT/US2015/035647 WO2015192069A1 (en) 2014-06-12 2015-06-12 Opportunistic placement of ic test structures and/or e-beam target pads in areas otherwise used for filler cells, tap cells, decap cells, scribe lines, and/or dummy fill, as well as product ic chips containing same

Publications (2)

Publication Number Publication Date
JP2017525160A JP2017525160A (ja) 2017-08-31
JP6702955B2 true JP6702955B2 (ja) 2020-06-03

Family

ID=54834446

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2017518035A Active JP6702955B2 (ja) 2014-06-12 2015-06-12 フィラーセル、タップセル、デキャップセル、スクライブライン及び/又はダミーフィル並びにこれらを内包する製品ICチップのために使用されるはずの領域への、IC試験構造体及び/又はeビーム標的パッドの日和見的配置

Country Status (5)

Country Link
JP (1) JP6702955B2 (ja)
KR (1) KR102474252B1 (ja)
CN (1) CN106575649A (ja)
TW (1) TW201611145A (ja)
WO (1) WO2015192069A1 (ja)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9799575B2 (en) 2015-12-16 2017-10-24 Pdf Solutions, Inc. Integrated circuit containing DOEs of NCEM-enabled fill cells
US10199283B1 (en) 2015-02-03 2019-02-05 Pdf Solutions, Inc. Method for processing a semiconductor wager using non-contact electrical measurements indicative of a resistance through a stitch, where such measurements are obtained by scanning a pad comprised of at least three parallel conductive stripes using a moving stage with beam deflection to account for motion of the stage
US9805994B1 (en) 2015-02-03 2017-10-31 Pdf Solutions, Inc. Mesh-style NCEM pads, and process for making semiconductor dies, chips, and wafers using in-line measurements from such pads
US10593604B1 (en) 2015-12-16 2020-03-17 Pdf Solutions, Inc. Process for making semiconductor dies, chips, and wafers using in-line measurements obtained from DOEs of NCEM-enabled fill cells
US10978438B1 (en) 2015-12-16 2021-04-13 Pdf Solutions, Inc. IC with test structures and E-beam pads embedded within a contiguous standard cell area
US9929063B1 (en) 2016-04-04 2018-03-27 Pdf Solutions, Inc. Process for making an integrated circuit that includes NCEM-Enabled, tip-to-side gap-configured fill cells, with NCEM pads formed from at least three conductive stripes positioned between adjacent gates
US9905553B1 (en) 2016-04-04 2018-02-27 Pdf Solutions, Inc. Integrated circuit containing standard logic cells and library-compatible, NCEM-enabled fill cells, including at least via-open-configured, AACNT-short-configured, GATECNT-short-configured, and metal-short-configured, NCEM-enabled fill cells
US9653446B1 (en) 2016-04-04 2017-05-16 Pdf Solutions, Inc. Integrated circuit containing standard logic cells and library-compatible, NCEM-enabled fill cells, including at least via-open-configured, AACNT-short-configured, TS-short-configured, and AA-short-configured, NCEM-enabled fill cells
US10283496B2 (en) * 2016-06-30 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit filler and method thereof
US9748153B1 (en) 2017-03-29 2017-08-29 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second does of standard cell compatible, NCEM-enabled fill cells, with the first DOE including side-to-side short configured fill cells, and the second DOE including tip-to-side short configure
US9773774B1 (en) 2017-03-30 2017-09-26 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including chamfer short configured fill cells, and the second DOE including corner short configured fill cells
US9768083B1 (en) 2017-06-27 2017-09-19 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including merged-via open configured fill cells, and the second DOE including snake open configured fill cells
US9786649B1 (en) 2017-06-27 2017-10-10 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including via open configured fill cells, and the second DOE including stitch open configured fill cells
US10096530B1 (en) 2017-06-28 2018-10-09 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including merged-via open configured fill cells, and the second DOE including stitch open configured fill cells
US9865583B1 (en) 2017-06-28 2018-01-09 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including snake open configured fill cells, and the second DOE including stitch open configured fill cells
CN107516655B (zh) * 2017-07-21 2019-08-13 上海华虹宏力半导体制造有限公司 划片槽测试结构及测试方法
CN111587484A (zh) * 2018-01-19 2020-08-25 株式会社索思未来 半导体集成电路装置
US11143690B2 (en) * 2019-10-02 2021-10-12 Nanya Technology Corporation Testing structure and testing method
CN111401420B (zh) * 2020-03-06 2023-04-18 普迪飞半导体技术(上海)有限公司 晶圆测试的异常数据聚类方法、装置、电子设备与介质
CN113742795B (zh) * 2020-05-27 2024-07-02 台湾积体电路制造股份有限公司 对集成电路中的半导体存储器的安全级别进行认证的方法

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02185054A (ja) * 1989-01-11 1990-07-19 Nec Corp 電子ビームを用いた基板検査装置
JP3409909B2 (ja) * 1994-03-11 2003-05-26 株式会社東芝 ウェーハパターンの欠陥検出方法及び同装置
JPH09265931A (ja) * 1996-03-29 1997-10-07 Toshiba Corp 画像取得装置及び方法
TW461008B (en) * 1997-01-13 2001-10-21 Schlumberger Technologies Inc Method and apparatus for detecting defects in wafers
US6539106B1 (en) * 1999-01-08 2003-03-25 Applied Materials, Inc. Feature-based defect detection
US6771806B1 (en) * 1999-12-14 2004-08-03 Kla-Tencor Multi-pixel methods and apparatus for analysis of defect information from test structures on semiconductor devices
WO2001080304A2 (en) * 2000-04-18 2001-10-25 Kla-Tencor Corporation Improved test structures and methods for inspecting and utilizing the same
JP3874996B2 (ja) * 2000-05-30 2007-01-31 ファブソリューション株式会社 デバイス検査方法および装置
US6897444B1 (en) * 2003-03-10 2005-05-24 Kla-Tencor Technologies Corporation Multi-pixel electron emission die-to-die inspection
US7256055B2 (en) * 2003-08-25 2007-08-14 Tau-Metrix, Inc. System and apparatus for using test structures inside of a chip during the fabrication of the chip
US7223616B2 (en) * 2004-06-04 2007-05-29 Lsi Corporation Test structures in unused areas of semiconductor integrated circuits and methods for designing the same
JP2007103598A (ja) * 2005-10-03 2007-04-19 Ebara Corp 半導体装置とその検査方法
JP2007080987A (ja) * 2005-09-13 2007-03-29 Ebara Corp 半導体装置及びその検査方法
US7952375B2 (en) * 2006-06-06 2011-05-31 Formfactor, Inc. AC coupled parameteric test probe

Also Published As

Publication number Publication date
WO2015192069A1 (en) 2015-12-17
TW201611145A (zh) 2016-03-16
KR102474252B1 (ko) 2022-12-05
CN106575649A (zh) 2017-04-19
KR20170018027A (ko) 2017-02-15
JP2017525160A (ja) 2017-08-31

Similar Documents

Publication Publication Date Title
JP6702955B2 (ja) フィラーセル、タップセル、デキャップセル、スクライブライン及び/又はダミーフィル並びにこれらを内包する製品ICチップのために使用されるはずの領域への、IC試験構造体及び/又はeビーム標的パッドの日和見的配置
US20150270181A1 (en) Opportunistic placement of ic test strucutres and/or e-beam target pads in areas otherwise used for filler cells, tap cells, decap cells, scribe lines, and/or dummy fill, as well as product ic chips containing same
US7739065B1 (en) Inspection plan optimization based on layout attributes and process variance
US7560292B2 (en) Voltage contrast monitor for integrated circuit defects
CN101785009A (zh) 确定实际缺陷是潜在***性缺陷还是潜在随机缺陷的计算机实现的方法
US8289508B2 (en) Defect detection recipe definition
TWI754151B (zh) 晶圓級測試方法及其測試結構
US11081477B1 (en) IC with test structures and e-beam pads embedded within a contiguous standard cell area
KR20100038391A (ko) 반도체 제조에 있어서의 비아 모니터링 장치 및 방법
US20020089345A1 (en) Method and device for semiconductor wafer testing
US9291669B2 (en) Semiconductor device, test structure of the semiconductor device, and method of testing the semiconductor device
US7397556B2 (en) Method, apparatus, and computer program product for optimizing inspection recipes using programmed defects
US7395518B2 (en) Back end of line clone test vehicle
Mittal et al. Test chip design for optimal cell-aware diagnosability
Koenemann Design/process learning from electrical test
US11899065B2 (en) System and method to weight defects with co-located modeled faults
KR20080096233A (ko) 반도체 디바이스의 불량 분석 방법 및 불량 분석 시스템
JP2013083537A (ja) 半導体装置の不良解析方法ならびに不良解析装置および不良解析プログラム
Abercrombie et al. Design/Process Learning from Production Test
Bhushan et al. Electrical Tests and Characterization in Manufacturing

Legal Events

Date Code Title Description
RD01 Notification of change of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7426

Effective date: 20170202

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20180612

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20190510

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190528

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20190828

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20191028

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20200407

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20200507

R150 Certificate of patent or registration of utility model

Ref document number: 6702955

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250