JP6688949B2 - 2次元遷移金属ジカルコゲナイド薄膜の製造方法 - Google Patents

2次元遷移金属ジカルコゲナイド薄膜の製造方法 Download PDF

Info

Publication number
JP6688949B2
JP6688949B2 JP2017561638A JP2017561638A JP6688949B2 JP 6688949 B2 JP6688949 B2 JP 6688949B2 JP 2017561638 A JP2017561638 A JP 2017561638A JP 2017561638 A JP2017561638 A JP 2017561638A JP 6688949 B2 JP6688949 B2 JP 6688949B2
Authority
JP
Japan
Prior art keywords
transition metal
metal dichalcogenide
containing precursor
substrate
dimensional
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2017561638A
Other languages
English (en)
Other versions
JP2018525516A (ja
Inventor
ウー カン,サン
ウー カン,サン
フン ムン,ジ
フン ムン,ジ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Korea Research Institute of Standards and Science KRISS
Original Assignee
Korea Research Institute of Standards and Science KRISS
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from KR1020150107443A external-priority patent/KR101770235B1/ko
Priority claimed from KR1020160093974A external-priority patent/KR101881304B1/ko
Application filed by Korea Research Institute of Standards and Science KRISS filed Critical Korea Research Institute of Standards and Science KRISS
Publication of JP2018525516A publication Critical patent/JP2018525516A/ja
Application granted granted Critical
Publication of JP6688949B2 publication Critical patent/JP6688949B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/305Sulfides, selenides, or tellurides
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B17/00Sulfur; Compounds thereof
    • C01B17/20Methods for preparing sulfides or polysulfides, in general
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01GCOMPOUNDS CONTAINING METALS NOT COVERED BY SUBCLASSES C01D OR C01F
    • C01G39/00Compounds of molybdenum
    • C01G39/06Sulfides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45555Atomic layer deposition [ALD] applied in non-semiconductor technology
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/16Controlling or regulating
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/18Epitaxial-layer growth characterised by the substrate
    • C30B25/186Epitaxial-layer growth characterised by the substrate being specially pre-treated by, e.g. chemical or physical means
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/46Sulfur-, selenium- or tellurium-containing compounds
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/60Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape characterised by shape
    • C30B29/64Flat crystals, e.g. plates, strips or discs
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01PINDEXING SCHEME RELATING TO STRUCTURAL AND PHYSICAL ASPECTS OF SOLID INORGANIC COMPOUNDS
    • C01P2002/00Crystal-structural characteristics
    • C01P2002/80Crystal-structural characteristics defined by measured data other than those specified in group C01P2002/70
    • C01P2002/82Crystal-structural characteristics defined by measured data other than those specified in group C01P2002/70 by IR- or Raman-data
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01PINDEXING SCHEME RELATING TO STRUCTURAL AND PHYSICAL ASPECTS OF SOLID INORGANIC COMPOUNDS
    • C01P2002/00Crystal-structural characteristics
    • C01P2002/80Crystal-structural characteristics defined by measured data other than those specified in group C01P2002/70
    • C01P2002/85Crystal-structural characteristics defined by measured data other than those specified in group C01P2002/70 by XPS, EDX or EDAX data
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01PINDEXING SCHEME RELATING TO STRUCTURAL AND PHYSICAL ASPECTS OF SOLID INORGANIC COMPOUNDS
    • C01P2004/00Particle morphology
    • C01P2004/01Particle morphology depicted by an image
    • C01P2004/03Particle morphology depicted by an image obtained by SEM
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01PINDEXING SCHEME RELATING TO STRUCTURAL AND PHYSICAL ASPECTS OF SOLID INORGANIC COMPOUNDS
    • C01P2004/00Particle morphology
    • C01P2004/01Particle morphology depicted by an image
    • C01P2004/04Particle morphology depicted by an image obtained by TEM, STEM, STM or AFM

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Inorganic Compounds Of Heavy Metals (AREA)

Description

本発明は、2次元遷移金属ジカルコゲナイドの製造方法に係り、特に高均一の2次元遷移金属ジカルコゲナイド薄膜の製造方法に関する。
2次元(2D)素材は層状構造を有する素材を意味し、このような2次元の素材の代表的なものとしては、グラフェン、遷移金属ジカルコゲナイド(transition metal dichalcogenide)などがある。また、バルクと比べて物理的/化学的特性が変わる厚さを有する2次元素材は、2次元薄膜と呼ばれる。
グラフェンが、従来の電子デバイスに用いられた素材を置き換えることができる有望な候補材料であることを、様々な研究が示している。しかし、グラフェンは、高い電子移動度、弾性(elasticity)、熱伝導性、及び柔軟性H8に優れた性質を持っているにもかかわらず、バンドギャップの欠乏(純粋なグラフェンの場合は0eV)のためにトランジスタ及び光デバイスには適していない。これに対し、遷移金属ジカルコゲナイド、例えば、二つの硫黄原子の間に位置した一つのモリブデン原子の共有結合及び層間ファンデルワールス力によって凝集されて積層された構造物である、二硫化モリブデン(MoS)は、調節可能なバンドギャップ[1.2 eV(バルク)の間接バンドギャップから1.8eV(単層)の直接バンドギャップまで]及び周辺の安定性の故に、新しい2次元(2D)素材として脚光を浴びている。
MoS単層の製造は、グラフェンの製造に用いられた接近法と類似したマイクロ機械的剥離法(micromechanical exfoliation method)によって最初に行われ、電界効果トランジスタ(FET)のチャンネル素材(channel material)としてその応用の可能性が確認された。誘電体スクリーニング方法を用いてMoSの電気的特性を向上させた研究が発表された以来、マイクロ機械的及び化学的剥離、リチウム置換反応(lithiation)、熱分解(thermolysis)、及び2−ステップ熱蒸着法(thermal evaporation)などの様々な合成工程についての研究が行われてきた。続いて、予備蒸着されたMoの硫化(sulphurization)が開発され、前記硫化が大面積MoSの合成に対してある程度適当な方法であることが明らかになった。しかし、前記予備蒸着されたMoの硫化によって製造されたMoSは、剥離されたサンプルと比較して不均一性(non−uniformity)及び低い電界効果と移動度を示し、前記MoSは、時々予備蒸着されたMoと硫黄の不完全な結合の故に、基材上に垂直に成長される。化学気相蒸着(CVD)法は、大面積MoS成長についてよく知られている方法であり、Lee等は[Lee、Y.−H. et al.Synthesis of largearea MoSatomic layers with chemical vapor deposition.Adv.Mater.24,2320−2325(2012)]、三酸化モリブデン(MoO)から還元されたモリブデンオキシスルフィド(MoO3−x)及び硫黄粉末を用いたCVD法が、誘電体基材上にMoS原子層を成長させる非常に効果的な方法であることを示した。類似の方法を用いて、より大きな結晶サイズを有するか層数制御が可能な大面積の高品質のMoSの研究が行われてきた。
しかし、500℃以下又は400℃未満の低温でのMoSの適切な成長方法は、まだ報告されておらず、まだ550℃〜850℃の高温でのMoO3−xの硫化を必要とする。MoSを成長させるための新規前駆体としてモリブデンペンタクロライド(MoCl)及びモリブデンヘキサカルボニル[Mo(CO)]を用いて行われたいくつかの研究にもかかわらず、低温での前記合成は、基材上に3次元(3D)構造のMoSの形成を誘導する傾向がある。通常、より高い温度は、少数の核、表面上の長い拡散長、及び揮発性物質の効果的な脱着により、高品質のフィルムの成長を容易にする。しかし、低温では、 核生成に対する小さな臨界半径及び表面の短い拡散長のため、高品質フィルムの成長が容易ではなく、特に単層成長に対しては挑戦的である。
一方、2次元薄膜の品質を決定する代表的な特性としては、結晶サイズ(grain size)と均一度(uniformity)がある。従来では、結晶サイズが大きい2次元薄膜が高品質であることが認められたが、最近発表された研究[K. Kang、X. Xie、L. Huang、Y. Han、P.Y. Huang、K.F. Mak、C.−J. Kim、D. Muller&J. Park、High−mobility three−atom−thick semiconducting films with wafer−scale homogeneity、Nature、520、656−660、2015]によると、CVD法により製造された非常に均一な2次元薄膜の場合、品質が最も良いと知られている剥離方法により製造された2次元薄膜よりも3〜4倍高い物性効果を示した。したがって、均一度の高い2次元薄膜の合成が、2次元薄膜の品質を決定する核心技術として浮上している。
現在までに知られているMOSの製造方法においては、厚さの均一なMOSを合成するためには、少なくとも550℃以上の高温条件を必要とし、500℃以下の低温条件で均一な厚さを有するMOSに対する適切な合成方法は、まだ報告されていない。前記高温条件では、基板(一般的には誘電体)の表面でMoS分子の移動度が非常に高いので、基板上にMoSのbilayer(二重層、第二層)を形成せずに、MoSのmonolayer(単層、第一層)だけを大面積(8インチ以上)で均一に合成することが可能である。しかし、前記低温条件では、基板の表面におけるMoS分子の移動度が非常に低いため、結晶サイズが一定のサイズ以上成長できなくなり、大面積の基板全体をMoSのmonolayerで被覆する前にMoSのbilayer生成が行われるので、基板上に3次元(3D)構造のMoSの形成を誘導する傾向がある。
さらに詳細には、基板表面における分子の移動度は、Einstein's relationによる拡散長(diffusion length)で表される(数1)。このとき、合成温度が低すぎると、表面における拡散長が短くなり、分子が結合を達成する前に表面から蒸発することになる。また、ファンデルワールス力によってmonolayer上に吸着された分子がmonolayer側面位置に移動できず、bilayerを形成できる核生成サイト( nucleation site)を提供することになり、一般的にフォルマー・ウェーバー(Volmer−Weber)成長モード又はストランスキー・クラスタノフ(Stranski−Krastanov)成長モードにより薄膜が合成される(図14参照)。したがって、一般的に高均一のmonolayer薄膜の合成は、高温で行われる。すなわち、高温の合成条件では、基板表面における高い分子移動度の故にフランク・ファンデルメルヴェ(Frank−Van der Merve)成長モードにより薄膜が合成されて、基板全体をmonolayerで被覆することができるが、低温の合成条件では、基板表面における低い分子移動度の故にフォルマー・ウェーバー成長モード又はストランスキー・クラスタノフ成長モードにより薄膜が合成されて、基板全体をmonolayerで被覆することができない。
λ:拡散長、
T:温度、
λ:前指数因子(pre−exponential factor)、
:吸着エネルギー(adsorption energy)、
:拡散障壁(diffusion barrier)、
:ボルツマン定数
したがって、500℃以下の低温条件下でも、8インチ以上の大面積基板上に高い均一度を有する2次元薄膜、具体的には、高い均一度を有する2次元遷移金属ジカルコゲナイド薄膜を成長させることができる製法の開発が求められているのが現状である。
本発明は、2次元遷移金属ジカルコゲナイドを製造する方法を提供することを目的とする。また、本発明は、高均一の2次元遷移金属ジカルコゲナイド薄膜を製造する方法、より具体的には、500℃以下の低温条件下で高均一の2次元遷移金属ジカルコゲナイド薄膜を製造する方法を提供することを目的とする。
本願の第1の側面は、蒸着チャンバー内で基材を前処理するステップと;前記蒸着チャンバー内にカルコゲン含有前駆体及び遷移金属含有前駆体を供給して前記基材上に2次元遷移金属ジカルコゲナイドを蒸着するステップと;を含む、2次元遷移金属ジカルコゲナイドの製造方法を提供する。
本願の第2の側面は、蒸着チャンバー内で基板の表面処理により基板の表面エネルギーを減少させるステップと;前記蒸着チャンバー内にカルコゲン含有前駆体、遷移金属含有前駆体及び前駆体分解促進触媒を供給して前記基板上に2次元遷移金属ジカルコゲナイド単層(monolayer)を蒸着するステップと;を含む、2次元遷移金属ジカルコゲナイド薄膜の製造方法を提供する。
前記蒸着ステップでは、2次元遷移金属ジカルコゲナイド二重層(bilayer)の生成を防止するための抑制剤を追加で供給することができる。
前記抑制剤の吸着エネルギー(adsorption energy)は、遷移金属ジカルコゲナイド単層の基底面(basal plane)よりも基板及び遷移金属ジカルコゲナイド単層の側面位置でより高く、カルコゲンの吸着エネルギーは、遷移金属ジカルコゲナイド単層の側面位置よりも基板及び遷移金属ジカルコゲナイド単層の基底面でより高い。
前記前駆体分解促進触媒は、カルコゲン含有前駆体内のカルコゲン原子からカルコゲン 原子と結合されたリガンドの分解を促進及び/又は遷移金属含有前駆体内の遷移金属原子から遷移金属原子と結合されたリガンドの分解を促進することができる。
前記基板の表面処理は、ピラニア(piranha)溶液の処理、硫酸(HSO)溶液の処理、塩酸(HCl)溶液の処理及びアルカリ金属水酸化物溶液の処理からなる群から選択される湿式処理方法;又はOプラズマ処理及び水蒸気を用いた熱処理からなる群から選択される乾式処理方法;により行うことができる。
前記基板が、SiO、Al2O、HfO、LiAlO、MgO、Si、Ge、GaN、AlN、GaP、InP、GaAs、SiC、ガラス、石英、サファイア、グラファイト、グラフェン、プラスチック、高分子、窒化ホウ素(h−BN)、及びこれらの組み合わせからなる群から選択されることができる。
前記基板が、SiO、Al2O、HfO、LiAlO、MgO、及びこれらの組み合わせからなる群から選択され、前記基板の表面処理は、ピラニア(piranha)溶液の処理、硫酸(HSO)溶液の処理、塩酸(HCl)溶液の処理及びアルカリ金属水酸化物溶液の処理からなる群から選択される湿式処理方法;又はOプラズマ処理及び水蒸気を用いた熱処理からなる群から選択される乾式処理方法;により行うことができる。
前記カルコゲン含有前駆体は、S含有有機化合物、S含有無機化合物であり得る。
前記遷移金属含有前駆体は、Ti、V、Cr、Mn、Fe、Co、Ni、Cu、Zn、Nb、Ta、Mo、W、Tc、Re、Ru、Os、Rh、Ir、Pt、Ag、Au 、Cd、In、Tl、Sn、Pb、Sb、Bi、Zr、Te、Pd、Hf、及びこれらの組み合わせからなる群から選択される遷移金属を含むことができる。
カルコゲン含有前駆体分圧/遷移金属含有前駆体の分圧の比が1/2以上であり得る。
また、カルコゲン含有前駆体分圧/遷移金属含有前駆体の分圧の比が2以上であり得る。
前記蒸着ステップは、500℃以下の温度で行うことができる。
前記蒸着ステップは、化学気相蒸着(CVD)法により行うことができる。
前記蒸着ステップでは、カルコゲン含有前駆体分圧/遷移金属含有前駆体の分圧の比を増加させて気相反応によって生成される遷移金属ジカルコゲナイドのクラスターサイズを減らし、基板の表面エネルギーを減少させて遷移金属ジカルコゲナイドの2次元成長を誘導することができる。
前記蒸着ステップでは、キャリアガスの量を調節するか又はカルコゲン含有前駆体及び遷移金属含有前駆体の温度を調節して、蒸着チャンバー内に供給されるカルコゲン含有前駆体及び遷移金属含有前駆体の量を調節することにより、カルコゲン含有前駆体分圧/遷移金属含有前駆体の分圧の比を調節することができる。
また、本発明は、前記課題を解決するための他の手段として、
(1)蒸着チャンバー内で基板の表面処理により基板の表面エネルギーを減少させるステップと;(2)500℃以下の温度及び0.001Torr乃至760Torrの圧力下で、前記蒸着チャンバー内にカルコゲン含有前駆体及び遷移金属含有前駆体を供給して前記基板上に2次元遷移金属ジカルコゲナイドの結晶を生成させるステップと;(3)ステップ(2)の圧力よりも増加された圧力下で、前記蒸着チャンバー内にカルコゲン含有前駆体及び遷移金属含有前駆体を供給して前記基板上に2次元遷移金属ジカルコゲナイドの結晶サイズを増大させるステップと;(4)ステップ(3)の圧力よりも増加された圧力下で、前記蒸着チャンバー内にカルコゲン含有前駆体及び遷移金属含有前駆体を供給して前記基板上に2次元遷移金属ジカルコゲナイド単層を形成するステップと;を含む、2次元遷移金属ジカルコゲナイド薄膜の製造方法を提供する。
前記ステップ(2)乃至ステップ(4)において、蒸着チャンバー内の前駆体分解促進触媒を追加で供給することができる。
また、前記ステップ(2)乃至ステップ(4)において、2次元遷移金属ジカルコゲナイド二重層(bilayer)の生成を防止するための抑制剤を追加で供給することができる。
本発明の一具現例によれば、前記2次元遷移金属ジカルコゲナイドのクラスター(又は結晶)のサイズ及び核生成サイトを制御することにより、約600℃以下又は約500℃以下の低温で大面積で高均一の2次元遷移金属ジカルコゲナイド薄膜を製造することができ、前記温度範囲の低温成長が可能であるため、フレキシブル基板又は基材で直接大面積で高均一の2次元遷移金属ジカルコゲナイド薄膜を成長させることができる。
本発明の一具現例に係る2次元遷移金属ジカルコゲナイド薄膜は、素子として用いることができ、前記薄膜は、多結晶性単層(monolayer)であると同時に2次元構造を有することにより、次世代のフレキシブルデバイス及びウェアラブルデバイスとして活用することができる。
図1(a)及び図1(b)は、本願の一実施例において、2次元遷移金属ジカルコゲナイドの遷移金属含有前駆体の温度による蒸気圧を示すグラフ及び、前記2次元遷移金属ジカルコゲナイドの分解特性を示すFT−IRグラフである。 図2(a)及び図2(b)は、本願の一実施例において、2次元遷移金属ジカルコゲナイドの蒸着のためのガス流動ラインとロードロックチャンバーに接続されたシャワーヘッド型反応器を示す模式図である。 図3(a)乃至図3(d)は、本願の一実施例において、硫黄含有前駆体とモリブデン金属含有前駆体の様々な分圧の比(PSR/PMoP)で測定された2次元遷移金属ジカルコゲナイドの顕微鏡イメージ[a乃至c]及びラマンスペクトル(d)である。 本願の一実施例において、様々な種類のエッジタイプに応じた2次元遷移金属ジカルコゲナイドのS/Moの比を示すグラフである。 図5(a)は、本願の一実施例において、低温で成長された2次元遷移金属ジカルコゲナイドの成長ウィンドウを示すグラフであり、図5(b)は、本願の一実施例において、様々なPSR/PMoPで成長された2次元遷移金属ジカルコゲナイドの顕微鏡イメージである。 図5(a)は、本願の一実施例において、低温で成長された2次元遷移金属ジカルコゲナイドの成長ウィンドウを示すグラフであり、図5(b)は、本願の一実施例において、様々なPSR/PMoPで成長された2次元遷移金属ジカルコゲナイドの顕微鏡イメージである。 図6(a)乃至図6(h)は、本願の一実施例において、様々なPSR/PMoPで成長された2次元遷移金属ジカルコゲナイドの構造(a)、クラスターサイズ制御メカニズムの図式[b及びc]、ラマンスペクトル[d及びe]、光発光スペクトルf、及びXPSスペクトル[g及びh]である。 本願の一実施例において、様々な基材上で様々な成長時間に沿って成長された2次元遷移金属ジカルコゲナイドの原子間力顕微鏡(AFM)イメージである。 図8(a)乃至図8(c)は、本願の一実施例において、様々な基材上で成長された2次元遷移金属ジカルコゲナイドのAFMイメージである。 図9(a)乃至図9(f)は、本願の一実施例において、様々な成長時間に沿って成長された2次元遷移金属ジカルコゲナイドの顕微鏡イメージ及び前記2次元遷移金属ジカルコゲナイドのラマンスペクトルである。 図10(a)及び図10(b)は、本願の一実施例において、大面積ウェハ上に成長された単層の2次元遷移金属ジカルコゲナイドのイメージ(a)及び前記2次元遷移金属ジカルコゲナイドのエリプソメトリ(elipsometry)マッピング分析結果(b)である。 図11(a)乃至図11(d)は、本願の一実施例において、単層乃至5層に積層された2次元遷移金属ジカルコゲナイドのイメージ(a)、前記2次元遷移金属ジカルコゲナイドのラマンスペクトル[b及びc]、及び前記2次元遷移金属ジカルコゲナイドの光発光スペクトル(d)である。 図12(a)乃至図12(d)は、本願の一実施例において、転写された3次元構造の遷移金属ジカルコゲナイドの低倍率HRTEMイメージ(a)、及び前記2次元遷移金属ジカルコゲナイドの任意の位置の高倍率HRTEMイメージ[(b)乃至(d)]である。 図13(a)乃至図13(d)は、本願の一実施例において、2次元遷移金属ジカルコゲナイドの低倍率STEM−HAADFイメージ(a)、前記2次元遷移金属ジカルコゲナイドのグレイン境界の高倍率STEM−HAADFイメージ(b)、前記図13(b)における平滑化及びフーリエ(Fourier)フィルタリングされたイメージ(c)、及び作製されたFETデバイスの電気的特性を示すグラフ(d)である。 基板表面における薄膜の成長モードを示す模式図である。一般的に高温条件(例えば、550℃以上の温度条件)下では、基板表面における高い分子移動度の故にフランク−ファン・デル・モブ成長モード(Frank−Van der Merve growth mode)により薄膜が合成されて、基板全体を単層(ML、monolayer)薄膜で被覆することができるが、低温条件(例えば、500℃以下の温度条件)下では、基板表面における低い分子移動度の故にフォルマー・ウェーバー成長モード(Volmer−Weber growth mode )又はストランスキー・クラスタノフ成長モード(Stranski−Krastanov growth mode)により薄膜が合成されて、基板全体を単層薄膜で被覆することができない。 本発明に係る一具現例の表面エネルギーの減少ステップにおいて、SiO基板を水酸化カリウム(KOH)溶液で処理した場合、水酸化カリウム溶液の濃度に応じた基板表面における核生成の結果を示す走査電子顕微鏡イメージである。 本発明に係る一具現例の蒸着ステップにおいて、前駆体分解促進触媒の使用の有無による2次元遷移金属ジカルコゲナイド薄膜の合成結果を示す走査電子顕微鏡イメージである。 蒸着チャンバー内の温度に応じた2次元遷移金属ジカルコゲナイド薄膜の合成結果を示す走査電子顕微鏡イメージ[((a)乃至(c))]及びラマンスペクトル[(d)及び(e)]である。 本発明に係る一具現例の蒸着ステップにおいて、2次元遷移金属ジカルコゲナイド二重層(bilayer)の生成を防止するための抑制剤の使用の有無による2次元遷移金属ジカルコゲナイド薄膜の合成結果を示す模式図ある。 本発明に係る一具現例の蒸着ステップにおいて、2次元遷移金属ジカルコゲナイド二重層(bilayer)の生成を防止するための抑制剤の使用の有無による2次元遷移金属ジカルコゲナイド薄膜の合成結果を示す走査電子顕微鏡イメージである。 本発明に係る一具現例であって、蒸着チャンバー内の圧力に応じた2次元遷移金属ジカルコゲナイド薄膜の合成結果を示す走査電子顕微鏡イメージである。 本発明に係る一具現例であって、基板上に多数の核を形成する条件下で一定時間の間核を生成させるステップ(a)、圧力変化により前記基板上に生成された小サイズの核結晶を大サイズの核結晶に成長させるステップ(b)、及び圧力変化により前記基板上に高均一の2次元遷移金属ジカルコゲナイド単層薄膜を形成させるステップ(c)を含む、2次元遷移金属ジカルコゲナイド薄膜の製造方法を示す模式図である。
以下、添付図面を参照して本願が属する技術分野で通常の知識を有する者が容易に実施できるように本願の実施例を詳細に説明する。しかし、本願は、様々な異なる形態で具現可能であり、ここで説明する実施例に限定されるものではない。そして図面で本院を明確に説明するために説明と関係ない部分は省略し、明細書全体を通じて類似した部分については同様の符号を付した。
本願の明細書全体において、ある部分が他の部分と「連結」されているとする時、これは「直接的に連結」されている場合だけでなく、その中間に他の素子をおいて「電気的に連結」されている場合も含む。
本願の明細書全体において、ある部材が他の部材「上に」位置しているというと、これは、ある部材が他の部材に隣接している場合だけでなく、二つの部材の間に別の部材が存在する場合も含む。
本願明細書全体において、ある部分がある構成要素を「含む」という時、これは特に反対される記載がない限り、他の構成要素を除外することでなく、他の構成要素をさらに含むことがきることを意味する。
本願の明細書全体において使用される程度の用語「約」、「実質的に」などは、言及された意味に固有の製造及び物質許容誤差が提示される時、その数値で又はその数値に近接した意味として使用され、本願の理解を助けるために、正確であるか絶対的な数値が言及された開示内容を非良心的な侵害者が不当に利用することを防止するために使用される。本願の明細書全体において使用される程度の用語「〜(する)ステップ」又は「〜のステップ」は、「〜のためのステップ」を意味していない。
本願の明細書全体において、マクシ型の表現に含まれている "これらの組み合わせ(たち)」の用語は、マクシ型の表現に記載された構成要素からなる群から選択される1つ以上の混合又は組み合わせを意味するものであり、前記構成要素からなる群から選択される1つ以上を含むことを意味する。
本願の明細書の全体において、マーカッシュ形式の表現に含まれた用語「これらの組み合わせ」は、マクシ形式の表現に記載された構成要素からなる群から選択される一つ以上の混合又は組み合わせを意味するものであって、前記構成要素からなる群から選択される一つ以上を含むことを意味する。
本願の明細書全体において、「A及び/又はB」の記載は、「A又はB、又はA及びB」を意味する。
本願の明細書全体において、蒸着チャンバー内に供給されたカルコゲン含有前駆体と遷移金属含有前駆体の分解反応で生成されるカルコゲン原子と遷移金属原子の気相反応によって生成される遷移金属ジカルコゲナイド粒子を「クラスター」と呼ぶ。
本願の明細書全体において、蒸着チャンバー内に供給されたカルコゲン含有前駆体と遷移金属含有前駆体が基板の表面に付着した後、カルコゲン原子と遷移金属原子の表面反応によって生成されるか、又は気相反応によって生成されたクラスターが基板の表面に拡散された後、表面の反応によって生成される遷移金属ジカルコゲナイド粒子を「結晶」と呼ぶ。
以下、添付図面を参照して、本発明の具現例及び実施例を詳細に説明する。しかし、本発明はこれらの具現例及び実施例と図面に制限されるものではない。
本発明の第1の側面は、蒸着チャンバー内で基材を前処理するステップと;前記蒸着チャンバー内にカルコゲン含有前駆体及び遷移金属含有前駆体を供給して前記基材上に2次元遷移金属ジカルコゲナイドを蒸着するステップと;を含む、2次元遷移金属ジカルコゲナイドの製造方法を提供する。
本願の一具現例において、2次元遷移金属ジカルコゲナイドのクラスターサイズ及び核生成サイトを制御することにより、約600℃以下の低温で高品質の大面積2次元遷移金属ジカルコゲナイドを製造することができ、前記2次元遷移金属ジカルコゲナイドを用いて優れた電気的性能を有する素子を製造することができる。
本願の一具現例において、前記蒸着は、約600℃以下の低温で行われる可能性があるが、これに限定されるものではない。例えば、前記温度範囲は、約600℃以下、約500℃以下、約400℃以下、約100℃〜約600℃、約200℃〜約600℃、約400℃〜約600℃、約100℃ 〜約400℃、又は約200℃〜約400℃であり得るが、これらに限定されるものではない。
本願の一具現例において、前記蒸着は、当業界に公知された蒸着方法を特別な制限なく使用することができ、例えば、化学気相蒸着法によって行われる可能性があるが、これに限定されるものではない。例えば、前記化学気相蒸着法は、低圧化学気相蒸着法(low pressure chemical vapor deposition、LPCVD)、常圧化学気相成長法(atmospheric pressure chemical vapor deposition、APCVD)、 金属有機化学気相蒸着法(metal organic chemical vapor deposition、MOCVD )、プラズマ化学気相蒸着法(plasma−enhanced chemical vapor deposition、PECVD)、誘導結合プラズマ化学気相蒸着(inductively coupled plasma−chemical vapor deposition、ICP−CVD)、原子層蒸着法、又はプラズマ原子層蒸着法を含むことができるが、これらに限定されるものではない。
本願の一具現例において、前記遷移金属含有前駆体は、Ti、V、Cr、Mn、Fe、Co、Ni、Cu、Zn、Nb、Ta、Mo、W、Tc、Re、Ru、Os、Rh 、Ir、Pt、Ag、Au、Cd、In、Tl、Sn、Pb、Sb、Bi、Zr、Te、Pd、Hf、及びこれらの組み合わせからなる群から選択される遷移金属を含むことができるが、が、これらに限定されるものではない。例えば、前記遷移金属含有前駆体は、Mo(CO)、Mo(Cl)、MoO(Cl)、MoO、及びこれらの組み合わせからなる群から選択されることを含むことができるが、これらに限定されるものではない。
本願の一具現例において、前記カルコゲン含有前駆体は、HS、CS、SO、S、HSe、HTe、RSR(ここで、R及びRは、それぞれ独立的に炭素数1〜6のアルキル基、炭素数2〜6のアルケニル基、又は炭素数2〜6のアルキニル基である)、(NHS、COS、S(CNH、NaSHO、及びこれらの組み合わせからなる群から選択でき、好ましくはHS、CS、SO、S、RSR(ここで、R及びRは、それぞれ独立的に炭素数1〜6のアルキル基、炭素数2〜6のアルケニル基、又は炭素数2〜6のアルキニル基である)、(NHS、COS、S(CNH、NaSHO、及びこれらの組み合わせからなる群から選択されるS含有有機化合物又はS含有無機化合物であり得るが、これらに限定されるものではない。
本願の一具現例において、前記カルコゲン含有前駆体(以下、「CP」と呼ばれる)/前記遷移金属含有前駆体(以下、「MP」と呼ばれる)の分圧の比(PCP/PMP)を調節することにより、蒸着される前記2次元遷移金属ジカルコゲナイドのクラスターサイズが制御できるが、これに限定されるものではない。前記クラスターサイズが制御されることにより、蒸着された2次元遷移金属ジカルコゲナイドの構造変化が行われる可能性があるが、これに限定されるものではない。
本願の一具現例において、前記蒸着過程において、キャリアガスを用いないか又はキャリアガスの流量を微調節して前記蒸着チャンバー内の圧力を調節し、前記蒸着チャンバー内に供給される前記カルコゲン含有前駆体及び前記遷移金属含有前駆体の量を微調節することにより、前記カルコゲン含有前駆体/遷移金属含有前駆体の分圧の比が微調節される可能性があるが、これに限定されるものではない。
本願の一具現例において、前記カルコゲン含有前駆体/前記遷移金属含有前駆体の分圧の比を調節することにより、前記遷移金属ジカルコゲナイドの蒸着過程において気相反応によって形成されるクラスターのサイズが調節され、表面エネルギーを調節して前記遷移金属ジカルコゲナイドの2次元成長が誘導される可能性があるが、これに限定されるものではない。
本願の一具現例において、前記蒸着するステップにおいて、例えば、化学気相蒸着ステップでは、気相反応(gas phase reaction)と基材表面における反応が同時に行われ、前記気相反応でガス状の物質同士が反応して遷移金属ジカルコゲナイドのクラスターを形成し、このようなクラスターが基材表面に伝達されて表面反応が行われる。前記クラスターは、カルコゲン含有前駆体/遷移金属含有前駆体の分圧の比(PCP/PMP)が低いほど大サイズに形成され、基材表面に伝達され、この場合、基材表面における核成長が行われ、ガス状物質が横にくっつきながら領域(island)形態に成長することになる(一定のサイズまでは核と呼び、サイズが大きくなるとislandと総称する)。この時、ガス状物質から生成されたクラスターも基材表面に拡散によって伝達される。この時、基材表面の温度が高温条件(例えば、550℃以上の温度)である場合、前記結晶は、表面拡散効果によって2次元構造への成長が可能であるが、基材の表面温度が低温条件(例えば、500 ℃以下の温度)である場合は、エネルギーが不足するため、このような表面拡散効果が活発に起こらない。したがって、前記カルコゲン含有前駆体/遷移金属含有前駆体の分圧の比(PCP/PMP)を非常に高めて気相反応により生成されるクラスターサイズが小さくなるように調節し、表面反応時基材の表面エネルギーを減少させることにより、低温条件下で蒸着される2次元遷移金属ジカルコゲナイド薄膜の構造を調節することができる。
本願の一具現例において、前記カルコゲン含有前駆体/遷移金属前駆体の分圧の比(PCP/PMP)が増加するにつれ、蒸着される2次元遷移金属ジカルコゲナイドは、不規則な3次元領域から2次元三角形領域の混合構造に変化することができるが、これに限定されるものではない。例えば、前記カルコゲン含有前駆体/遷移金属前駆体の分圧の比(PCP/PMP)が高くなるほど、前記生成される遷移金属ジカルコゲナイドの表面エネルギー(surface energy)が低くなり、これにより遷移金属ジカルコゲナイドの2次元的な成長が誘導できる。
本願の一具現例において、前記カルコゲン含有前駆体/遷移金属含有前駆体の分圧の比(PCP/PMP)がますます増加するほど、前記2次元遷移金属ジカルコゲナイドは、より小さくなった結晶サイズを有し、2次元三角形の領域に完全に変化することができる。
本願の一具現例において、前記カルコゲン含有前駆体の分圧/遷移金属含有前駆体の分圧の比(PCP/PMP)は、約1/2以上又は約2以上であり得るが、これらに限定されるものではない。例えば、前記カルコゲン含有前駆体/遷移金属含有前駆体の供給分圧の比は、約1:約2以上、約1:約3以上、約1:約4以上、約1:約5以上、又は約1:約10以上であり得るが、これらに限定されるものではない。好ましくは、前記カルコゲン含有前駆体/遷移金属含有前駆体の供給分圧の比は、約1:約2〜約600、約1:約2〜約500、約1:約2〜約400、約1:約2〜約300、約1:約2〜約200、又は約1:約2〜約100であり得るが、これらに限定されるものではない。
本願の一具現例において、前記蒸着過程では、気相反応(gas phase reaction)と基材表面における反応が行われ、前記気相反応でガス状の物質同士が反応してクラスターを形成し、このようなクラスターが基材表面に伝達されて表面反応が行われるようになる。このとき、前記カルコゲン含有前駆体/遷移金属含有前駆体の分圧の比(PCP/PMP)を調節することにより、前記のクラスターのサイズ及び基材の表面エネルギーを調節することができ、これにより、前記遷移金属ジカルコゲナイドの2次元的な成長が調節及び誘導できる。例えば、前記カルコゲン含有前駆体/遷移金属含有前駆体の分圧の比(PCP/PMP)を増加させて気相反応によって生成される遷移金属ジカルコゲナイドのクラスターサイズを減らし、基板の表面エネルギーを減少させて遷移金属ジカルコゲナイドの2次元成長を誘導することができる。
本発明の一具現例において、蒸着するステップでは、蒸着チャンバー内の圧力を調節し、蒸着チャンバー内に供給されるカルコゲン含有前駆体及び遷移金属含有前駆体の量を調節することにより、カルコゲン含有前駆体分圧/遷移金属含有前駆体の分圧の比(PCP/PMP)が調節できる。例えば、蒸着するステップでは、キャリアガスを用いないか又はキャリアガス流量の微調節により前記蒸着チャンバー内の圧力を調節して、前記蒸着チャンバー内に供給される前記カルコゲン含有前駆体及び前記遷移金属含有前駆体の量を微調節することにより、前記カルコゲン含有前駆体/遷移金属含有前駆体の分圧の比(PCP/PMP)が微細に調節できるが、これに限定されるものではない。
本願の一具現例において、前記カルコゲン含有前駆体/遷移金属含有前駆体の分圧の比(PCP/PMP)が増加するにつれて類似−2次元領域で揮発性の副産物が脱着して成長され、表面拡散によって単層に変化することができるが、これに限定されるものではない。
本願の一具現例において、前記カルコゲン含有前駆体/遷移金属含有前駆体の分圧の比(PCP/PMP)が低い場合、小さいグレインサイズ(grain size)を有する不規則な3次元領域が成長できるが、これに限定されるものではない。例えば、前記カルコゲン含有前駆体/遷移金属含有前駆体の分圧の比が低い条件下で遷移金属ジカルコゲナイドが成長される場合、多量の遷移金属含有前駆体蒸気と大サイズのクラスターが生成され、生成された前記クラスターは、基材表面上に吸着され、3次元領域を成長させることができるので、前記分圧の比は増加することが望ましい。
本願の一具現例において、前記基材を前処理することにより、前記基材上に蒸着される2次元遷移金属ジカルコゲナイドの核生成サイトが人為的に調節できるが、これに限定されるものではない。例えば、前記核生成サイトを調節することにより、制限的なグレインサイズを有する2次元遷移金属ジカルコゲナイドのグレインサイズが調節できるが、これに限定されるものではない。
本願の一具現例において、前記基材の前処理は、真空熱処理、アニーリング又は高真空アニーリング処理、化学的処理、及びこれらの組み合わせからなる群から選択される処理などを含むことができるが、これらに限定されるものではない。例えば、前記前処理は、高真空アニーリング処理であり得、前記高真空アニーリングによって基材上の反応性表面位置を提供するダングリングボンド(dangling bond)を分解することにより、核生成サイトが抑制でき、これにより、高品質の大面積2次元遷移金属ジカルコゲナイドが製造できるが、これに限定されるものではない。
本願の一具現例において、前記基材は、Si、SiO、Ge、GaN、AlN、GaP、InP、GaAs、SiC、Al、LiAlO、MgO、ガラス、石英、サファイア、グラファイト、グラフェン、プラスチック、高分子、窒化ホウ素(h−BN)、及びこれらの組み合わせからなる群から選択されることを含むことができるが、これらに限定されるものではない。前記基材は、原子配列などの問題が原因で合成が困難な物質や価格又は大面積に有利な物質が望ましく、前記クラスターを成長させる触媒又は核生成サイトを調節することができる基材として作用するものであり得る。
本願の一具現例において、前記基材を前処理するステップの前に、グレインの近くの不必要な核生成(nucleation)を防ぐために基材を予備洗浄(pre−clean)するステップを追加で含むことができるが、これに限定されるものではない。例えば、予備洗浄ステップは、大気条件下で行われる可能性があるが、これに限定されるものではない。
本願の一具現例において、前記予備洗浄は、水、エタノール、酸性物質、アルコール類、又はRCA洗浄法によって行われる可能性があるが、これらに限定されるものではない。例えば、前記アルコール類は、メタノール、エタノール、プロパノール、ブタノール、又はこれらの異性体を含むことができるが、これらに限定されるものではない。例えば、前記酸性物質は、HSO、HCl、HNO、及びこれらの組み合わせからなる群から選択されるものを含むことができるが、これらに限定されるものではない。例えば、前記酸性物質は、様々な割合で希釈されて用いられるが、これらに限定されるものではない。
本願の一具現例において、前記RCA洗浄法は、NHOH、H、及び/又はHClの組み合わせを用いて行われることができ、その組み合わせに応じてアンモニア性SC−1洗浄法又は酸SC−2洗浄法に分けられるが、これに限定されるものではない。
本願の一具現例において、前記2次元遷移金属ジカルコゲナイドの蒸着の時、真空装備フィーディング(feeding)システムを用いることにより、チャンバー圧力を調節して各前駆体の注入量を調節することができるが、これに限定されるものではない。
本願の一具現例において、前記2次元遷移金属ジカルコゲナイドのグレインサイズは、約10nm又は約50nm以上である可能性があるが、これらに限定されるものではない。例えば、前記2次元遷移金属ジカルコゲナイドのグレインサイズは、約10nm以上、約30nm以上、約50nm以上、約70nm以上又は約100nm以上であり、約200nm以下、約150nm以下、約130nm以下又は約100nm以下であり、具体的には、約10nm〜約200nm、約30nm〜約200nm、約50nm〜約200nm、約50nm〜約100nm、約50nm〜約90nm、約50nm〜約80nm、約50nm〜70nm、約50nm〜約60nm、約60nm〜約100nm、約60nm〜約90nm、約60nm〜約80nm 、約60nm〜約70nm、約70nm〜約100nm、約70nm〜約90nm、約70nm〜約80nm、又は約80nm〜約100nmであり得るが、これらに限定されるものではない。
本願の一具現例において、前記2次元遷移金属ジカルコゲナイドは、すべての電子回路及び電子デバイスへの応用ができるが、これらに限定されるものではない。例えば、前記の素子を用いて、電界効果トランジスタ、光学センサ、発光素子、光検出器、光磁気メモリ素子、光触媒、フラットパネルディスプレイ、フレキシブル素子、及び太陽電池などを製造することができるが、これらに限定されるものではない。
本願の一具現例において、前記2次元遷移金属ジカルコゲナイドを含む電界効果トランジスタは、電気的性能に優れており、従来のn型半導体の傾向を示す特徴がある。
本発明の第2の側面は、蒸着チャンバー内で基板の表面処理により基板の表面エネルギーを減少させるステップと;前記蒸着チャンバー内にカルコゲン含有前駆体、遷移金属含有前駆体及び前駆体分解促進触媒を供給して前記基板上に2次元遷移金属ジカルコゲナイド単層(monolayer)を蒸着するステップと;を含む、2次元遷移金属ジカルコゲナイド薄膜の製造方法に関する。
本発明に係る2次元遷移金属ジカルコゲナイド薄膜の製造方法は、蒸着チャンバー内で基板の表面処理により基板の表面エネルギーを減少させるステップを含むことができる。前記基板の表面処理による基板の表面エネルギーを減少させることは、基板表面上の核生成サイト(nucleation site)を豊富にするためである。
基板の表面上の核生成サイトの数は、高均一の薄膜、特に単層薄膜を形成させるのに非常に重要な要素である。基板の表面エネルギーの調節により、基板の表面上の核生成サイトの数を調節することができ、このような核生成サイトの数は、2次元遷移金属ジカルコゲナイドの結晶サイズ及び薄膜の均一度に影響を与える。
具体的には、基板の表面上に核生成サイトがまれに存在すれば、結果的に遷移金属ジカルコゲナイドの結晶が大サイズに形成されるが、基板全体を2次元遷移金属ジカルコゲナイド薄膜で被覆できず、薄膜の均一度が低下する。一方、基板の表面上に核生成サイトが豊富に存在すれば、遷移金属ジカルコゲナイドの結晶が小サイズに形成されるが、基板全体を2次元遷移金属ジカルコゲナイド薄膜で覆うことができ、薄膜の均一度が向上する。このような基板の表面上の核生成サイトの数は、基板の表面処理により調節することができる。
本発明の一具現例において、基板としてSiO、Al2O、HfO、LiAlO、MgO、及びこれらの組み合わせからなる群から選択される酸化物(oxide)系絶縁体が用いられた場合、基板の表面上に存在するヒドロキシル基(−OH結合)が核生成サイトとして提供され、様々な基板の表面処理によりヒドロキシル基(−OH結合)の数を調節することができる。
基板の表面上にヒドロキシル基(−OH結合)を増加させて核生成サイトを豊富に提供するためには、例えば、ピラニア(piranha)溶液の処理、硫酸(HSO)溶液の処理、塩酸(HCl )溶液の処理及びアルカリ金属水酸化物溶液の処理からなる群から選択される湿式処理方法;又はOプラズマ処理及び水蒸気を用いた熱処理からなる群から選択される乾式処理方法;により基板の表面処理を行うことができる。前記アルカリ金属水酸化物溶液としては、水酸化カリウム溶液及び水酸化ナトリウム溶液などが挙げられる。湿式処理方法は、前記事項に限定されるものではなく、酸化物系絶縁体基板の表面エネルギーを下げることができる方法であれば、どのような溶液を使用してもよい。湿式処理溶液の製造において、溶液中の溶質の含有量は、0.0001重量%以上であり、好ましくは0.0001重量%乃至最大溶解度での重量%(各溶液の溶質ごとに最大溶解度が異なるので、溶質に応じて含有量の上限値が変わることができる)であり得るが、これらに限定されず、どのような割合でも使用することができる。また、乾式処理方法は、前記事項に限定されず、酸化物系絶縁体基板の表面エネルギーを下げることができる方法であれば、どのような気体又は分子を使用してもよい。
基板の表面上にヒドロキシル基(−OH結合)を減少させて核生成サイトをまれに提供するためには、ヒドロキシル基(−OH結合)を除去可能な方法、例えば、真空熱処理、アニーリング処理、高真空アニーリング処理、及びこれらの組み合わせからなる群から選択される処理を行うことができるが、これらに限定されず、ヒドロキシル基(−OH結合)を除去することができる方法であれば、どのような方法を用いてもよい。例えば、酸化物系絶縁体基板の表面処理方法により高真空アニーリング処理を選択する場合、前記高真空アニーリングによって基材上の反応性表面の位置を提供するダングリングボンド(dangling bond)を分解することにより、核生成サイトの数が抑制でき、これにより、遷移金属ジカルコゲナイド結晶のサイズが大きくなる可能性があるが、2次元遷移金属ジカルコゲナイド薄膜の均一度が低下する可能性がある。
前記説明は、酸化物系絶縁体基板に限定的に適用されるものであり、結晶基板及び金属基板の場合には、表面欠陥(defect)が存在する位置が核生成サイトとして提供でき、基板の表面処理による表面エネルギーの調節により核生成サイトとして提供される表面の欠陥を調節することができる。この場合にも、基板の表面エネルギーを減少させて核生成サイトを豊富にすることができる。
本発明の2次元遷移金属ジカルコゲナイド薄膜の製造方法では、基板の表面処理により基板の表面エネルギーを減少させ、これにより核生成サイトを豊富にして遷移金属ジカルコゲナイドの結晶サイズを減少させながら、結果的に2次元遷移金属ジカルコゲナイド薄膜の均一度を向上させることができる。
添付した図15は、本発明に係る一具現例の基板の表面エネルギーの減少ステップにおいて、SiO基板を水酸化カリウム(KOH)溶液で処理した場合、水酸化カリウム溶液の濃度に応じた基板の表面における核生成結果を示す走査電子顕微鏡イメージである。
図15(a)は、SiO基板の表面処理を行っていない状態で、蒸着チャンバー内にカルコゲン含有前駆体及び遷移金属含有前駆体を供給して基板の表面上に核生成した結果を示す走査電子顕微鏡イメージであり、図15(b)は、SiO基板を1重量%の水酸化カリウム溶液で表面処理を行った後、蒸着チャンバー内にカルコゲン含有前駆体及び遷移金属含有前駆体を供給して基板の表面上に核生成した結果を示す走査電子顕微鏡イメージであり、図15(c)は、SiO基板を10重量%の水酸化カリウム溶液で表面処理を行った後、蒸着チャンバー内にカルコゲン含有前駆体及び遷移金属含有前駆体を供給して基板の表面上に核生成した結果を示す走査電子顕微鏡イメージである。
図15(a)乃至図15(c)の比較結果、基板の表面処理を行っていない場合には、基板の表面エネルギーが減少しないため、核生成サイトがまれに存在して核生成が少なくなり(図15(a)参照)、水酸化カリウム溶液を用いて基板の表面処理を行った場合には、基板の表面エネルギーが減少し、核生成サイトが豊富に存在して核生成が多くなり(図15(b)及び15(c)参照)、特に水酸化カリウム溶液の濃度が高いほど、核生成サイトがさらに豊富に生成され、核生成もさらに多くなることを確認することができる。
本発明の一具現例において、前記基材は、SiO、Al2O、HfO、LiAlO、MgO、Si、Ge、GaN、AlN、GaP、InP、GaAs、SiC、ガラス、石英、サファイア、グラファイト、グラフェン、プラスチック、高分子、窒化ホウ素(h−BN)、及びこれらの組み合わせからなる群から選択される可能性があるが、これらに限定されるものではない。前記基材としては、コストの面で安価でありながら大面積に有利な物質が望ましく、表面エネルギー調節により核生成サイトの数を調節できるものが望ましいと言える。
本発明に係る2次元遷移金属ジカルコゲナイド薄膜の製造方法は、蒸着チャンバー内にカルコゲン含有前駆体、遷移金属含有前駆体及び前駆体分解促進触媒を供給して前記基板上に2次元遷移金属ジカルコゲナイド単層を蒸着するステップを含むことができる。
本発明の一具現例において、前記蒸着するステップでは、例えば、化学気相蒸着ステップにおいて、均一反応(homogeneous reaction)である気相反応(gas phase reaction)及び不均一反応(heterogeneous reaction)である基板の表面での反応が同時に行われるようになる。前記気相反応においてガス状反応物質同士が反応して遷移金属ジカルコゲナイドのクラスターを形成し、このようなクラスターが基材表面に伝達され、表面反応を起こすことができる。また、基板表面の反応においても、ガス状反応物質同士が反応して遷移金属ジカルコゲナイドの結晶を形成することができる。
例えば、カルコゲン含有前駆体及び遷移金属含有前駆体が蒸着チャンバー内に供給されると、カルコゲン含有前駆体及び遷移金属含有前駆体の前駆体分解反応が起こり、前駆体の分解による中間産物であるカルコゲン原子と遷移金属原子は、気相反応により遷移金属ジカルコゲナイドのクラスターを形成し、このようなクラスターが基材表面に伝達されて表面反応を起こすことができる。また、基板表面においても、カルコゲン含有前駆体及び遷移金属含有前駆体、又はこれらの分解による中間産物であるカルコゲン原子及び遷移金属原子が不均一反応を起こして遷移金属ジカルコゲナイドの結晶を形成することができる。
カルコゲン含有前駆体及び遷移金属含有前駆体は、それぞれ特定の前駆体の分解温度を有し、このような前駆体の分解特性は、2次元遷移金属ジカルコゲナイド薄膜の合成温度を決定する重要な要素になる可能性がある。当該前駆体の分解温度よりも低い温度下で2次元遷移金属ジカルコゲナイド薄膜の合成を行うと、不完全な反応によって合成速度が低下する可能性がある。
本発明の一具現例において、前駆体分解促進触媒がカルコゲン含有前駆体及び遷移金属含有前駆体と共に蒸着チャンバー内に供給できる。前記前駆体分解促進触媒は、カルコゲン含有前駆体内のカルコゲン原子からカルコゲン原子と結合されたリガンドの分解を促進及び/又は遷移金属含有前駆体内の遷移金属原子から遷移金属原子と結合されたリガンドの分解を促進することができる。前記前駆体分解促進触媒は、このような前駆体の分解を促進させ、低温条件(例えば、500℃以下の温度)下でも2次元遷移金属ジカルコゲナイド薄膜の合成速度を向上させ、実用化の面で著しく有利であり得る。
例えば、モリブデンヘキサカルボニル(Mo(CO))を遷移金属含有前駆体として使用する場合、モリブデンヘキサカルボニルの完全分解温度は250℃であるが、前駆体分解促進触媒として水素を使用する場合、COの還元反応を促進させ、250℃での2次元遷移金属ジカルコゲナイド薄膜の合成速度を、水素を使用しない場合に比べて数倍増加させることができるか、250℃よりも低い温度下でも2次元遷移金属ジカルコゲナイド薄膜の合成速度を同一に実現することができる。
本発明の一具現例において、前駆体分解促進触媒は、水素などの気体物質に限定されず、カルコゲン含有前駆体内のカルコゲン原子からカルコゲン原子と結合されたリガンドの分解を促進及び/又は遷移金属含有前駆体内の遷移金属原子から遷移金属原子と結合されたリガンドの分解を促進することができるものであれば、制限なしに使用可能である。
添付した図16は、本発明に係る一具現例の蒸着ステップにおいて、前駆体分解促進触媒の使用の有無による2次元遷移金属ジカルコゲナイド薄膜の合成結果を示す走査電子顕微鏡イメージである。
図16(a)は、蒸着するステップにおいて、蒸着チャンバー内にカルコゲン含有前駆体及び遷移金属含有前駆体と共に前駆体分解促進触媒としてHを供給した場合の2次元遷移金属ジカルコゲナイド薄膜の合成結果を示す走査電子顕微鏡イメージであり、図16(b)は、蒸着するステップにおいて、蒸着チャンバー内に前駆体分解促進触媒を供給せずに、カルコゲン含有前駆体及び遷移金属含有前駆体を供給した場合の2次元遷移金属ジカルコゲナイド薄膜の合成結果を示す走査電子顕微鏡イメージである。
図16(a)及び図16(b)の比較結果、前駆体分解促進触媒なしで2次元遷移金属ジカルコゲナイド薄膜を合成した場合には、合計9時間の合成時間がかかったが、前駆体分解促進触媒としてHを用いて2次元遷移金属ジカルコゲナイド薄膜を合成した場合には、合計2時間の合成時間がかかり、前駆体分解促進触媒を使用することにより、合成速度が4.5倍速くなったことを確認することができる。
本発明の一具現例において、蒸着するステップにおいて、2次元遷移金属ジカルコゲナイド二重層(bilayer)の生成を防止するための抑制剤を追加で供給することができる。
低温条件下では(例えば、500℃以下の温度)、遷移金属ジカルコゲナイド二重層の生成が容易であり、このような二重層は、均一な2次元遷移金属ジカルコゲナイド単層(monolayer)薄膜を合成するのに最大の障害として作用し、低温合成の限界となる。前記二重層の生成は、活性位置を提供するため、不純物が統合される可能性も高まり、結果的に2次元遷移金属ジカルコゲナイド薄膜の品質を低下させる可能性がある。
添付した図17は、蒸着チャンバー内の温度に応じた2次元遷移金属ジカルコゲナイド薄膜の合成結果を示す走査電子顕微鏡イメージ[(a)乃至(c)]及びラマンスペクトル[(d)及び(e)]である。
図17(a)乃至図17(c)に示すように、蒸着チャンバー内の温度をそれぞれ350℃、300℃及び250℃に設定した状態で、カルコゲン含有前駆体及び遷移金属含有前駆体を蒸着チャンバー内に供給して基板上に2次元遷移金属ジカルコゲナイド(MoS)の核を生成させた場合、温度が350℃の場合(図17(a)参照)の核生成の数は少ない一方、その結晶サイズが増加し、温度が300℃での場合(図17(b)参照)及び温度が250℃の場合(図17(c)参照)、温度が低くなるほど、核生成の数は増加する一方、その結晶サイズはさらに減少したことを確認することができる。
また、図17(d)及び図17(e)に示すように、ラマンスペクトルを用いて蒸着チャンバー内の温度に応じて遷移金属ジカルコゲナイドの二重層の生成の有無が変わる可能性があることを確認した。一般的に遷移金属ジカルコゲナイドの単層(monolayer)と二重層(bilayer)を区別するために使用する方法が、図17(d)に示された2つのピーク間の距離を計算するものである[H. Li、Q. Zhang、CCR Yap、BK Tay、THT Edwin、A. Oliver、and D. Baillargeat、From Bulk to Monolayer MoS2:Evolution of Raman Scattering、Adv.Funct.Mater.、22、1385−1390、2012]。図17(e)に示すように、蒸着チャンバー内の温度が350℃である場合(図17(a))、A1g−E 2g値が約19で、2次元遷移金属ジカルコゲナイドの単層として確認されるが、蒸着チャンバー内の温度が250℃である場合(図17(c))、拡散距離が短くなり、A1g−E 2g値が約22に増加し、2次元遷移金属ジカルコゲナイドの二重層が生成される可能性がある。
本発明では、2次元遷移金属ジカルコゲナイド薄膜の品質を低下させる二重層の生成を防ぐために、前記抑制剤をカルコゲン含有前駆体、遷移金属含有前駆体及び前駆体分解促進触媒と共に、蒸着チャンバー内に供給することができるか、又はカルコゲン含有前駆体、遷移金属含有前駆体及び前駆体分解促進触媒を蒸着チャンバー内にまず供給し、一定時間後に前記抑制剤を蒸着チャンバー内に供給することができる。
添付した図18は、本発明に係る一具現例の蒸着ステップにおいて、2次元遷移金属ジカルコゲナイド二重層の生成を防止するための抑制剤の使用の有無による2次元遷移金属ジカルコゲナイド薄膜の合成結果を示す模式図である。
図18(a)に示すように、抑制剤の使用なしで低温条件下で(例えば、500℃以下の温度)、2次元遷移金属ジカルコゲナイド薄膜を合成する場合には、合成の初期には、均一な2次元遷移金属ジカルコゲナイド単層薄膜が形成されるが、遷移金属ジカルコゲナイドの結晶サイズが大きくなるにつれ、低い分子移動度のために、2次元遷移金属ジカルコゲナイド単層上にファンデルワールス力によって吸着された遷移金属ジカルコゲナイド分子が結晶の側面位置に移動できず、2次元遷移金属ジカルコゲナイド単層上に新しい核生成サイトを提供することになる。これにより、2次元遷移金属ジカルコゲナイドの単層及び二重層が同時に合成され、結果的に均一でない2次元遷移金属ジカルコゲナイド薄膜が形成される可能性がある。
図18(b)に示すように、HOを抑制剤として使用し、低温条件下で(例えば、500℃以下の温度)、2次元遷移金属ジカルコゲナイド薄膜を合成する場合、合成の初期に均一な2次元遷移金属ジカルコゲナイド単層薄膜が形成され、前記2次元遷移金属ジカルコゲナイド単層の基底面(basal plane)[ここで、2次元遷移金属ジカルコゲナイド単層の基底面は、2次元遷移金属ジカルコゲナイド単層の上部面又は下部面を意味する]に抑制剤としてHO分子が物理吸着され、遷移金属ジカルコゲナイド分子が2次元遷移金属ジカルコゲナイド単層の基底面に吸着できなくなる。これにより、遷移金属ジカルコゲナイド分子は、前記2次元遷移金属ジカルコゲナイド単層の側面位置にのみ化学結合を形成することができ、結果的に基板全体に2次元遷移金属ジカルコゲナイド二重層の生成なしで、2次元遷移金属ジカルコゲナイド単層だけを形成をすることができる。したがって、蒸着チャンバー内にカルコゲン含有前駆体、遷移金属含有前駆体及び前駆体分解促進触媒と共に、前記抑制剤を供給する場合、非常に均一な2次元遷移金属ジカルコゲナイド単層薄膜を製造することができる。
2次元遷移金属ジカルコゲナイド二重層の生成を防止するための抑制剤として使用できる物質としては、特に限定されず、2次元遷移金属ジカルコゲナイド単層の基底面に物理吸着が可能な物質であればすべて可能であり、例えば、 HOを前記抑制剤として使用することができる。
本発明の一具現例において、好ましくは、前記抑制剤は、下記の要件を満たすことができる。
抑制剤の吸着エネルギー(adsorption energy)は、遷移金属ジカルコゲナイド単層の基底面(basal plane)よりも基板及び遷移金属ジカルコゲナイド単層の側面位置でより高く、カルコゲンの吸着エネルギーは、遷移金属ジカルコゲナイド単層の側面位置よりも基板及び遷移金属ジカルコゲナイド単層の基底面でより高い。
添付した図19は、本発明に係る一具現例の蒸着ステップにおいて、2次元遷移金属ジカルコゲナイド二重層の生成を防止するための抑制剤の使用の有無による2次元遷移金属ジカルコゲナイド薄膜の合成結果を示す走査電子顕微鏡イメージである。
図19(a)に示すように、低温条件(例えば、500℃以下の温度)の蒸着ステップにおいて、抑制剤としてのHOの供給と共に、カルコゲン含有前駆体(例えば、S含有前駆体)、遷移金属含有前駆体(例えば、Mo含有前駆体)及び前駆体分解促進触媒(例えば、Hガス)を蒸着チャンバー内に供給して2次元遷移金属ジカルコゲナイド薄膜を合成する場合、2次元遷移金属ジカルコゲナイド単層(灰色部分)がほとんどであり、2次元遷移金属ジカルコゲナイド二重層(黒い部分)がまれに形成され、均一な2次元遷移金属ジカルコゲナイド薄膜が形成される。
図19(b)に示すように、低温条件(例えば、500℃以下の温度)の蒸着ステップにおいて、抑制剤としてのHOの供給なしで、カルコゲン含有前駆体(例えば、S含有前駆体)、遷移金属含有前駆体(例えば、Mo含有前駆体)及び前駆体分解促進触媒(例えば、Hガス)だけを蒸着チャンバー内に供給して2次元遷移金属ジカルコゲナイド薄膜を合成する場合、2次元遷移金属ジカルコゲナイドの単層(灰色部分)及び多数の二重層(黒い部分)が同時に形成され、均一でない次元遷移金属ジカルコゲナイド薄膜が形成される。
一方、本発明は、(1)蒸着チャンバー内で基板の表面処理により基板の表面エネルギーを減少させるステップと;(2)500℃以下の温度及び0.001Torr乃至760Torrの圧力下で、前記蒸着チャンバー内にカルコゲン含有前駆体及び遷移金属含有前駆体を供給して前記基板上に2次元遷移金属ジカルコゲナイドの結晶を生成させるステップと;(3)ステップ(2)の圧力よりも増加された圧力下で、前記蒸着チャンバー内にカルコゲン含有前駆体及び遷移金属含有前駆体を供給して前記基板上で2次元遷移金属ジカルコゲナイドの結晶サイズを増大させるステップと;(4)ステップ(3)の圧力よりも増加された圧力下で、前記蒸着チャンバー内にカルコゲン含有前駆体及び遷移金属含有前駆体を供給して前記基板上に2次元遷移金属ジカルコゲナイド単層を形成するステップと;を含む、2次元遷移金属ジカルコゲナイド薄膜の製造方法に関する。
前記ステップ(2)乃至ステップ(4)において、前駆体分解促進触媒は、カルコゲン含有前駆体及び遷移金属含有前駆体と共に蒸着チャンバー内に追加で供給することができる。
また、前記ステップ(2)乃至ステップ(4)において、2次元遷移金属ジカルコゲナイド二重層(bilayer)の生成を防止するための抑制剤は、カルコゲン含有前駆体及び遷移金属含有前駆体と共に、又はカルコゲン含有前駆体、遷移金属含有前駆体及び前駆体分解促進触媒と共に、蒸着チャンバー内に追加で供給することができる。前記抑制剤は、カルコゲン含有前駆体、遷移金属含有前駆体及び前駆体分解促進触媒と共に同時に、蒸着チャンバー内に供給することができるか、又はカルコゲン含有前駆体、遷移金属含有前駆体及び前駆体分解促進触媒を蒸着チャンバー内にまず供給し、一定時間後に前記抑制剤を蒸着チャンバー内に供給することができる。
以下、前述した事項のうち、重複する事項はその説明を省略し、前記ステップ(2)乃至ステップ(4)は、2次元遷移金属ジカルコゲナイド単層を蒸着するステップの具体的な工程であり得る。
一般に、基板の表面上に核生成サイトの数が少ないほど大きな結晶サイズを有する2次元遷移金属ジカルコゲナイド薄膜が合成されるが、このような場合に均一度が低下する可能性がある。これに対し、基板の表面上に核生成サイトの数が多いほど小さな結晶サイズを有する2次元遷移金属ジカルコゲナイド薄膜が合成されるが、このような場合に均一度は向上できる。
本発明の一具現例において、大きな結晶サイズを持ちながらも均一度が向上された2次元遷移金属ジカルコゲナイド薄膜を低温条件下で製造する方法を提供することができる。
まず、基板の表面上に多数の核を形成できる条件下で一定時間の間核成長を誘導することができる。このため、蒸着チャンバー内で基板の表面処理により基板の表面エネルギーを減少させるステップを行うことができる。前記基板の表面処理により基板の表面エネルギーを減少させることは、基板表面上の核生成サイトの数を増加させるためである。
その後、500℃以下の温度及び0.001Torr乃至760Torrの圧力下で、前記蒸着チャンバー内にカルコゲン含有前駆体、遷移金属含有前駆体、任意の前駆体分解促進触媒及び任意の抑制剤を供給して前記基板上に2次元遷移金属ジカルコゲナイドの結晶を生成させるステップを行うことができる。これにより、合成初期に結晶サイズが小さいが、多数の核を基板の表面上に成長させることができる。
その後、前記結晶生成ステップの温度は同一に維持しつつ、圧力は増加させた状態で、前記蒸着チャンバー内にカルコゲン含有前駆体、遷移金属含有前駆体、任意の前駆体分解促進触媒及び任意の抑制剤を供給して前記基板上で2次元遷移金属ジカルコゲナイドの結晶サイズを増大させるステップを行うことができる。前記結晶生成ステップよりも増加した圧力条件は、小サイズの結晶として形成された核が大きい結晶サイズに成長できる合成条件であり、但し結晶生成ステップで用いられた圧力よりも高い圧力であればよく、特に圧力の増加分の上限は限定されるものではない。このような圧力条件の変化により小さい結晶サイズの核が大きい結晶サイズに増大することができる。
例えば、前記結晶生成ステップで蒸着チャンバー内の圧力を3Torrに設定した場合に、前記結晶サイズの増大ステップでは、蒸着チャンバー内の圧力を9Torrに設定することができるが、これに特に限定されるものではない。
添付した図20は、蒸着チャンバー内の圧力に応じた2次元遷移金属ジカルコゲナイド薄膜の合成結果を示す走査電子顕微鏡イメージである。
図20(a)に示すように、蒸着チャンバー内の圧力を3Torrに設定した状態で、カルコゲン含有前駆体及び遷移金属含有前駆体を蒸着チャンバー内に供給して基板上に2次元遷移金属ジカルコゲナイド(MoS)の核を生成させた場合、核生成の数は多くなり、その結晶サイズが小さくなった一方、図20(b)に示すように、蒸着チャンバー内の圧力を9Torrに設定した状態で、カルコゲン含有前駆体及び遷移金属含有前駆体を蒸着チャンバー内に供給して基板上に2次元遷移金属ジカルコゲナイド(MoS)の核を生成させた場合、核生成の数は少なくなり、その結晶サイズが大きくなったことを確認することができる。
その後、前記結晶サイズの増大ステップの温度は同一に維持しつつ、その圧力は増加させた状態で、前記蒸着チャンバー内にカルコゲン含有前駆体、遷移金属含有前駆体、任意の前駆体分解促進触媒及び任意の抑制剤を供給して前記基板上に2次元遷移金属ジカルコゲナイド単層を形成するステップを行うことができる。前記結晶サイズの増大ステップよりも増加した圧力条件は、大きな結晶サイズに成長した核が均一な2次元遷移金属ジカルコゲナイド単層として成長できる合成条件であり、但し結晶サイズの増大ステップで用いられた圧力よりも高い圧力であればよく、特に圧力の増加分の上限は限定されるものではない。このような圧力変化により均一度が向上した2次元遷移金属デジカメ浩二化物単層薄膜を製造することができる。
本発明の一具現例において、本発明に係る製造方法は、2次元遷移金属ジカルコゲナイドの結晶又はクラスターのサイズ及び核生成サイトの数を調節することにより、約500℃以下の低温条件下で均一度が向上した高品質の大面積2次元遷移金属ジカルコゲナイド薄膜を製造することができ、前記製造された2次元遷移金属ジカルコゲナイド薄膜を用いて優れた電気的性能を有する素子を製造することができる。
下記の実施例では、本発明に係る実施例及び本発明に係らない比較例に基づいて本発明をより詳細に説明するが、これらの実施例は本発明の理解を助けるための例示であり、本発明の範囲が下記の実施例により限定されるものではない。
[実施例]
成長工程
2次元遷移金属ジカルコゲナイドであるMoSは、遷移金属含有前駆体としてMo(CO)(=99.9%、Sigma Aldrich、CAS number 13939−06−5)を用いてシャワーヘッド型反応器によって成長された。厚さ300nmのSiO層を有する高ドープされた(<0.005Ω・cm)p型Siが基材として用いられた。前記基材は、予備洗浄され、周辺環境でのすべての汚染を防止するために、短い時間内にロードロックチャンバー(load−lock chamber)内でシリコンカーバイド(SiC) コーティングされたサセプター(susceptor)上に配置された。CVD内の加熱ブロックは、成長前に350℃まで予熱された。前記基材を有する前記サセプターは反応器に移送され、前記基材の温度は、アルゴンの流れで10分にわたって増加した。前記成長は、0.5Torrの一定の圧力下で成長時間の間にHSと昇華された前駆体だけを用いて行われた。成長の後、前記基材は、ロードロックチャンバーに移送され、100sccmのアルゴンの流れを用いて1時間冷却された。前記成長後の処理は、任意の既知の方法(高温でのアルゴン及びHSアニーリングなどの)によって行われていない。全ての分析は、前記成長されたサンプルを用いて行われた。

原子間力顕微鏡(AFM) 測定
製造された2次元遷移金属ジカルコゲナイドの表面形状、グレインサイズ、核生成及び成長工程は、AFMを用いて測定された(XE−150、Park Systems)。より良い品質のために、AFMイメージは、5nm以下(<5nm)の曲率半径を有する非常に鋭いシリコンチップを用いて測定された。測定中の静電気を防止するために、軟質X線イオン発生モジュール(soft X−ray ionizer module)が適用された。前記イメージは、512×512ピクセルの解像度及び0.5Hzの測定速度で1μm〜2μmの領域に渡って撮影された。前記イメージは750nmに調節された。
分光測定
前記実施例で製造された2次元遷移金属ジカルコゲナイドのラマン分光測定は、DXRラマン顕微鏡(Thermo Scientific)を用いて行われた。532nmの励起波長、0.7μmのスポットサイズ、及び8mWの電力を有するレーザーを用いた。前記大略のスペクトル解像度は0.5cm−1であり、520.8cm−1のSiピークが正規化(normalization)のために用いられた。成長されたサンプルの光発光(LabRam ARAMIS、Horiba Jobin Yvon)の測定は、514nmの波長及び10mWのレーザーパワーで行われた。エリプソメトリ(M2000D、JA Woollam Co.)のマッピング測定は、0.5cmのステップサイズで行われた。前記厚さの結果は、多層モデリング(four−layer model、air/MoS/ SiO/ Si)によって抽出された。XPS測定(SES−100、VG−SCIENTA)は、超高真空条件(<10−8Torr)下で非単色光(non−monochromatic)マグネシウムKα光源を用いて行われた。
TEM サンプルの製造
ポリ(メチルメタクリレート)(poly(methyl methacrylate))(PMMA)(950 A2、MicroChem)が4,000rpmで成長されたMoS/SiO/Siサンプル上に60秒間スピンコーティングされた。前記SiO層は、バッファされた酸化物エッチング(BOE)溶液(6:1、J.T.Baker)に前記コーティングされた試料を浸漬させてエッチングされた。前記分離されたPMMA/MoSは、脱イオン水で数回にわたって洗浄された後、カーボングリッド(HC300−CU、 Electron Microscopy Sciences)上に簡単に配置された。PMMAは、高真空条件下で(<10−5Torr)30分間300℃でアニーリングによって除去された。
HAADF−STEMイメージ測定
前記実施例で製造された2次元遷移金属ジカルコゲナイドのHAADF−STEMイメージは、19.3ミリラジアン(mrad)収束角及び50−100pAのスクリーン電流を用いて300kVで作動するCs−STEM(Titan cubed G2 60−300、FEI)を用いて撮影された。前記イメージは、コントラスト(contrast)を向上させるために、平滑化及びフーリエ(Fourier)フィルタリングされた。
電気的性能の測定
バックゲートFETデバイスは、電子ビーム蒸着法を用いて成長されたMoS単層上に直接Ti/Au(5/50nm)電極を蒸着させて製造された。前記電極の形態は、PMMA(950 C4、MicroChem)層の電子ビームリソグラフィを用いてパターン化され、希釈されたMIBK(MIBK:IPA=1:1、MicroChem)溶液で現像された。リフト・オフ工程はジクロロメタン(DCM:dichloromethane)及びIPA中に浸漬させ、高純度N(99.999%)を用いて乾燥させることにより行われた。前記デバイスの電気的性能は、精密な半導体パラメータアナライザ(4156A、Hewlett−Packard)を有する4−プローブステーション(in−house four−probe station)を用いて周囲条件下で室温で測定された。前記デバイスは、アニーリングされなかった。
遷移金属含有前駆体の蒸気圧及び分解特性の測定
前駆体の蒸気圧と分解特性は、CVD工程に対するその適合性を考慮すると重要なパラメータである。蒸気圧の測定のために、自体製作の測定システムが用いられた。特定の体積のベッセル(vessel)がターボ分子ポンプ(turbomolecular pump、TMP)により高真空条件下でまず維持され、次に前記前駆体を含有する、連結されたクォーツチューブを開口(opening)し、圧力が一定に維持されるまで数時間維持された。30、50、及び70℃の前駆体の温度でMo(CO)の蒸気圧は、それぞれ0.31、1.27、及び5.24Torr[図1(a)]で測定され、クラペイロン式(Clapeyron equation)の単純化された形態、ln(Psat/Pa)=A−B /(T/K)を用いて分析され、ここでPsat及びTは、それぞれ飽和蒸気圧及び前駆体の温度である。Mo(CO)の分解特性は、FT−IR(Nicolet 6700、Thermo Scientific)を用いて評価された。Mo(CO)の主な吸収ピークは、従来の研究と一致する2,000cm−1で測定され、前記前駆体は、約250℃及び0.5Torrの圧力で完全に分解された[図1(b)]。高い蒸気圧と低い分解温度の特性により、Mo(CO)は低温CVD工程に対する適切な前駆体となる。
CVDセットアップ
2次元遷移金属ジカルコゲナイドである積層されたMoSの低温成長は、図2(a)及び図2(b)に示すように、ガス流動ラインとロードロックチャンバーに連結されたシャワーヘッド型反応器を用いて行われた。予備−洗浄又は処理された(ピラニア又は高真空アニーリングによって)SiO基材は、ロードロックチャンバーに配置され、その後、メインチャンバーにサンプルが移送された。Mo(CO)の分圧は、冷却器−ヒーターユニット(unit)を用いて正確に制御された(調節可能な範囲は、−20℃〜80℃である)。HSの流量は、質量流量制御器を用いて制御された。大サイズのクラスターの形成を防止するために、キャリアガスに(Ar又はH)の使用は排除された。
<実施例1:様々なPSR/PMoP値による遷移金属ジカルコゲナイドの成長分析>
<1−1:3次元構造の遷移金属ジカルコゲナイド>
図3に示すように、本研究者らは、走査電子顕微鏡(SEM、S−4800、HITACHI)、高分解能透過型電子顕微鏡(HRTEM、Tecnai G2 F30 S−Twin、FEI)、及びラマン分光法を用いて、硫黄含有前駆体とモリブデン金属含有前駆体との様々な分圧の比(PSR/PMoP)で成長されたMoSを評価した。大サイズの3次元構造のMoSは、気相反応により形成された大サイズのクラスターによって成長された。多量のカーバイドと酸化物が混入されたMoS(PSR/PMoP=1、0.5Torr)が成長され、ラマン分光法によって確認された(酸化物に対して285cm−1、カーバイドに対して1350及び1580cm−1) 。増加された圧力条件下で成長されたMoS内でカーバイドの存在は観察されず、MoOとMoSピークのみが測定された。チャンバーの圧力が増加するにつれてMo(CO)の分圧が減少したにもかかわらず、HSの分圧は減少しなかった。その結果、PSR/PMoP が増加し、これは小サイズのクラスターの形成を誘導した。このような予備実験では、クラスターサイズが制御される構造変化及び容易な脱カルボニル化方法に対する前記分圧比の効果を示す。
<1−2:MoSエッジタイプ、硫黄カバレッジ、及び関連S/Moの比>
エッジ(edge)構造の二つのタイプ(Mo及びSエッジ)が、様々な条件下で形成できることが知られている。生成されたエッジタイプ及びS/Moの比の関連パラメータに基づく硫黄カバレッジ(sulfur coverage)は、高品質のMoSでしばしば発見される三角形単層ドメインを説明する。完全で、規則的であり、三角形で形成されたドメインは、100%硫黄原子で覆われたSエッジ2次元MoSクラスターに対して存在する。このような構造は、高い硫化(sulfiding)条件下で時々形成される。図4において、計算されたS/Moの比は、様々なエッジタイプ及び硫黄カバレッジに対するクラスターサイズの関数で表される。前記XPS測定結果及び様々なPSR/PMoP値で成長されたMoSのAFMイメージは、高いPSR/PMoPが表面エネルギーの減少に応じて2次元成長を容易にするということを示す。
<1−3:様々なPSR/PMoP値による遷移金属ジカルコゲナイドの成長メカニズム>
図5a及び図5bは、低温での成長ウィンドウ(図5a)を示す様々なPSR/PMoP値で成長されたサンプルの顕微鏡イメージ(図5b)である。三角形の2次元MoSの領域は、73の特定のPSR/PMoP下で成長された。前記スケールバーは200nmである。図6(a)は、PSR/PMoPの様々な値で成長された異なる構造(3次元:cases 1及び2、3次元+2次元:case 3、2次元:case 4)を有するMoSのAFMイメージである。前記スケールバーは200nmである。前記領域の測定された高さプロファイルは、開かれた黄色の四角形により示された挿入図内に示した。図6(b)及び図6(c)は、本願のクラスターサイズ制御メカニズムの概略図である。前記クラスターの形成が、より高いPSR/PMoP(c)で制限されたのに対し、より大きいMoSクラスターは、低いPSR/PMoP(b)における気相反応によって形成された。
図6(d)及び図6(e)は、各サンプルのラマンスペクトルと一致した。Δkの値はPSR/PMoP=73(d)において21.7cm−1から18.8cm−1に減少した。二つの優勢なモードの半値全幅 (FWHM)は、それぞれ17.84から6.27cm−1(E g)に、8.68から6.75cm−1(A1g)(e)に減少した。シリコンのピーク(520.8cm−1)が正規化のために用いられた。図6(f)は、各サンプルの光発光スペクトルである。より高い強度は、高品質のMoSが成長されたことを示す。図6(g)及び図6(h)は、各サンプルのXPSスペクトルである。ケース1におけるMO+6の存在は、酸化物がMoに混入されたことを示す。
<実施例2:高真空アニーリングによる遷移金属ジカルコゲナイドの成長分析>
図7に示すように、異なる成長時間に対する異なる基材上における成長工程がAFMを用いて観察された(PSR/PMoP=146)。前記処理において、未処理SiOが最初に最初にアセトン、IPA、及び脱イオン水で洗浄された。その後、前記基材は、ダングリングボンドを水酸化するために10分間ピラニア溶液で浸漬され、続いて脱イオン水で洗浄された。前記未処理SiO基材は、水素−不動態化されたダングリングボンドを脱不動態化するために750℃で140分間高真空条件(<10−5Torr)下でアニーリングされた。多数のMoS核が他の二つの基材と比較して、ピラニア処理された基材上の核生成サイトで観察され、新しい核生成サイトは、成長中に生成されない。代わりに、MoS核は、予備成長されたMoSのエッジに付けられた。このような成長のメカニズムのために、グレインサイズの増加は、低温でも核生成サイトを制限することにより達成できる。他の基材で成長されたMoSの前記グレインサイズは、50nm(ピラニア処理されたもの)、70nm(未処理)、及び100nm(高真空アニーリングされたもの)である。前記核生成サイトの制限は、完全にカバーされた単層に対して、成長時間をわずかに妨害する。前記スケールバーは100nmである。図8(a)乃至図8(c)は、それぞれ異なる基材上に成長されたMoS単層領域のAFMイメージである:(a)ピラニア処理されたMoS、(b)未処理(bare)MoS、及び(c)高真空アニーリングされたMoS。高真空アニーリングは、未処理SiOで不動態化(passivated)されたダングリングボンドを脱不動態化(de−passivated)させる一方、前記ピラニア処理は、ダングリングボンドを不動態化する。大サイズの領域(island)が制限された核生成サイトのために、高真空アニーリングされたSiO基材上で成長された。前記成長時間は12時間であり、PSR/PMoP=314である。前記スケールバーは100nmである。
<実施例3:遷移金属ジカルコゲナイドの積層成長>
<3−1:積層された遷移金属ジカルコゲナイドの成長>
図9(a)は、成長の初期相(phase)を示す。前記単層MoSの小型三角形の領域は、SiO基材上の核生成サイトで成長された。図9(b)乃至図9(d)において、前記MoS領域はさらに成長し、多結晶性単層MoSが互いに併合して形成された。図9(e)及び図9(f)において、三角形のMoS二重層領域は、完全にカバーされた単層で成長された。それぞれの成長時間に対するラマンスペクトルにおけるΔkの該当値は、単層、二重層領域を有する単層のそれぞれについて18.8、及び19.3乃至20.3cm−1と測定された。完全にカバーされた単層と二重層との間の中間相(phase)において、前記Δkの平均値は、完全にカバーされたMoS単層及び二重層それぞれに対して18.8及び22.4cm−1であった。前記スケールバーは100nmである。図11(a)は、未処理SiO及び1×1cmSiO基材上で成長した単層〜5層のMoSの写真である。前記層は、成長時間によって制御され、その他の条件は変更されなかった。図11(b)乃至図11(c)は、積層されたMoSのラマンスペクトルである。前記E g及びA1gモードは、層の数を増加させることにより、それぞれ赤色−及び青色−変位された。Δkの値は、単層〜5層のMoSに対してそれぞれ18.8、22.6、23.6、24.5、及び25cm−1と測定された。図11(d)は、積層されたMoSの光発光である。二つの直接エキシトン遷移(direct excitonic transitions)(A1及びB1)と一致する二つの優勢な吸収ピーク(670nm及び620nm付近)が観察され、その強度は層の数が増加するにつれて減少した。前記間接的バンドギャップの遷移は多層積層されたサンプルでは観察されず、これはSiO基材に対する通常の現象である。
<3−2:ウェハスケールの成長>
図10(a)は、4″SiO/Siウェハ上に成長された単層MoSの写真を示す。成長したMoSの均一度は、エリプソメトリマッピング分析によって評価された[図10(b)]。前記厚さは、0.7乃至0.8 nmであった。MoSは、3″の領域に渡って成功的に成長した。図10(b)において挿入値の単位はナノメートルである。
<実施例4:遷移金属ジカルコゲナイドの電気的性能の測定>
図12(a)は、転写された3次元構造のMoSの低倍率HRTEMイメージである[図1(a)、case 1)。図12(b)乃至図12(d)は、対応するFFTパターンを有する図12(a)において任意の位置で選択された高倍率イメージを示す。多重積層された多結晶MoSは、小サイズの3次元積層された構造のドメインからなり、重なった層の干渉と小さなグレインサイズの故にグレインの境界を区別しにくい。図13(a)は、多結晶単層MoSの低倍率STEM−HAADFイメージである。100nmの大略のサイズを有する三角形の単一ドメインが観察でき、グレイン境界(黄色点線三角形)を生成することができる。図13(a)は、多結晶単層MoSの低倍率STEM−HAADFイメージである。100nmの大略のサイズを有する三角形の単一ドメインが観察でき、グレイン境界(黄色点線三角形)を生成することができる。図13(b)は、グレイン境界の高倍率STEM−HAADFイメージである。2つの隣接した単結晶ドメインは、31°の傾斜角を有するグレイン境界を形成する。前記挿入図は、MoS単層の六角形構造を示すFFTパターンを示す。図13(c)は、図13(b)における平滑化及びフーリエ(Fourier)フィルタリングされたイメージである。非常に均一で欠陥のない構造がより明るいMo原子及びより暗いS原子に対して観察された。図13(d)は、5μm及び10μmのチャネル長及び幅(挿入図、スケールバー:5μm)を有するように作製されたFETデバイスの電気的特性である。0.15cm−1−1の移動度及び5Vで10の最大オン/オフ比は、−150V〜150Vの範囲の適用されたバックゲート電圧で測定された。前記MoSの単層はパターニングされていない。
遷移金属含有前駆体であるMo(CO)を用いたCVD工程は、蒸着条件により、大きい凝集体、Moベースの3次元構造フィルム、及びMoC又はMoOCのような、かなりの量のカーバイド又は酸化物を含有するフィルムを形成する傾向があることが知られている。中心Mo原子から放出されるカルボニル(CO)のリガンドによって引き起こされる多くの欠点にもかかわらず、より低い蒸着温度(図1)は、Mo(CO)が低温成長のための適切な前駆体となるようにする。350℃で積層されたMoSの2次元成長を達成するために、本発明者らは、Mo前駆体の正確な量を供給することにより、クラスターサイズを制御し、高真空アニーリングによりSiO基材上の核生成サイトを制御する新規な方法を開発した。従来の研究が、多量のキャリアガス(Ar又はH)が脱カーボニルファを容易にすることを突き止めたにもかかわらず、多量のキャリアガスは、結局前駆体蒸気の絶対量を増加させるので、キャリアガスの使用は、本願の実験から除外された。本願の戦略的アプローチを調査するために、実験が様々なPSR/PMoPの下で行われた。前記PMoPは、前駆体キャニスタ(canister)に連結された冷却−ヒーターユニット(unit)を用いて正確に制御された[図2(a)]。成長は、均一なフローの生成を補助するためにシャワーヘッド型反応器を用いて行われた[図2(b)]。成長前に、前記SiO基材は、まずダスト(dust)グレイン付近の核生成を防止するために、アセトン、イソプロピルアルコール(IPA)、及び脱イオン水(DI)を用いて予備洗浄された。続いて、前記基材は、すべての表面汚染を防止するために周囲条件下で数秒間ロードロックチャンバーにロードされ、主反応器に輸送され、次いで、様々なMo(CO)昇華温度(0〜80℃)及びHS流速(10〜100sccm)で350℃の基材温度で特定の時間の間成長した。より低いPSR/PMoPで行われた本願の予備実験では、クラスターサイズに依存してMoSの構造的変化が観察され、前記分圧の比が2次元成長の主要なパラメータであることが立証された[図3( a)]。図6(a)は、PSR/PMoPの異なる値で成長された様々なサンプルの原子間力顕微鏡(AFM)写真を示す。低いPSR/PMoP(case 1と2)では、小さいグレインサイズを有する不規則な3次元領域が成長された。PSR/PMoP(case 3)が増加するにつれ、前記モポルロジは、不規則な3次元領域(island)及び2次元の三角形領域からなる混合構造に変更された。はるかに高いPSR/PMoPでは、前記構造は、より大きくなったグレインサイズを有する2次元の三角形領域に完全に変更された(case 4)。このような構造的変化は、クラスターサイズ−制御された成長に対する仮定的な低温成長メカニズムによって説明することができる[図6(b)及び図6(c)]。低いPSR/PMoPでは、多量のMo(CO)蒸気が昇華され、大サイズのMoSクラスターが気相反応により形成される。結論として、前記形成されたクラスターは、表面上に吸着され、3次元MoSの領域が成長された[図6(b)]。高いPSR/PMoPでは、類似の2次元MoSの領域が、揮発性の副産物の脱着により前記表面上に成長され、表面拡散によってMoS単層に変換される[図6(c)]。前記成長されたMoSのラマン分光分析の結果は、対応する原子構造の測定結果と一致している[図6(d)]。平面振動(inplane vibration)(E 2g)及び面外振動(out−of−plane vibration)(A1g)に起因した二つのラマンモードの違い(Δk)は、単層及び二重層MoSの一致(coincidence )により、低いPSR/PMoP(cases 1〜3)で成長されたMoSに対して21.7cm−1と測定され、高いPSR/PMoP(case 4)では、E 2gモード[図6(e)]の半値全幅(full width at half maximum、FWHM)における減少及び光発光における増加[図6(f)]と共に18.8cm−1となりさらに減少した。このような結果は、高品質の積層されたMoSが高いPSR/PMoP条件下で成長できるということを示す。前記2次元領域の形成は、化学的ポテンシャル及び表面エネルギーの理論的考慮によって究明される。Schweigerなど[Schweiger、H.、Raybaud、P.、Kresse、G.&Toulhoat、H. Shape and edge sites modifications of MoS2 catalytic nanoparticles induced by working conditions:A theoretical study。J. Catal。207、76−87(2002)]は、エッジ終結(Mo−又はS−エッジ)のタイプ及び単層MoSクラスターの硫黄原子によるカバレッジ(coverage)が、硫黄の化学的ポテンシャル及びS/Moの比などの対応パラメータとの関係により影響を受けたことを明らかにした(図4)。強化された硫化(sulfiding)条件下で(高いHS分圧)、硫黄の低い化学的ポテンシャルは、最も低い表面エネルギーを有する100%硫黄によるMoのエッジ(又はSエッジ)の100%カバレッジを引き起こす。このような条件下で、前記層の原子は、原子そのものよりも基材により強くひかれて、2次元成長を容易にする。低い値乃至高い値のPSR/PMoPで成長されたMoSに対してX線光電子分光分析(XPS)により、1.37、1.99、1.95、及び2.27のS/Moの比が測定された[図6(g)及び図6(h)]。このような観察は、構造的な変化を説明し、クラスターサイズ及び強い硫化条件が低温でのMoSの積層成長において重要な要素であることを証明する。
前記多結晶性2次元素材のグレインサイズは、その物理的及び電気的特性を決定するする最も重要な特徴である。低温下での2次元素材のグレインサイズは、表面における小さな拡散長の故に、高温下でのグレインサイズよりもはるかに小さい。本発明者らは、AFMを用いて、PSR/PMoPの様々な値で成長された單結晶性単層MoSドメインの成長を観察した。しかし、強力な硫化条件(PSR/PMoP=594)下でも50nm以上のグレインサイズは観察されなかった。このような実験は、350℃でグレインサイズ制限の存在を示す。表面上の短い拡散長に起因するこのような限界を克服するために、高真空で基材をアニーリングすることにより、核生成サイトを人為的に操作した。グレインサイズに対する核生成サイト操作の効果を調査するために、本発明者らは、図8に示すように、3つの互いに異なる基材で単層MoSを成長させた:図8に示すように、ピラニア(piranha )処理(HSO:H=3:1)されたSiO基材、未処理された(bare)SiO基材[図8(b)]、及び高真空アニーリングされたSiO基材のものと比較すると、高真空アニーリングされた基材では大きいグレインサイズを有する少数の領域が形成された一方[図8(c)]、ピラニア処理された基材上ではより小さいグレインサイズを有するより多数の三角形のMoSの領域が形成された[図8(a)]。無定形SiOの水酸化された(hydroxylated)又は水素不動態化されたダングリングボンド(hydrogen−passivated dangling bond)は、飽和されていない表面と比較して多くの反応性表面部位を提供することが知られている。対照的に、前記高真空アニーリング処理は、水素不動態化されたダングリングボンド個体を分解させる。他の基材上の核生成及び成長のメカニズムを究めるために、異なる成長時間で得られたAFMイメージは、成長の初期相(phase)の間にMoS核がすべての好適な核生成サイトを占めた後、成長された単層領域のエッジ(edge)に付けられ、成長する間にそれ以上核生成が観察されないことを証明した(図7)。前記単層MoSの領域は、核生成サイトが制限された基材上で100nmまで成長した。低温での高品質MoSの成長のために、核と基材の親和性を調節することが重要であり、これにより前記グレインサイズの制限を克服することができる。
前記製造された2次元遷移金属ジカルコゲナイドであるMoS層の数は、予備蒸着されたMoの厚さ、表面エネルギー、又は過飽和を調節することにより、従来制御されてきた。本願の方法を用いて前記成長されたMoSは、積層成長の特徴を示す[詳細成長工程は、図9(a)乃至図9(f)に示した]。異なる層数に対する異なる表面の色が、図11のように観察され、図11のaは非常に均一な大面積MoSが1×1cmSiO基材上で成長され、エリプソメトリマッピング分析によって確認されたように、 3″サイズまでスケールアップされたウェハで成長された(図10)。また、本発明者らは、成長されたMoSの厚さを確認するために、ラマン分光法及び光発光測定(photoluminescence)を用いた。前記各サンプルのラマンスペクトルは、層の数が増加するにつれ、E 2g及びA1gそれぞれの赤色及び青色の変位を示した[図11(b)]。Δk値は、単層〜5層においてそれぞれ18.8、22.6、23.6、24.5、及び25cm−1と測定された。前記二つの支配的な吸収ピーク(670nm及び620nm付近)は、光発光の測定で観察された二つの直接エキシトン遷移(それぞれA1及びB1)に対応するものであり、これは以前の報告と一致するものである。本願のクラスターサイズの制御方法は、ウェハスケールにおいてMoSの積層成長に適した方法を提供する。
前記成長された単層MoSの原子構造は、収差補正走査透過電子顕微鏡(aberration−corrected scanning transmission electron microscopy、Cs−STEM)によって高角度円環状暗視野 (high−angle annular dark−field、HAADF)イメージングを用いて評価された。図13のaは、従来の湿式エッチング法によりカーボングリッド上に転写されたMoS単層の低倍率STEM−HAADFイメージを示す。白色の領域は、転写中の一致されたMoS単層を示し、灰色の領域は、多結晶MoS単層を示す。黄色点線三角形によって示されているドメインの大略のサイズは、100nmであり、このドメインのサイズは、AFMを用いた以前の観察[図9(b)]と一致している。選択された領域の高倍率HAADFイメージは、二つの三角形のドメインによるグレイン境界(grain boundary)の原子構造を示す[図13(b)]。図13(b)の挿入図において、高速Fourier変換(The fast Fourier transform、FFT)パターンは、31°の傾斜角を有する2つの単結晶MoSドメインの六角形構造を示す。平滑化及びFourierフィルタリング[図13(c)]によって再形成されたイメージから、均一な単結晶MoSドメインが観察され、グレイン境界を形成する併合(merge)によって多結晶MoS単層の形成が観察された。また、高いPSR/ PMoPで成長された前記サンプルは、低いPSR/PMoPで成長されたサンプルに比べて、より良い品質を示した(図12)。このような顕微鏡観察は、非常に均一で、大きいグレインのMoS多結晶単層が350℃でさえ成長されるということを示す。また、前記ドメイン構造及びグレイン境界は、より高温で成長されたMoSと非常に類似している。電気的性能を調査するために、前記低温成長された単層MoSがバックゲート(back−gate)FETを作製するのに用いられた。前記デバイスは、パターニングせずにMoS単層を用いて製造されており、チャネル長さと幅は、それぞれ5及び10μmであった[図13(d)の挿入図]。前記MoS単層は、成長後には処理されず、測定は、周囲条件下で室温で行われた。前記FETデバイスは、0.15cm−1−1の移動度を有する従来のn型半導体挙動を示す[図13(d)]。最大オン/オフ比は、5Vのソースドレインバイアス電圧を用いて−150V〜150Vのゲート電圧の範囲内で10であった。
結論として、本発明者らは、クラスターサイズ及び核生成サイトを制御することにより、遷移金属含有前駆体であるMo(CO)を用いて、350℃の低温で高品質の2次元遷移金属ジカルコゲナイドの積層成長についての新しい方法を開発した。PSR/PMoPの変化及び制限された核生成サイトを用いたグレインサイズを制御することにより、3次元クラスターから2次元単層への構造的遷移が証明された。このような二つのパラメータは、高い電気的性能を有する大グレインを持つMoSの低温成長のための核心要素である。グラフェン及び遷移金属ジカルコゲナイド(dichalcogenide)によって代表される2次元素材の前記低温成長は、次世代のフレキシブル及びウェアラブルデバイスの応用において重要である。したがって、本願の結果は、低温条件下で高品質の2次元素材の製造に対する新規なアプローチを提案する。
<実施例5:基板表面処理及び前駆体分解促進触媒処理>
厚さ300nmのSiO層を有する高ドープされた(<0.005Ω・cm)p型Siが基板として用いられた。前記基板は、予備洗浄され、周囲環境でのすべての汚染を防止するために、短い時間内にロードロックチャンバー(load−lock chamber)内でシリコンカーバイド(SiC)コーティングされたサセプター(susceptor)上に配置された。その後、水酸化カリウム溶液で前記基板の表面処理を行うことにより前記基板の表面エネルギーを減少させた。続いて、カルコゲン含有前駆体としてのHS、遷移金属含有前駆体としてのMo(CO)(=99.9%、Sigma Aldrich、CAS number 13939−06−5)、前駆体分解促進触媒としてのHガス、及び2次元遷移金属ジカルコゲナイド二重層の生成を防止するための抑制剤としてのHOを、前記チャンバー内に供給し、化学気相蒸着(CVD)法を用いて、シャワーヘッド−型反応器で2次元遷移金属ジカルコゲナイド薄膜であるMoS薄膜を合成した。CVD内の加熱ブロックは、成長前に250℃に予熱された。前記基板を有する前記サセプターは、反応器に移送され、前記基板の温度は、アルゴンの流れで10分にわたって増加した。前記合成は、一定の圧力(例えば、3.0Torr)下で合成時間の間HS、Mo(CO)、H、及びHOの昇華された前駆体だけを用いて行われた。合成の後、前記基板は、ロードロックチャンバーに移送され、100sccmのアルゴンの流れを用いて1時間冷却された。前記合成後の処理は、任意の既知の方法(高温でのアルゴン及びH2Sアニーリングなど)によって行われていない。
<比較例1>
前駆体分解促進触媒であるHガスをチャンバー内に供給しないことを除いては、実施例5と同様の方法で2次元遷移金属ジカルコゲナイド薄膜であるMoS薄膜を合成した。
<比較例2>
2次元遷移金属ジカルコゲナイド二重層の生成を防止するための抑制剤であるHOをチャンバー内に供給しないことを除いては、実施例5と同様の方法で2次元遷移金属ジカルコゲナイド薄膜であるMoS薄膜を合成した。
<実施例6:MoSの結晶サイズの増大>
厚さ300nmのSiO層を有する高ドープされた(<0.005Ω・cm)p型Siが基板として用いられた。前記基板は、予備洗浄され、周囲環境でのすべての汚染を防止するために、短時間内にロードロックチャンバー(load−lock chamber)内でシリコンカーバイド(SiC)コーティングされたサセプター(susceptor)上に配置された。その後、水酸化カリウム溶液で前記基板の表面処理を行うことにより、前記基板の表面エネルギーを減少させた。続いて、3.0Torrの一定の圧力下で、一定時間の間、カルコゲン含有前駆体としてのHS、遷移金属含有前駆体としてのMo(CO)(=99.9%、Sigma Aldrich、CAS number 13939−06−5)、前駆体分解促進触媒としてのHガス、及び2次元遷移金属ジカルコゲナイド二重層の生成を防止するための抑制剤としてのHOを、前記チャンバー内に供給し、化学気相蒸着(CVD)法を用いて前記基板上にMoSの結晶を生成させた。また、9.0Torrの一定の圧力下で一定時間の間HS、Mo(CO)、H及びHOを前記チャンバー内に供給して、前記基板上に生成されたMoSの結晶サイズを増大させた。続いて、9Torrを超える(例えば、12Torr)一定の圧力下で一定時間の間HS、Mo(CO)、H及びHOを前記チャンバー内に供給して前記基板上に2次元遷移金属ジカルコゲナイド単層を形成することにより、2次元遷移金属ジカルコゲナイド薄膜であるMoS薄膜を合成した。CVD内の加熱ブロックは、成長前に250℃に予熱された。前記基板を有する前記サセプターは、反応器に移送され、前記基板の温度は、アルゴンの流れで10分にわたって増加した。合成の後、前記基板は、ロードロックチャンバーに移送され、100sccmのアルゴンの流れを用いて1時間冷却された。前記合成後の処理は、任意の既知の方法(高温でのアルゴン及びH2Sアニーリングなど)によって行われていない。
前駆体分解促進触媒の使用の有無による効果を比較するために、前駆体分解促進触媒としてHガスを用いた実施例5のMoS薄膜の合成結果の顕微鏡イメージを、図16(a)に示し、Hガスを用いない比較例1のMoS薄膜の合成結果の顕微鏡イメージを、図16(b)に示した。
図16(a)及び図16(b)の比較結果、前駆体分解促進触媒なしで2次元遷移金属ジカルコゲナイド薄膜を合成した場合には、合計9時間の合成時間がかかったが(図16(b)参照) 、前駆体分解促進触媒としてHを用いながら2次元遷移金属ジカルコゲナイド薄膜を合成した場合には、合計2時間の合成時間がかかったので(図16(a)参照)、前駆体分解促進触媒を用いることにより、 2次元遷移金属ジカルコゲナイド薄膜の合成速度が4.5倍速くなったことを確認することができる。
また、2次元遷移金属ジカルコゲナイド二重層の生成を防止するための抑制剤の使用の有無による効果を比較するために、前記抑制剤としてHOを用いた実施例5のMoS薄膜の合成結果の顕微鏡イメージを、図19 (a)に示し、HOを用いない比較例2のMoS薄膜の合成結果の顕微鏡イメージを、図19(b)に示した。
図19(a)及び図19(b)の比較結果、低温条件(例えば、500℃以下の温度)の蒸着ステップにおいて、抑制剤としてのHOを供給して2次元遷移金属ジカルコゲナイド薄膜を合成する場合、2次元遷移金属ジカルコゲナイド単層(灰色部分)がほとんどであり、2次元遷移金属ジカルコゲナイド二重層(黒い部分)がまれに形成され、均一な2次元遷移金属ジカルコゲナイド薄膜が形成されたが(図19(a)参照)、低温条件(例えば、500℃以下の温度)の蒸着ステップにおいて、抑制剤としてのHOを供給せずに2次元遷移金属ジカルコゲナイド薄膜を合成する場合、2次元遷移金属ジカルコゲナイドの単層(灰色の部分)と共に多数の二重層(黒い部分)が同時に形成され、均一でない2次元遷移金属ジカルコゲナイド薄膜が形成された(図19(b)参照)。
添付した図21に示すように、実施例6に基づいてMoS薄膜を合成した結果、均一度が向上した、高均一の多結晶性MoS薄膜を合成することができた。
以上、本発明の好適な実施例について詳細に説明したが、本発明の権利範囲はこれに限定されるものではなく、次の請求の範囲で定義している本発明の基本概念を用いた当業者による様々な変形及び改良形態も本発明の権利範囲に属するものである。

Claims (21)

  1. 蒸着チャンバー内で基材を前処理するステップと;
    前記蒸着チャンバー内にカルコゲン含有前駆体及び遷移金属含有前駆体を供給して前記基材上に2次元遷移金属ジカルコゲナイドを蒸着するステップと;を含む、2次元遷移金属ジカルコゲナイドの製造方法。
  2. 前記遷移金属含有前駆体は、Ti、V、Cr、Mn、Fe、Co、Ni、Cu、Zn、Nb、Ta、Mo、W、Tc、Re、Ru、Os、Rh、Ir 、Pt、Ag、Au、Cd、In、Tl、Sn、Pb、Sb、Bi、Zr、Te、Pd、Hf、及びこれらの組み合わせからなる群から選択される遷移金属を含む、請求項1に記載の2次元遷移金属ジカルコゲナイドの製造方法。
  3. 前記カルコゲン含有前駆体/遷移金属含有前駆体の分圧の比は、2:1以上である、請求項1に記載の2次元遷移金属ジカルコゲナイドの製造方法。
  4. 前記カルコゲン含有前駆体は、S含有有機又は無機化合物を含む、 請求項1に記載の2次元遷移金属ジカルコゲナイドの製造方法。
  5. 前記蒸着は、600℃以下の低温で行われる、 請求項1に記載の2次元遷移金属ジカルコゲナイドの製造方法。
  6. 前記蒸着は、化学気相蒸着法により行われる、 請求項1に記載の2次元遷移金属ジカルコゲナイドの製造方法。
  7. 前記化学気相蒸着法は、低圧化学気相蒸着法、 常圧化学気相成長法、金属有機化学気相成長法、プラズマ化学気相蒸着法、誘導結合プラズマ化学気相蒸着法 、原子層蒸着法、又はプラズマ原子層蒸着法を含む、 請求項6に記載の2次元遷移金属ジカルコゲナイドの製造方法。
  8. 前記基材を前処理することにより、前記基材上に蒸着される遷移金属ジカルコゲナイドの核生成サイト(nucleation site)が調節される、 請求項1に記載の2次元遷移金属ジカルコゲナイドの製造方法。
  9. 前記カルコゲン含有前駆体/遷移金属含有前駆体の分圧の比を調節することにより、前記遷移金属ジカルコゲナイドの蒸着過程において気相反応により形成されるクラスターのサイズが調節され、表面エネルギーを調節して前記遷移金属ジカルコゲナイドの2次元成長が誘導される、 請求項1に記載の2次元遷移金属ジカルコゲナイドの製造方法。
  10. 前記蒸着過程において、前記蒸着チャンバー内の圧力を調節して、前記蒸着チャンバー内に供給される前記カルコゲン含有前駆体及び前記遷移金属含有前駆体の量を調節することにより、前記カルコゲン含有前駆体/遷移金属含有前駆体の分圧の比が調節される、 請求項1に記載の2次元遷移金属ジカルコゲナイドの製造方法。
  11. 蒸着チャンバー内で基板の表面処理により基板の表面エネルギーを減少させるステップと;
    前記蒸着チャンバー内にカルコゲン含有前駆体、遷移金属含有前駆体及び前駆体分解促進触媒を供給して前記基板上に2次元遷移金属ジカルコゲナイド単層(monolayer)を蒸着するステップと;を含む、2次元遷移金属ジカルコゲナイド薄膜の製造方法。
  12. 蒸着ステップにおいて、2次元遷移金属ジカルコゲナイド二重層(bilayer)の生成を防止するための抑制剤を追加で供給する、 請求項11に記載の2次元遷移金属ジカルコゲナイド薄膜の製造方法。
  13. 抑制剤の吸着エネルギー(adsorption energy)は、遷移金属ジカルコゲナイド単層の基底面(basal plane)よりも基板及び遷移金属ジカルコゲナイド単層の面位置でより高く、
    カルコゲンの吸着エネルギーは、遷移金属ジカルコゲナイド単層の面位置よりも基板及び遷移金属ジカルコゲナイド単層の基底面でより高い、 請求項12に記載の2次元遷移金属ジカルコゲナイド薄膜の製造方法。
  14. 前駆体分解促進触媒は、カルコゲン含有前駆体内のカルコゲン原子からカルコゲン原子と結合されたリガンドの分解を促進及び/又は遷移金属含有前駆体内の遷移金属原子から遷移金属原子と結合されたリガンドの分解を促進する、 請求項11に記載の2次元遷移金属ジカルコゲナイド薄膜の製造方法。
  15. 基板の表面処理は、ピラニア(piranha)溶液の処理、硫酸(HSO)溶液の処理、塩酸(HCl)溶液の処理及びアルカリ金属水酸化物溶液の処理からなる群から選択される湿式処理方法;又はOプラズマ処理及び水蒸気を用いた熱処理からなる群から選択される乾式処理方法;により行われる、 請求項11に記載の2次元遷移金属ジカルコゲナイド薄膜の製造方法。
  16. 基板が、SiO、Al2O、HfO、LiAlO、MgO、Si、Ge、GaN、AlN、GaP、InP、GaAs、SiC、ガラス、石英、サファイア、グラファイト、グラフェン、プラスチック、高分子、窒化ホウ素(h−BN)、及びこれらの組み合わせからなる群から選択される、 請求項11に記載の2次元遷移金属ジカルコゲナイド薄膜の製造方法。
  17. 基板が、SiO、Al、HfO、LiAlO、MgO、及びこれらの組み合わせからなる群から選択され、
    基板の表面処理は、ピラニア(piranha)溶液の処理、硫酸(HSO)溶液の処理、塩酸(HCl)溶液の処理及びアルカリ金属水酸化物溶液の処理からなる群から選択される湿式処理方法;又はOプラズマ処理及び水蒸気を用いた熱処理からなる群から選択される乾式処理方法;により行われる、 請求項11に記載の2次元遷移金属ジカルコゲナイド薄膜の製造方法。
  18. カルコゲン含有前駆体/遷移金属含有前駆体の分圧の比が2以上である、 請求項11に記載の2次元遷移金属ジカルコゲナイド薄膜の製造方法。
  19. (1)蒸着チャンバー内で基板の表面処理により基板の表面エネルギーを減少させるステップと;
    (2)500℃以下の温度及び0.001Torr乃至760Torrの圧力下で、前記蒸着チャンバー内にカルコゲン含有前駆体及び遷移金属含有前駆体を供給して前記基板上に2次元遷移金属ジカルコゲナイドの結晶を生成させるステップと;
    (3)ステップ(2)の圧力より増加された圧力下で、前記蒸着チャンバー内にカルコゲン含有前駆体及び遷移金属含有前駆体を供給して前記基板上で2次元遷移金属ジカルコゲナイドの結晶サイズを増大させるステップと;
    (4)ステップ(3)の圧力より増加された圧力下で、前記蒸着チャンバー内にカルコゲン含有前駆体及び遷移金属含有前駆体を供給して前記基板上で2次元遷移金属ジカルコゲナイド単層を形成するステップと;を含む、2次元遷移金属ジカルコゲナイド薄膜の製造方法。
  20. ステップ(2)乃至ステップ(4)において、蒸着チャンバー内に前駆体分解促進触媒を追加で供給する、 請求項19に記載の2次元遷移金属ジカルコゲナイド薄膜の製造方法。
  21. ステップ(2)乃至ステップ(4)において、2次元遷移金属ジカルコゲナイド二重層(bilayer)の生成を防止するための抑制剤を追加で供給する、 請求項19に記載の2次元遷移金属ジカルコゲナイド薄膜の製造方法。
JP2017561638A 2015-07-29 2016-07-28 2次元遷移金属ジカルコゲナイド薄膜の製造方法 Active JP6688949B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
KR10-2015-0107443 2015-07-29
KR1020150107443A KR101770235B1 (ko) 2015-07-29 2015-07-29 2 차원 전이금속 디칼코지나이드의 제조 방법
KR1020160093974A KR101881304B1 (ko) 2016-07-25 2016-07-25 고균일 2차원 전이금속 디칼코지나이드 박막의 제조 방법
KR10-2016-0093974 2016-07-25
PCT/KR2016/008303 WO2017018834A1 (ko) 2015-07-29 2016-07-28 2차원 전이금속 디칼코지나이드 박막의 제조 방법

Publications (2)

Publication Number Publication Date
JP2018525516A JP2018525516A (ja) 2018-09-06
JP6688949B2 true JP6688949B2 (ja) 2020-04-28

Family

ID=57885387

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2017561638A Active JP6688949B2 (ja) 2015-07-29 2016-07-28 2次元遷移金属ジカルコゲナイド薄膜の製造方法

Country Status (3)

Country Link
US (1) US10309011B2 (ja)
JP (1) JP6688949B2 (ja)
WO (1) WO2017018834A1 (ja)

Families Citing this family (334)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) * 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
KR102184699B1 (ko) 2017-12-13 2020-12-01 한양대학교 에리카산학협력단 전이금속-디칼코게나이드 박막, 및 그 제조 방법
CN108091699B (zh) * 2017-12-17 2020-08-14 华中科技大学 基于柔性衬底底栅结构的MoS2TFT器件及制备方法
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11447862B2 (en) 2018-03-07 2022-09-20 Uchicago Argonne, Llc Methods to deposit controlled thin layers of transition metal dichalcogenides
US11393681B2 (en) 2018-03-07 2022-07-19 Uchicago Argonne, Llc Methods to deposit and etch controlled thin layers of transition metal dichalcogenides
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
DE102018109936A1 (de) * 2018-04-25 2019-10-31 Aixtron Se Mit mehreren zweidimensionalen Schichten beschichtetes Bauteil sowie Beschichtungsverfahren
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
CN112456815A (zh) * 2018-07-19 2021-03-09 吴江南玻华东工程玻璃有限公司 过渡态氧化镍铬膜层的制备方法及包含该过渡态氧化镍铬膜层的可钢化低辐射镀膜玻璃
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
DE102018120580A1 (de) * 2018-08-23 2020-02-27 Infineon Technologies Ag Vorrichtung und verfahren zum abscheiden einer schicht bei atmosphärendruck
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11037783B2 (en) * 2018-09-25 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistor using transition metal dichalcogenide and a method for forming the same
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) * 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11756828B2 (en) 2018-11-20 2023-09-12 Applied Materials, Inc. Cluster processing system for forming a transition metal material
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
US11142824B2 (en) 2019-04-23 2021-10-12 Uchicago Argonne, Llc Method of producing thin layer of large area transition metal dichalcogenides MoS2 and others
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210009160A (ko) * 2019-07-16 2021-01-26 삼성전자주식회사 전이금속 칼코겐 화합물 박막의 형성방법
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
CN110828375B (zh) * 2019-10-25 2022-06-17 东南大学 一种快速、无刻蚀的转移二维材料和制备异质结的方法
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
CN112899654A (zh) * 2019-11-19 2021-06-04 中国科学院微电子研究所 一种Ag2S薄膜的制备方法
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11519068B2 (en) 2020-04-16 2022-12-06 Honda Motor Co., Ltd. Moisture governed growth method of atomic layer ribbons and nanoribbons of transition metal dichalcogenides
US20220325415A1 (en) * 2020-04-16 2022-10-13 Honda Motor Co., Ltd. Method for growth of atomic layer ribbons and nanoribbons of transition metal dichalcogenides
KR102387536B1 (ko) * 2020-04-16 2022-04-18 성균관대학교산학협력단 플라즈마를 이용한 팔면체 전이금속 디칼코게나이드 제조 방법
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
JP2021172570A (ja) * 2020-04-28 2021-11-01 東京都公立大学法人 導電膜、導電部材及び導電膜の製造方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
DE102020122679A1 (de) 2020-08-31 2022-03-03 Aixtron Se Verfahren zum Abscheiden einer zweidimensionalen Schicht
DE102020122677A1 (de) 2020-08-31 2022-03-03 Aixtron Se Verfahren zum Abscheiden einer zweidimensionalen Schicht
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JPWO2022163024A1 (ja) 2021-01-26 2022-08-04
JPWO2022163363A1 (ja) 2021-01-26 2022-08-04
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
JPWO2022249642A1 (ja) 2021-05-24 2022-12-01
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR20230055027A (ko) * 2021-10-18 2023-04-25 세메스 주식회사 기판 상의 오염 물질 분석 방법 및 기판 처리 방법
CN114540958B (zh) * 2022-02-25 2022-12-27 南京大学 一种双层过渡金属硫族化合物连续薄膜及其制备方法
CN114622235B (zh) * 2022-03-02 2024-02-02 浙江工业大学 一种稳定的1t相二硫化钼电极的制备方法
CN114855266A (zh) * 2022-03-25 2022-08-05 南京邮电大学 一种二维二硫化钨薄膜晶界的鉴别方法
WO2023230668A1 (en) * 2022-06-02 2023-12-07 Monash University Transition metal di-chalcogenides
CN115216845B (zh) * 2022-06-06 2024-01-16 河南大学 厘米级尺寸的Co基三元绝缘体单晶及其制备方法
CN115650297B (zh) * 2022-11-10 2024-01-26 江南大学 一种二维二硫化钼枝晶的大面积可控制备方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4996108A (en) * 1989-01-17 1991-02-26 Simon Fraser University Sheets of transition metal dichalcogenides
US7846489B2 (en) * 2005-07-22 2010-12-07 State of Oregon acting by and though the State Board of Higher Education on behalf of Oregon State University Method and apparatus for chemical deposition
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
KR101515544B1 (ko) * 2008-04-18 2015-04-30 주식회사 원익아이피에스 칼코제나이드 박막 형성방법
KR20120123126A (ko) * 2010-02-03 2012-11-07 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 박막 증착용 칼코게나이드-함유 전구체, 그의 제조 방법 및 사용 방법
US20110262660A1 (en) * 2010-04-22 2011-10-27 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Chalcogenide-containing precursors, methods of making, and methods of using the same for thin film deposition
US20120058576A1 (en) * 2010-09-03 2012-03-08 Beck Markus E Deposition System
KR101621470B1 (ko) * 2013-07-31 2016-05-16 건국대학교 산학협력단 MoS2 박막 및 이의 제조방법
KR101529788B1 (ko) * 2013-12-10 2015-06-29 성균관대학교산학협력단 금속 칼코게나이드 박막 및 그 제조방법
TWI532892B (zh) * 2015-02-16 2016-05-11 炬力奈米科技有限公司 二維層狀硫族化合物的合成方法及製程設備

Also Published As

Publication number Publication date
JP2018525516A (ja) 2018-09-06
US20180105930A1 (en) 2018-04-19
US10309011B2 (en) 2019-06-04
WO2017018834A1 (ko) 2017-02-02

Similar Documents

Publication Publication Date Title
JP6688949B2 (ja) 2次元遷移金属ジカルコゲナイド薄膜の製造方法
Liu et al. CVD growth of MoS2‐based two‐dimensional materials
JP7262646B2 (ja) モリブデン又はタングステン含有薄膜のald用前駆体の合成及び使用方法
Hao et al. Atomic layer deposition of stable 2D materials
US10465276B2 (en) Facile route to templated growth of two-dimensional layered materials
Bosi Growth and synthesis of mono and few-layers transition metal dichalcogenides by vapour techniques: a review
KR101770235B1 (ko) 2 차원 전이금속 디칼코지나이드의 제조 방법
Liu et al. Role of the carrier gas flow rate in monolayer MoS 2 growth by modified chemical vapor deposition
US11393681B2 (en) Methods to deposit and etch controlled thin layers of transition metal dichalcogenides
Yorulmaz et al. CVD growth of monolayer WS2 through controlled seed formation and vapor density
KR101881304B1 (ko) 고균일 2차원 전이금속 디칼코지나이드 박막의 제조 방법
KR101708260B1 (ko) 전이금속 칼코겐화물 구조체 및 그 제조방법
KR101939450B1 (ko) 그래핀 상 금속산화물층의 형성방법, 그에 의해 제조된 그래핀 상 금속산화물층 및 그래핀 상 금속산화물층을 포함하는 전자소자
Zeng et al. Layer-controlled and atomically thin WS2 films prepared by sulfurization of atomic-layer-deposited WO3 films
Aras et al. A review on recent advances of chemical vapor deposition technique for monolayer transition metal dichalcogenides (MX2: Mo, W; S, Se, Te)
Jung et al. Recent progresses in the growth of two-dimensional transition metal dichalcogenides
US11447862B2 (en) Methods to deposit controlled thin layers of transition metal dichalcogenides
Balasubramanyam et al. Probing the origin and suppression of vertically oriented nanostructures of 2D WS2 layers
Bay et al. Bandgap tuning of Monolayer MoS2 (1-x) Se2x alloys by optimizing parameters
Nam et al. Atomic layer deposition for nonconventional nanomaterials and their applications
Patsha et al. Halide chemical vapor deposition of 2D semiconducting atomically-thin crystals: From self-seeded to epitaxial growth
Gomes et al. Influence of temperature on morphological and optical properties of MoS2 layers as grown based on solution processed precursor
Lee et al. Highly electroconductive and uniform WS 2 film growth by sulfurization of W film using diethyl sulfide
Campbell et al. Optimisation of processing conditions during CVD growth of 2D WS 2 films from a chloride precursor
KR20200133508A (ko) 전이금속 디칼코게나이드 박막, 그 제조 방법 및 제조 장치

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20180117

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180925

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20190117

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190212

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20190513

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20190712

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190802

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190813

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20191015

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20191126

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20200203

R150 Certificate of patent or registration of utility model

Ref document number: 6688949

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250