JP6003011B2 - Substrate processing equipment - Google Patents

Substrate processing equipment Download PDF

Info

Publication number
JP6003011B2
JP6003011B2 JP2011079859A JP2011079859A JP6003011B2 JP 6003011 B2 JP6003011 B2 JP 6003011B2 JP 2011079859 A JP2011079859 A JP 2011079859A JP 2011079859 A JP2011079859 A JP 2011079859A JP 6003011 B2 JP6003011 B2 JP 6003011B2
Authority
JP
Japan
Prior art keywords
substrate
chamber
vacuum
electrostatic chuck
wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2011079859A
Other languages
Japanese (ja)
Other versions
JP2012216614A (en
Inventor
栄一 菅原
栄一 菅原
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2011079859A priority Critical patent/JP6003011B2/en
Priority to TW101110190A priority patent/TWI509725B/en
Priority to KR1020120032177A priority patent/KR101901460B1/en
Priority to US13/434,255 priority patent/US9799542B2/en
Priority to CN201210101409.3A priority patent/CN102738048B/en
Publication of JP2012216614A publication Critical patent/JP2012216614A/en
Application granted granted Critical
Publication of JP6003011B2 publication Critical patent/JP6003011B2/en
Priority to US15/677,587 priority patent/US10224226B2/en
Priority to US16/214,731 priority patent/US11328910B2/en
Priority to US17/713,232 priority patent/US20220230857A1/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67346Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders characterized by being specially adapted for supporting a single substrate or by comprising a stack of such individual supports
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68728Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of separate clamping members, e.g. clamping fingers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece

Description

本発明は、真空処理室と常圧雰囲気の基板搬送室とロードロック室とを備えた基板処理装置に関する。   The present invention relates to a substrate processing apparatus including a vacuum processing chamber, a substrate transfer chamber in a normal pressure atmosphere, and a load lock chamber.

配線構造を形成する工程において、例えば半導体ウエハ(以下「ウエハ」という)上に形成された各種の膜に、溝やビアホールからなるダマシン構造の凹部を形成するプラズマエッチング処理を行う場合がある。   In the process of forming the wiring structure, for example, a plasma etching process may be performed in which various films formed on a semiconductor wafer (hereinafter referred to as “wafer”) are formed with damascene recesses including grooves and via holes.

この処理を行うプラズマエッチング装置は、例えば真空雰囲気にされた処理容器内に上部電極と、下部電極をなす載置台とを配置して構成されており、前記載置台にはウエハを載置した状態で、上部電極及び載置台に整合器を介して所定周波数の高周波を印加し、プラズマを発生させると共に載置台側にイオンを引き込んでエッチング処理が行われる。前記載置台には、ウエハがその表面に載置される静電チャックと、静電チャックに載置されたウエハの外周を囲うフォーカスリングとが設けられる。前記静電チャックは、ウエハを吸着すると共にウエハに伝熱してウエハの温度を調節する役割を有する。フォーカスリングは、ウエハ表面上でプラズマを均一性高く分布させるために設けられ、前記イオンによりウエハと共にエッチングされる。   The plasma etching apparatus that performs this processing is configured by, for example, placing an upper electrode and a mounting table that forms a lower electrode in a processing container in a vacuum atmosphere, and a wafer is mounted on the mounting table. Then, a high frequency of a predetermined frequency is applied to the upper electrode and the mounting table via a matching unit to generate plasma and to perform etching by drawing ions to the mounting table side. The mounting table is provided with an electrostatic chuck on which the wafer is mounted and a focus ring surrounding the outer periphery of the wafer mounted on the electrostatic chuck. The electrostatic chuck serves to adsorb the wafer and transfer heat to the wafer to adjust the temperature of the wafer. The focus ring is provided to distribute the plasma with high uniformity on the wafer surface, and is etched together with the wafer by the ions.

ところで静電チャックとウエハとはその熱膨張係数が異なり、静電チャック上にウエハが置かれたときにはこの熱膨張係数の違いにより互いに擦れる。そのため、ウエハの処理が繰り返し続けられると静電チャックの表面が次第に平滑化して載置台とウエハとの接触面積が大きくなり、ウエハへの熱の伝達率が変化する結果、ウエハのエッチング特性が変化する。また、ウエハのエッチングが繰り返し行われると、フォーカスリングもエッチングされるため次第に当該フォーカスリングの形状が変化する。この形状の変化によってイオンが引き込まれる方向や電界の形成具合が変化し、ウエハのエッチング特性が変化する。   Incidentally, the electrostatic chuck and the wafer have different thermal expansion coefficients, and when the wafer is placed on the electrostatic chuck, the electrostatic chuck and the wafer rub against each other due to the difference in the thermal expansion coefficient. Therefore, as wafer processing continues, the surface of the electrostatic chuck gradually smoothes, the contact area between the mounting table and the wafer increases, and the rate of heat transfer to the wafer changes, resulting in a change in wafer etching characteristics. To do. Further, when the wafer is repeatedly etched, the focus ring is also etched, so that the shape of the focus ring gradually changes. This shape change changes the direction in which ions are drawn and the formation of an electric field, thereby changing the etching characteristics of the wafer.

さらに、エッチング処理後に処理容器内の壁面や載置台に付着した付着物を除去するために、処理容器内に供給したガスをプラズマ化して、その付着物を除去するクリーニングを行う場合がある。このクリーニング時には静電チャック上にダミーウエハを置き、静電チャックを保護することが考えられるが、ダミーウエハを処理容器内に搬送する時間を省いたりコストを削減する目的から、このダミーウエハを用いずに前記クリーニングを行うことが検討されている。しかし、そのようにダミーウエハを置かない場合は、このクリーニングによって静電チャック表面が削れるため、ウエハへの熱の伝達率が変化し、ウエハのエッチング特性が変化してしまう。   Further, in order to remove deposits adhering to the wall surface or the mounting table in the processing container after the etching process, the gas supplied into the processing container may be turned into plasma and cleaning may be performed to remove the deposits. In this cleaning, a dummy wafer may be placed on the electrostatic chuck to protect the electrostatic chuck. However, in order to save time for transporting the dummy wafer into the processing container and reduce costs, the dummy wafer is not used. Cleaning is under consideration. However, when the dummy wafer is not placed in such a manner, the surface of the electrostatic chuck is scraped by this cleaning, so that the heat transfer rate to the wafer changes and the etching characteristics of the wafer change.

このように静電チャックの表面状態及びフォーカスリングの形状は、エッチング処理に伴う消耗によって変化を生じ、それによってエッチング特性に変化を与えてしまうので、精度良い状態管理が必要である。そして、形状が許容範囲外となった場合には、即時交換などの対応が必要となる。   As described above, the surface state of the electrostatic chuck and the shape of the focus ring change due to the consumption due to the etching process, thereby changing the etching characteristics. Therefore, accurate state management is required. When the shape is out of the allowable range, it is necessary to take immediate measures such as replacement.

ところで、静電チャック及びフォーカスリングは既述のように真空雰囲気に設けられている。この真空雰囲気中で静電チャック及びフォーカスリングの状態を確認するために、処理容器内にセンサを設けることが考えられるが、このセンサを設置することによってプラズマに偏りを生じる懸念がある。そこで、従来の静電チャックの表面状態とフォーカスリングの形状の変化の傾向に基づいて、これら静電チャック及びフォーカスリングが使用可能な時間(寿命)を設定し、プラズマエッチング処理を行う時間がこの設定時間を越えたときに、処理容器を大気開放してこれら静電チャック及びフォーカスリングの交換を行っていた。また、ウエハにエッチング特性の変化が確認されたときに処理容器を開放し、静電チャック及びフォーカスリングの状態を確認して、形状が許容範囲外になっていたらこれら静電チャック及びフォーカスリングの交換を行う場合もあった。   Incidentally, the electrostatic chuck and the focus ring are provided in a vacuum atmosphere as described above. In order to confirm the state of the electrostatic chuck and the focus ring in this vacuum atmosphere, it is conceivable to provide a sensor in the processing container. However, there is a concern that the plasma may be biased by installing this sensor. Therefore, based on the tendency of changes in the surface state of the conventional electrostatic chuck and the shape of the focus ring, the time (life) in which the electrostatic chuck and the focus ring can be used is set, and the time for performing the plasma etching process is set. When the set time was exceeded, the electrostatic chuck and the focus ring were exchanged by opening the processing container to the atmosphere. Also, when a change in etching characteristics is confirmed on the wafer, the processing container is opened and the state of the electrostatic chuck and the focus ring is checked. If the shape is out of the allowable range, the electrostatic chuck and the focus ring In some cases, exchanges were made.

しかし、エッチングの処理条件の違いにより静電チャック及びフォーカスリングの形状が変化する程度は異なるため、上記のように使用可能な時間を設定する手法は、精度高く静電チャック及びフォーカスリングの状態を管理することが難しい。また、ウエハのエッチング特性の変化を確認してから静電チャック及びフォーカスリングの交換を行う手法は、ウエハを無駄にしてしまう。このようなことから、長期にわたり安定したエッチング特性を得ることが難しかった。さらに、これらの手法では、静電チャック及びフォーカスリングの交換時に処理容器を大気開放するため、大気解放後は処理容器を真空引きして、所望の真空度を得るまでエッチング処理を行えない。従って、プラズマエッチング装置の生産性が低下してしまう懸念があった。特許文献1には、このようなプラズマエッチング装置を備えた基板処理装置について記載されているが、上記の問題を解決する手法については記載されていない。   However, since the degree of change in the shape of the electrostatic chuck and the focus ring varies depending on the etching processing conditions, the method for setting the usable time as described above can accurately determine the state of the electrostatic chuck and the focus ring. Difficult to manage. Further, the method of exchanging the electrostatic chuck and the focus ring after confirming the change in the etching characteristics of the wafer wastes the wafer. For this reason, it has been difficult to obtain stable etching characteristics over a long period of time. Furthermore, in these methods, since the processing container is opened to the atmosphere when the electrostatic chuck and the focus ring are replaced, the etching process cannot be performed until the processing container is evacuated and a desired vacuum degree is obtained after the atmosphere is released. Therefore, there is a concern that the productivity of the plasma etching apparatus may be reduced. Patent Document 1 describes a substrate processing apparatus provided with such a plasma etching apparatus, but does not describe a technique for solving the above problem.

特開2009−16447JP2009-16447

本発明は、上述の事情に基づいてなされたものであり、その目的は、真空処理室に設けられる基板載置台の表面部の状態の確認や当該表面部の交換を行うことによる真空処理の停止時間を短くすると共に、前記表面部の状態を精度高く管理することである。   The present invention has been made based on the above-described circumstances, and its purpose is to stop the vacuum processing by confirming the state of the surface portion of the substrate mounting table provided in the vacuum processing chamber and exchanging the surface portion. While shortening time, it is managing the state of the said surface part with high precision.

本発明の基板処理装置は、基板が搬送される常圧雰囲気の常圧搬送室と、
この常圧搬送室とロードロック室を介して接続され、基板に対して真空処理を行う真空処理室と、
前記真空処理室に設けられ、本体部と、当該本体部に対して着脱自在な表面部とを有する基板載置台と、
前記ロードロック室に設けられるかまたは常圧搬送室に接続されて設けられる、前記表面部を収納するための保管部と、
常圧搬送室からロードロック室を介して真空処理室へ基板を搬送し、また前記保管部と前記真空処理室の本体部との間で前記表面部を搬送するための搬送機構と、
を備え、
前記表面部は基板を載置する載置面を備え、
前記保管部は、前記表面部を保持するための保持部を備え、
前記搬送機構は、基板を前記表面部に載置した状態で保管部から真空処理室に搬送することを特徴とする。
The substrate processing apparatus of the present invention includes a normal pressure transfer chamber in a normal pressure atmosphere in which a substrate is transferred,
A vacuum processing chamber that is connected via the normal pressure transfer chamber and the load lock chamber, and performs vacuum processing on the substrate;
A substrate mounting table provided in the vacuum processing chamber and having a main body portion and a surface portion detachably attached to the main body portion;
A storage part for storing the surface part , provided in the load lock chamber or connected to an atmospheric pressure transfer chamber;
A transport mechanism for transporting the substrate from the normal pressure transport chamber to the vacuum processing chamber via the load lock chamber, and transporting the surface portion between the storage unit and the main body of the vacuum processing chamber;
With
The surface portion includes a mounting surface on which a substrate is mounted,
The storage part includes a holding part for holding the surface part,
The transport mechanism transports the substrate from the storage unit to the vacuum processing chamber in a state where the substrate is placed on the surface unit.

本発明の具体的な態様としては下記の通りである。
(1)前記ロードロック室と前記真空処理室との間に介在する真空雰囲気の真空搬送室が設けられる。
(2)前記保管部は、前記ロードロック室に設けられるかまたは常圧搬送室に接続されて設けられる代わりに前記ロードロック室及び真空処理室と区画されて前記真空搬送室に接続され、
前記真空搬送室が真空雰囲気である状態のまま前記保管部の内部を真空雰囲気から常圧雰囲気にできるように、当該保管部の真空搬送室に対する開放及び遮断を切り替える仕切り弁と、
を備える。
(3)前記載置面の予め設定された位置に基板を載置するために、表面部及び基板を前記保持部に搬送する前に当該保持部に対する位置合わせを行う位置合わせ機構が設けられる。
(4)前記真空処理室は、基板にプラズマ処理を行うためのものである。
(5)前記表面部は、基板を吸着するための静電チャック及び基板の外周を囲み、プラズマの状態を制御するためのフォーカスリングの少なくともいずれか一方からなる。
(6)前記保管部は、互いに区画された第1の保管部と、第2の保管部とからなり、
前記仕切り弁は第1の保管部、第2の保管部に各々設けられ、互いに独立して開閉できるように構成されている。


Specific embodiments of the present invention are as follows.
(1) A vacuum transfer chamber having a vacuum atmosphere is provided between the load lock chamber and the vacuum processing chamber.
(2) The storage unit is provided in the load lock chamber or connected to the atmospheric pressure transfer chamber, and instead of being provided with the load lock chamber and the vacuum processing chamber, the storage unit is connected to the vacuum transfer chamber,
A partition valve that switches between opening and closing of the storage unit with respect to the vacuum transfer chamber so that the inside of the storage unit can be changed from a vacuum atmosphere to a normal pressure atmosphere while the vacuum transfer chamber is in a vacuum atmosphere;
Is provided.
(3) In order to place the substrate at a preset position on the placement surface, an alignment mechanism is provided for aligning the front surface portion and the substrate with the holding portion before transporting the substrate to the holding portion.
(4) The vacuum processing chamber is for performing plasma processing on the substrate.
(5) The surface portion includes at least one of an electrostatic chuck for attracting the substrate and a focus ring for enclosing the outer periphery of the substrate and controlling a plasma state.
(6) The storage unit includes a first storage unit and a second storage unit that are partitioned from each other.
The said gate valve is each provided in the 1st storage part and the 2nd storage part, and it is comprised so that it can open and close mutually independently.


本発明によれば、真空処理室に設けられる基板載置台の表面部を本体部から着脱自在に構成し、ロードロック室または大気搬送室に設けられるか、あるいは真空搬送室に接続される保管部との間で搬送する。これによって真空処理室を大気開放しなくても前記表面部の交換を行うことができるので、当該真空処理室における真空処理の停止時間を抑えることができる。また、前記表面部の状態を目視で確認したり、保管部に各種のセンサを設けて表面部の状態の確認を行うことができる。従って、表面部の状態を精度高く管理することができ、ひいては基板のエッチング特性の劣化を防ぐことができる。   According to the present invention, the surface portion of the substrate mounting table provided in the vacuum processing chamber is configured to be detachable from the main body, and is provided in the load lock chamber or the atmospheric transfer chamber or is connected to the vacuum transfer chamber. Carry between. As a result, the surface portion can be replaced without opening the vacuum processing chamber to the atmosphere, so that the vacuum processing stop time in the vacuum processing chamber can be suppressed. Moreover, the state of the said surface part can be confirmed visually, or the state of the surface part can be confirmed by providing various sensors in the storage part. Therefore, the state of the surface portion can be managed with high accuracy, and the deterioration of the etching characteristics of the substrate can be prevented.

本発明に係る基板処理装置の縦断側面図である。It is a vertical side view of the substrate processing apparatus which concerns on this invention. 前記基板処理装置に設けられるストッカの縦断側面図である。It is a vertical side view of the stocker provided in the said substrate processing apparatus. 前記ストッカの上部の縦断正面図である。It is a vertical front view of the upper part of the stocker. 前記ストッカの横断平面図である。It is a cross-sectional plan view of the stocker. 前記ストッカに設けられる載置台の斜視図である。It is a perspective view of the mounting base provided in the stocker. 前記基板処理装置に設けられるアライメントモジュールの縦断側面図である。It is a vertical side view of the alignment module provided in the said substrate processing apparatus. 前記基板処理装置に設けられるアライメントモジュールの縦断側面図である。It is a vertical side view of the alignment module provided in the said substrate processing apparatus. 前記基板処理装置に設けられるプラズマエッチングモジュールの縦断側面図である。It is a vertical side view of the plasma etching module provided in the said substrate processing apparatus. 前記プラズマエッチングモジュールに設けられる載置台の斜視図である。It is a perspective view of the mounting base provided in the said plasma etching module. 前記基板処理装置で処理が行われる様子を示した工程図である。It is process drawing which showed a mode that a process was performed with the said substrate processing apparatus. 前記基板処理装置で処理が行われる様子を示した工程図である。It is process drawing which showed a mode that a process was performed with the said substrate processing apparatus. 前記基板処理装置で処理が行われる様子を示した工程図である。It is process drawing which showed a mode that a process was performed with the said substrate processing apparatus. 前記基板処理装置で処理が行われる様子を示した工程図である。It is process drawing which showed a mode that a process was performed with the said substrate processing apparatus. 前記基板処理装置で処理が行われる様子を示した工程図である。It is process drawing which showed a mode that a process was performed with the said substrate processing apparatus. 前記基板処理装置で処理が行われる様子を示した工程図である。It is process drawing which showed a mode that a process was performed with the said substrate processing apparatus. 前記基板処理装置で処理が行われる様子を示した工程図である。It is process drawing which showed a mode that a process was performed with the said substrate processing apparatus. 前記基板処理装置で処理が行われる様子を示した工程図である。It is process drawing which showed a mode that a process was performed with the said substrate processing apparatus. 前記基板処理装置で処理が行われる様子を示した工程図である。It is process drawing which showed a mode that a process was performed with the said substrate processing apparatus. 前記基板処理装置で処理が行われる様子を示した工程図である。It is process drawing which showed a mode that a process was performed with the said substrate processing apparatus. 基板処理装置での他の処理の例を示す工程図である。It is process drawing which shows the example of the other process with a substrate processing apparatus. 基板処理装置での他の処理の例を示す工程図である。It is process drawing which shows the example of the other process with a substrate processing apparatus. 基板処理装置での他の処理の例を示す工程図である。It is process drawing which shows the example of the other process with a substrate processing apparatus. 他の基板処理装置の構成を示す平面図である。It is a top view which shows the structure of another substrate processing apparatus. 基板処理装置の他の搬送機構の例を示す平面図である。It is a top view which shows the example of the other conveyance mechanism of a substrate processing apparatus. 前記搬送機構に対応する載置台を示す斜視図である。It is a perspective view which shows the mounting base corresponding to the said conveyance mechanism. 前記搬送機構における搬送例を示す工程図である。It is process drawing which shows the example of conveyance in the said conveyance mechanism. 前記プラズマエッチングモジュールに設けられる他の載置台の縦断側面図である。It is a vertical side view of the other mounting base provided in the said plasma etching module. 前記載置台の平面図である。It is a top view of the mounting table mentioned above. 前記載置台の縦断側面図である。It is a vertical side view of the mounting table. 前記載置台の平面図である。It is a top view of the mounting table mentioned above. さらに他の載置台の縦断側面図である。It is a vertical side view of still another mounting table. 前記載置台の縦断側面図である。It is a vertical side view of the mounting table.

(第1の実施形態)
本発明の実施の形態に係る基板処理装置1の構成について図1の平面図を参照しながら説明する。基板処理装置1は、半導体装置製造用の基板であるウエハWを当該基板処理装置1に搬入するための大気搬送室11と、ロードロック室12、12と、真空搬送室13と、例えば4つのプラズマエッチングモジュール4を備えている。大気搬送室11は、ロードロック室12、12を介して真空搬送室13に接続されている。真空搬送室13には、ロードロック室12、12から区画されるようにプラズマエッチングモジュール4が接続されている。
(First embodiment)
The configuration of the substrate processing apparatus 1 according to the embodiment of the present invention will be described with reference to the plan view of FIG. The substrate processing apparatus 1 includes an atmospheric transfer chamber 11 for loading a wafer W, which is a substrate for manufacturing a semiconductor device, into the substrate processing apparatus 1, load lock chambers 12, 12, a vacuum transfer chamber 13, for example, A plasma etching module 4 is provided. The atmospheric transfer chamber 11 is connected to a vacuum transfer chamber 13 via load lock chambers 12 and 12. A plasma etching module 4 is connected to the vacuum transfer chamber 13 so as to be partitioned from the load lock chambers 12 and 12.

大気搬送室11は大気雰囲気に構成され、その正面には例えば25枚のウエハWを収納するキャリアCが載置されるキャリア載置台14が設けられている。大気搬送室11の正面壁には、前記キャリアCが接続されてキャリアCの蓋と一緒に開閉されるゲートドアGTが設けられている。大気搬送室11の一方の側面には保管部であるストッカ2が設けられ、他方の側面には位置合わせ機構をなすアライメント室3が設けられている。これらストッカ2及びアライメント室3については後述する。   The atmospheric transfer chamber 11 is configured in an atmospheric atmosphere, and a carrier mounting table 14 on which a carrier C that stores, for example, 25 wafers W is mounted is provided. The front wall of the atmospheric transfer chamber 11 is provided with a gate door GT that is connected to the carrier C and is opened and closed together with the lid of the carrier C. A stocker 2 serving as a storage unit is provided on one side surface of the atmospheric transfer chamber 11, and an alignment chamber 3 serving as an alignment mechanism is provided on the other side surface. The stocker 2 and the alignment chamber 3 will be described later.

また、大気搬送室11には第1の搬送機構15が設けられており、キャリアC、ロードロック室12、アライメント室3及びストッカ2の間でウエハWと後述の静電チャック51とフォーカスリング52とを受け渡す。第1の搬送機構15は、基部15aと、多関節のアーム15bと、支持部15cとを備えている。アーム15bの基端側は基部15aに接続され、アーム15bの先端側は支持部15cに接続されている。基部15aは横方向に移動自在且つ昇降自在に構成される。支持部15cは平面視U字型に形成され、ウエハW、静電チャック51及びフォーカスリング52を支持する。   In addition, a first transfer mechanism 15 is provided in the atmospheric transfer chamber 11, and a wafer W, an electrostatic chuck 51 and a focus ring 52, which will be described later, between the carrier C, the load lock chamber 12, the alignment chamber 3, and the stocker 2. And hand over. The first transport mechanism 15 includes a base portion 15a, an articulated arm 15b, and a support portion 15c. The proximal end side of the arm 15b is connected to the base portion 15a, and the distal end side of the arm 15b is connected to the support portion 15c. The base portion 15a is configured to be movable in the lateral direction and freely movable up and down. The support portion 15 c is formed in a U shape in plan view, and supports the wafer W, the electrostatic chuck 51, and the focus ring 52.

ロードロック室12には、ウエハWが載置されるステージと、昇降自在な支持ピンとが設けられ、前記支持ピンにより前記第1の搬送機構15と、後述の第2の搬送機構16との間でウエハWを受け渡すことができる。また、ロードロック室12には、図示しない真空ポンプとリーク弁とが設けられており、大気雰囲気と真空雰囲気とを切り替えられる。つまり、大気搬送室11の雰囲気が大気雰囲気に、真空搬送室13の雰囲気が真空雰囲気に夫々保たれているため、ロードロック室12、12は、これらの搬送室間において、ウエハWを搬送するために雰囲気が切り替えられることになる。   The load lock chamber 12 is provided with a stage on which the wafer W is placed and a support pin that can be moved up and down. The support pin allows the first lock mechanism 15 and a second transfer mechanism 16 to be described later. The wafer W can be delivered. Further, the load lock chamber 12 is provided with a vacuum pump and a leak valve (not shown), and can be switched between an air atmosphere and a vacuum atmosphere. That is, since the atmosphere in the air transfer chamber 11 is maintained in the air atmosphere and the atmosphere in the vacuum transfer chamber 13 is maintained in the vacuum atmosphere, the load lock chambers 12 and 12 transfer the wafer W between these transfer chambers. Therefore, the atmosphere is switched.

真空搬送室13は既述のように真空雰囲気に保たれ、第2の搬送機構16を備えている。第2の搬送機構16は、第1の搬送機構15と略同様に構成されているが、1つの基部に対して各2つのアーム及び支持部が設けられている。第2の搬送機構16の基部、アーム、支持部は夫々16a、16b、16cとして図示している。   The vacuum transfer chamber 13 is maintained in a vacuum atmosphere as described above and includes the second transfer mechanism 16. The second transport mechanism 16 is configured in substantially the same manner as the first transport mechanism 15, but each arm is provided with two arms and a support portion. The base, arm, and support of the second transport mechanism 16 are shown as 16a, 16b, and 16c, respectively.

図中Gは、各室間及びプラズマエッチングモジュール4と真空搬送室との間を仕切る開閉自在なゲートバルブ(仕切り弁)である。通常、ゲートバルブGは閉じられており、各室間及び各モジュールと真空搬送室13との間でウエハWを搬送するときに開かれる。   In the figure, G is a freely openable / closable gate valve (partition valve) that partitions between the chambers and between the plasma etching module 4 and the vacuum transfer chamber. Normally, the gate valve G is closed and opened when the wafer W is transferred between the chambers and between the modules and the vacuum transfer chamber 13.

続いて、ストッカ2について、図2の縦断側面図及び図3の横断平面図を参照しながら説明する。ストッカ2は筐体21を備え、筐体21には第1の搬送機構15が進入するための開口部22と、当該開口部22を開閉するシャッタ23が設けられている。当該筐体21内にはプラズマエッチングモジュール4においてウエハWの載置台43を構成する静電チャック51と、フォーカスリング52とが夫々複数収納されている。また、筐体21を構成し、大気搬送室11と反対側に設けられた側壁21aは例えばユーザが静電チャック51及びフォーカスリング52の状態を目視で確認できるように透明に構成されている。また、側壁21aは取り外し自在に構成され、筐体21内の静電チャック51及びフォーカスリング52の交換を行うことができるようになっている。   Next, the stocker 2 will be described with reference to the longitudinal side view of FIG. 2 and the transverse plan view of FIG. The stocker 2 includes a housing 21, and the housing 21 is provided with an opening 22 through which the first transport mechanism 15 enters and a shutter 23 that opens and closes the opening 22. In the case 21, a plurality of electrostatic chucks 51 and focus rings 52 constituting a mounting table 43 for the wafer W in the plasma etching module 4 are accommodated. Moreover, the side wall 21a which comprises the housing | casing 21 and was provided on the opposite side to the atmospheric conveyance chamber 11 is comprised transparently so that a user can confirm the state of the electrostatic chuck 51 and the focus ring 52 visually, for example. Further, the side wall 21a is configured to be removable so that the electrostatic chuck 51 and the focus ring 52 in the housing 21 can be exchanged.

ここで、図4の斜視図も参照しながら静電チャック51及びフォーカスリング52の構成について説明する。静電チャック51は、プラズマエッチングモジュール4における処理時にウエハWを載置して吸着保持すると共にウエハWに伝熱する役割を有し、円板状に形成されている。そして、その表面には段差が形成され、中央部511は周縁部512よりも高く形成されている。中央部511には、後述する支持ピン27が貫通する孔513と、ウエハWの処理時にウエハWの裏面にガスを流通させるための孔514とが、静電チャック51の厚さ方向に穿設されている。周縁部512には後述する支持ピン28が貫通する孔515が、前記厚さ方向に設けられている。これら各孔513、515は3つずつ静電チャック51の周方向に配列されている。また、前記孔514は多数設けられている。図中516は、静電チャック51の外周部から内側に向けて形成された切り欠きである。   Here, the configuration of the electrostatic chuck 51 and the focus ring 52 will be described with reference to the perspective view of FIG. The electrostatic chuck 51 has a role of mounting and holding the wafer W during the processing in the plasma etching module 4 and transferring heat to the wafer W, and is formed in a disk shape. A step is formed on the surface, and the central portion 511 is formed higher than the peripheral portion 512. A hole 513 through which a support pin 27 to be described later passes and a hole 514 through which gas flows to the back surface of the wafer W during processing of the wafer W are formed in the central portion 511 in the thickness direction of the electrostatic chuck 51. Has been. A hole 515 through which a support pin 28 to be described later passes is provided in the peripheral portion 512 in the thickness direction. Three each of these holes 513 and 515 are arranged in the circumferential direction of the electrostatic chuck 51. In addition, a large number of the holes 514 are provided. In the drawing, reference numeral 516 denotes a notch formed inward from the outer peripheral portion of the electrostatic chuck 51.

フォーカスリング52は例えばウエハWと同じくシリコンにより構成され、プラズマエッチングモジュール4における処理時にウエハWの周縁部と中央部とでプラズマの状態が偏ることを防ぐ役割を有し、リング状に形成されている。フォーカスリング52の表面には段差が形成され、内周部521よりも外周部522が高く形成されている。なお、フォーカスリング52の材質としては、シリコンに限られず、例えば二酸化シリコン(SiO2)や炭化シリコン(SiC)により構成してもよい。フォーカスリング52は、前記静電チャック51の周縁部512に載置できるように構成される。また、フォーカスリング52の外周部522はウエハWの外周を囲むことができる大きさに構成されている。 The focus ring 52 is made of, for example, silicon like the wafer W, and has a role of preventing the plasma state from being biased between the peripheral portion and the central portion of the wafer W during processing in the plasma etching module 4 and is formed in a ring shape. Yes. A step is formed on the surface of the focus ring 52, and the outer peripheral part 522 is formed higher than the inner peripheral part 521. The material of the focus ring 52 is not limited to silicon, and may be composed of, for example, silicon dioxide (SiO 2 ) or silicon carbide (SiC). The focus ring 52 is configured to be placed on the peripheral edge 512 of the electrostatic chuck 51. Further, the outer peripheral portion 522 of the focus ring 52 is configured to have a size that can surround the outer periphery of the wafer W.

ストッカ2の説明に戻って、筐体21の上部側には、複数の各静電チャック51及びフォーカスリング52を積層して支持するための棚24が設けられている。図5は、筐体21の上部側を当該筐体21の開口部22側から見た縦断面を示している。この図5に示すように棚24は開口部22から見て左右に設けられ、静電チャック51及びフォーカスリング52の縁部を支持する。開口部22から進入した第1の搬送機構15は、これら静電チャック51及びフォーカスリング52の裏面を支持して、当該棚24からこれら静電チャック51及びフォーカスリング52を受け取ることができる。   Returning to the description of the stocker 2, a shelf 24 for stacking and supporting each of the plurality of electrostatic chucks 51 and the focus ring 52 is provided on the upper side of the housing 21. FIG. 5 shows a longitudinal section of the upper side of the housing 21 as viewed from the opening 22 side of the housing 21. As shown in FIG. 5, the shelf 24 is provided on the left and right sides when viewed from the opening 22, and supports the edges of the electrostatic chuck 51 and the focus ring 52. The first transport mechanism 15 entering from the opening 22 can receive the electrostatic chuck 51 and the focus ring 52 from the shelf 24 while supporting the back surfaces of the electrostatic chuck 51 and the focus ring 52.

棚24の下方には、図2〜図4に示すように円形の保持部25が設けられている。前記静電チャック51及びフォーカスリング52とキャリアCから搬送されたウエハWとは、この保持部25に搬送されて一体にされる。そして、そのように一体にされた状態で上記の第1の搬送機構15及び第2の搬送機構16により、プラズマエッチングモジュール4に搬送される。保持部25には当該保持部25の厚さ方向に穿設された3つの孔26a(図2では便宜上2つのみ示している)が、当該保持部25の周方向に配設されている。各孔26aには静電チャック51の裏面を支持する支持ピン26が設けられ、各支持ピン26は図2に示す駆動機構26bにより昇降自在に構成されている。   A circular holding portion 25 is provided below the shelf 24 as shown in FIGS. The electrostatic chuck 51 and the focus ring 52 and the wafer W transferred from the carrier C are transferred to the holding unit 25 and integrated. And it is conveyed by the above-mentioned 1st conveyance mechanism 15 and the 2nd conveyance mechanism 16 to the plasma etching module 4 in the state integrated in that way. In the holding part 25, three holes 26 a (only two are shown for convenience in FIG. 2) drilled in the thickness direction of the holding part 25 are arranged in the circumferential direction of the holding part 25. Each hole 26a is provided with a support pin 26 that supports the back surface of the electrostatic chuck 51, and each support pin 26 is configured to be movable up and down by a drive mechanism 26b shown in FIG.

孔26aよりも保持部25の中心側よりに、3つの孔27aが孔26aと同様に配設されている。各孔27aには支持ピン27が設けられ、各支持ピン27は駆動機構27bにより昇降自在に構成されている。図4に示すようにこの支持ピン26は、静電チャック51の孔513を介してウエハWの裏面を支持する。また、孔26aよりも保持部25の外側よりには、3つの孔28aが孔26aと同様に配設されている。各孔28aには支持ピン28が設けられ、各支持ピン28は駆動機構28bにより昇降自在に構成されている。図4に示すようにこの支持ピン28は、静電チャック51の孔515を介してフォーカスリング52の裏面を支持する。   Three holes 27a are arranged in the same manner as the hole 26a from the center side of the holding portion 25 rather than the hole 26a. Each hole 27a is provided with a support pin 27, and each support pin 27 is configured to be movable up and down by a drive mechanism 27b. As shown in FIG. 4, the support pins 26 support the back surface of the wafer W through the holes 513 of the electrostatic chuck 51. Further, three holes 28a are arranged in the same manner as the holes 26a from the outside of the holding portion 25 rather than the holes 26a. Each hole 28a is provided with a support pin 28, and each support pin 28 is configured to be movable up and down by a drive mechanism 28b. As shown in FIG. 4, the support pin 28 supports the back surface of the focus ring 52 through the hole 515 of the electrostatic chuck 51.

続いて図6、図7を参照しながらアライメント室3の構成について説明する。アライメント室3にはウエハW、静電チャック51及びフォーカスリング52が夫々載置される水平な回転ステージ31が設けられている。この回転ステージ31はこれらウエハW、静電チャック51及びフォーカスリング52を真空吸着し、水平に支持する。図6は回転ステージ31に静電チャック51を載置した状態を、図7は回転ステージ31にフォーカスリング52を載置した状態を夫々示している。   Next, the configuration of the alignment chamber 3 will be described with reference to FIGS. The alignment chamber 3 is provided with a horizontal rotary stage 31 on which the wafer W, the electrostatic chuck 51 and the focus ring 52 are placed. The rotary stage 31 vacuum-sucks the wafer W, the electrostatic chuck 51, and the focus ring 52 and supports them horizontally. 6 shows a state where the electrostatic chuck 51 is placed on the rotary stage 31, and FIG. 7 shows a state where the focus ring 52 is placed on the rotary stage 31, respectively.

回転ステージ31は駆動機構32により、水平を保ったまま鉛直軸周りに回転する。回転ステージ31の下方には当該回転ステージ31の周方向に例えば3本(図中では便宜上2本のみ図示)支持ピン33が設けられている。支持ピン33は昇降機構34により昇降し、回転ステージ31の厚さ方向に設けられた孔35を介して当該回転ステージ31上に突出する。この支持ピン33により回転ステージ31と第1の搬送機構15との間で、ウエハW、静電チャック51及びフォーカスリング52の受け渡しが行われる。   The rotary stage 31 is rotated around the vertical axis by the drive mechanism 32 while maintaining the horizontal. Below the rotary stage 31, for example, three (for convenience, only two are shown) support pins 33 are provided in the circumferential direction of the rotary stage 31. The support pin 33 is lifted and lowered by the lift mechanism 34 and protrudes onto the rotary stage 31 through a hole 35 provided in the thickness direction of the rotary stage 31. The wafer W, the electrostatic chuck 51 and the focus ring 52 are transferred between the rotary stage 31 and the first transfer mechanism 15 by the support pins 33.

回転ステージ31の外側上部には投光部36が設けられ、その下方には受光部37が設けられている。図6に示すように静電チャック51を載置した回転ステージ31が回転しながら、投光部36から受光部37への投光が行われる。そして、静電チャック51の周縁部に遮られずに受光部37に射しこまれた光量の変化に基づいて、後述の制御部100は、回転ステージ31上における静電チャック51の中心位置と切り欠き516の向きとを検出し、回転ステージ31を回転させて切り欠き516を所定の向きにする。ウエハWについても同様の処理を行うことで制御部100は、ウエハWの中心位置を検出することができる。フォーカスリング52についても同様の処理が行われ、制御部100は当該フォーカスリング52の中心位置を検出する。   A light projecting unit 36 is provided on the upper outer side of the rotary stage 31, and a light receiving unit 37 is provided below the light projecting unit 36. As shown in FIG. 6, light projection from the light projecting unit 36 to the light receiving unit 37 is performed while the rotary stage 31 on which the electrostatic chuck 51 is placed rotates. Then, based on the change in the amount of light incident on the light receiving unit 37 without being blocked by the peripheral edge of the electrostatic chuck 51, the control unit 100 described later determines the center position of the electrostatic chuck 51 on the rotary stage 31. The orientation of the notch 516 is detected, and the rotary stage 31 is rotated to bring the notch 516 into a predetermined orientation. By performing the same processing on the wafer W, the control unit 100 can detect the center position of the wafer W. Similar processing is performed on the focus ring 52, and the control unit 100 detects the center position of the focus ring 52.

上記のように中心位置の検出及び向きの調整が行われた各部材を、第1の搬送機構15は、検出された中心位置が当該第1の搬送機構15の支持部15cに対して所定の位置に位置するように受け取る。静電チャック51についてはこのように受け渡しが行われることで、保持部25に載置されたときに既述の各孔の位置と前記保持部25の各支持ピンの位置とが揃う。さらに、プラズマエッチングモジュール4に搬送されたときに、静電チャック51における孔513の位置、後述の静電チャック51の下面の電極518の位置、ガスが流通するための孔514の位置を後述の本体部44の支持ピン46の位置、表面電極531の位置、ガス吐出口48の位置に夫々揃えることができる。つまり、この支持部15cに対して位置合わせすることが、保持部25及びプラズマエッチングモジュール4に対しても位置合わせしていることになる。フォーカスリング52及びウエハWについても上記のように中心位置に基づいて受け渡しが行われることで、静電チャック51に正確に載置することができる。   For each member whose center position has been detected and whose orientation has been adjusted as described above, the first transport mechanism 15 has a predetermined center position with respect to the support portion 15c of the first transport mechanism 15. Receive to be in position. By passing the electrostatic chuck 51 in this way, the positions of the holes described above and the positions of the support pins of the holding part 25 are aligned when placed on the holding part 25. Furthermore, the position of the hole 513 in the electrostatic chuck 51, the position of an electrode 518 on the lower surface of the electrostatic chuck 51, which will be described later, and the position of the hole 514 through which gas flows when transferred to the plasma etching module 4 are described later. The positions of the support pins 46 of the main body 44, the position of the surface electrode 531, and the position of the gas discharge port 48 can be aligned. In other words, the alignment with respect to the support portion 15 c also aligns with the holding portion 25 and the plasma etching module 4. The focus ring 52 and the wafer W can also be accurately placed on the electrostatic chuck 51 by performing delivery based on the center position as described above.

続いて、プラズマエッチングモジュール4について図8の縦断側面図を参照しながら説明する。プラズマエッチングモジュール4は、マグネトロン方式の反応性イオンエッチング装置である。プラズマエッチングモジュール4は、気密な処理容器41を備えている。当該処理容器41には、エッチングを行うための処理ガスを導入するガスシャワーヘッドを兼ねた上部電極42と下部電極を兼ねた載置台43とが互いに対向して設けられている。   Next, the plasma etching module 4 will be described with reference to a longitudinal side view of FIG. The plasma etching module 4 is a magnetron type reactive ion etching apparatus. The plasma etching module 4 includes an airtight processing container 41. The processing vessel 41 is provided with an upper electrode 42 that also serves as a gas shower head for introducing a processing gas for performing etching and a mounting table 43 that also serves as a lower electrode.

載置台43は例えば円形に形成された本体部44と、既述の静電チャック51及びフォーカスリング52とにより構成されており、静電チャック51及びフォーカスリング52は本体部44の表面に設けられる。本体部44には3つの孔45aが当該本体部44の厚さ方向に穿設されており(便宜上図8では2つのみ図示している)、各孔45aは本体部44の周方向に配列されている。各孔45aには支持ピン45が設けられ、処理容器41の下方に設けられる昇降機構45bにより昇降自在に構成されている。それによって、図9に示すように第2の搬送機構16と本体部44との間で、上記のストッカ2の保持部25で一体にされたウエハW、静電チャック51及びフォーカスリング52の受け渡しが行われる。以降、この一体にされたウエハW、静電チャック51及びフォーカスリング52を被搬送体50と記載する。   The mounting table 43 includes, for example, a main body 44 formed in a circular shape, and the electrostatic chuck 51 and the focus ring 52 described above. The electrostatic chuck 51 and the focus ring 52 are provided on the surface of the main body 44. . Three holes 45 a are formed in the main body 44 in the thickness direction of the main body 44 (only two are shown in FIG. 8 for convenience), and each hole 45 a is arranged in the circumferential direction of the main body 44. Has been. Each hole 45a is provided with a support pin 45, and is configured to be movable up and down by an elevating mechanism 45b provided below the processing container 41. As a result, as shown in FIG. 9, the wafer W, the electrostatic chuck 51, and the focus ring 52 that are integrated by the holding unit 25 of the stocker 2 are transferred between the second transfer mechanism 16 and the main body 44. Is done. Hereinafter, the integrated wafer W, electrostatic chuck 51, and focus ring 52 are referred to as a transported body 50.

また、本体部44にはその厚さ方向に3つの孔46aが穿設されており、この孔46aは孔45aよりも本体部44の内側よりに、当該本体部44の周方向に配設されている。各孔46aには支持ピン46が設けられ、処理容器41の下方に設けられる昇降機構46bにより昇降自在に構成されている。この支持ピン46により、静電チャック51及びフォーカスリング52が本体部44に載置されたまま、ウエハWを突き上げて、第2の搬送機構16と載置台43との間で当該ウエハWを受け渡すことができる。図8中47は処理容器41内の気密性を保つためのベローズである。   The main body 44 is provided with three holes 46a in the thickness direction. The holes 46a are arranged in the circumferential direction of the main body 44 from the inside of the main body 44 rather than the holes 45a. ing. Each hole 46a is provided with a support pin 46, and is configured to be movable up and down by an elevating mechanism 46b provided below the processing container 41. The support pins 46 push up the wafer W while the electrostatic chuck 51 and the focus ring 52 are placed on the main body 44, and receive the wafer W between the second transfer mechanism 16 and the placement table 43. Can pass. In FIG. 8, reference numeral 47 denotes a bellows for maintaining the airtightness in the processing container 41.

本体部44には図示していないがヒータが設けられており、静電チャック51を介してウエハWが当該ヒータの熱により温調される。また、本体部44には伝熱用ガス供給部48aに接続されたガス吐出口48が設けられている。このガス吐出口48から吐出される例えばヘリウムガスからなる伝熱用ガスは、静電チャック51の孔514を介して当該静電チャック51とウエハWとの僅かな隙間に供給されてウエハWへの伝熱を行う。また、本体部44には整合器49aを介してバイアス用の電力を印加する高周波電源部49bが接続されている。   Although not shown, the main body 44 is provided with a heater, and the temperature of the wafer W is adjusted by the heat of the heater via the electrostatic chuck 51. The main body 44 is provided with a gas discharge port 48 connected to the heat transfer gas supply unit 48a. A heat transfer gas made of, for example, helium gas discharged from the gas discharge port 48 is supplied to a slight gap between the electrostatic chuck 51 and the wafer W through the hole 514 of the electrostatic chuck 51 and is supplied to the wafer W. Conduct heat transfer. The main body 44 is connected to a high frequency power supply 49b for applying bias power via a matching unit 49a.

ここで、前記静電チャック51の構成について補足しながら、さらに本体部44の構成について説明する。静電チャック51の表面は例えばセラミックスにより構成され、その内部には平板状の主電極517が設けられている。この主電極517から、下方に向かうように引き出し電極518が設けられている。この引き出し電極518は静電チャック51の下面に露出している。本体部44の表面には、この引き出し電極518に対応する位置に表面電極531が設けられ、表面電極531は直流電源532に接続されている。静電チャック51が本体部44に載置されるときには、引き出し電極518と表面電極531とが重なり合い、直流電源532から主電極517に直流電圧が印加され、静電力によりウエハWが静電チャック51の表面に静電吸着される。   Here, while supplementing the configuration of the electrostatic chuck 51, the configuration of the main body 44 will be further described. The surface of the electrostatic chuck 51 is made of ceramics, for example, and a flat main electrode 517 is provided therein. A lead electrode 518 is provided from the main electrode 517 toward the lower side. The lead electrode 518 is exposed on the lower surface of the electrostatic chuck 51. A surface electrode 531 is provided on the surface of the main body 44 at a position corresponding to the lead electrode 518, and the surface electrode 531 is connected to a DC power source 532. When the electrostatic chuck 51 is placed on the main body 44, the extraction electrode 518 and the surface electrode 531 overlap each other, a direct current voltage is applied from the direct current power source 532 to the main electrode 517, and the electrostatic force 51 causes the wafer W to adhere to the electrostatic chuck 51. It is electrostatically adsorbed on the surface.

また本体部44の側方には互いに対となる押さえ部材534、534が、当該本体部44を挟むように設けられている。この押さえ部材534は、静電チャック51を挟み込んで、既述の伝熱用ガスの圧力による浮き上がりを防ぐ。押さえ部材534は、本体部44の側周に沿って設けられた起立板の上部側が本体部44側へ90°屈曲するように形成される。前記上部側は押圧部535として示している。本体部44の側周には、当該本体部44の径方向に伸び出して押さえ部材534を支持する支持部材536が設けられている。本体部44に設けられた不図示の駆動機構により、この支持部材536を介して前記押圧部535は本体部44の径方向に移動し、静電チャック51を左右から押圧して固定する。   Also, pressing members 534 and 534 that are paired with each other are provided on the side of the main body 44 so as to sandwich the main body 44. The pressing member 534 sandwiches the electrostatic chuck 51 and prevents the lifting due to the pressure of the heat transfer gas described above. The holding member 534 is formed such that the upper side of the upright plate provided along the side periphery of the main body 44 is bent 90 ° toward the main body 44. The upper side is shown as a pressing part 535. A support member 536 that extends in the radial direction of the main body 44 and supports the pressing member 534 is provided on the side periphery of the main body 44. The pressing portion 535 is moved in the radial direction of the main body 44 via the support member 536 by a driving mechanism (not shown) provided in the main body 44, and the electrostatic chuck 51 is pressed and fixed from the left and right.

続いて、処理容器41について説明する。処理容器41の底部には排気管53が接続され、真空ポンプ54により処理容器41内が真空引きされる。更に処理容器41の側壁には、被搬送体50を搬送する搬送口が設けられ、既述のゲートバルブGにより開閉される。更に処理容器2の外周側には、処理雰囲気に所定の磁場を形成するために例えば多数の永久磁石をリング状に配列してなるからなる磁石部55、55が上下に設けられている。   Next, the processing container 41 will be described. An exhaust pipe 53 is connected to the bottom of the processing container 41, and the inside of the processing container 41 is evacuated by a vacuum pump 54. Further, a transfer port for transferring the transfer target 50 is provided on the side wall of the processing container 41 and is opened and closed by the gate valve G described above. Further, on the outer peripheral side of the processing container 2, magnet portions 55 and 55 made up of, for example, a large number of permanent magnets arranged in a ring shape are provided vertically in order to form a predetermined magnetic field in the processing atmosphere.

前記上部電極42の下面側には多数のガス吐出口56が穿設され、上部電極42内のバッファ室56aに連通している。ガス供給部57からバッファ室56aに供給された各種のガスは、ガス吐出口56からウエハWに向けて吐出される。また、上部電極42には、整合器58aを介して高周波電力を供給するための高周波電源部58が接続されている。なお、図中41bは絶縁部材41bであり、上部電極42と処理容器41の側壁部分とを絶縁する。   A large number of gas discharge ports 56 are formed on the lower surface side of the upper electrode 42 and communicate with a buffer chamber 56 a in the upper electrode 42. Various gases supplied from the gas supply unit 57 to the buffer chamber 56 a are discharged toward the wafer W from the gas discharge port 56. The upper electrode 42 is connected to a high frequency power supply unit 58 for supplying high frequency power via a matching unit 58a. In the figure, reference numeral 41b denotes an insulating member 41b, which insulates the upper electrode 42 from the side wall portion of the processing vessel 41.

この基板処理装置1は、各部の動作を制御する制御部100を備えている。制御部100は例えば図示しないCPUとプログラムとを備えたコンピュータからなる。前記プログラムには第1の搬送機構15、第2の搬送機構16によるウエハW、静電チャック51及びフォーカスリング52の搬送及びアライメント室3におけるこれらの各部材の位置合わせ、各モジュールでのウエハWのエッチング処理など、後述の基板処理装置1の動作を行うために基板処理装置1の各部に制御信号を送信するようにステップ(命令)群が組まれている。このプログラムは、例えばハードディスク、コンパクトディスク、マグネットオプティカルディスク、メモリーカード等の記憶媒体に格納され、そこからコンピュータにインストールされる。   The substrate processing apparatus 1 includes a control unit 100 that controls the operation of each unit. For example, the control unit 100 includes a computer including a CPU and a program (not shown). The program includes the wafer W by the first transfer mechanism 15 and the second transfer mechanism 16, the transfer of the electrostatic chuck 51 and the focus ring 52, the alignment of these members in the alignment chamber 3, and the wafer W in each module. In order to perform the operation of the substrate processing apparatus 1 to be described later, such as the etching process of FIG. This program is stored in a storage medium such as a hard disk, a compact disk, a magnetic optical disk, or a memory card, and installed in the computer therefrom.

上述の基板処理装置1の作用について説明する。先ず、真空搬送室13内及び各プラズマエッチングモジュール4の処理容器41内が真空引きされ、真空雰囲気に保たれる。第1の搬送機構15がストッカ2の棚24から静電チャック51を受け取り、アライメント室3の回転ステージ31に搬送する。そして、既述のように静電チャック51の中心と、切り欠き516の向きとが検出され、切り欠き516が所定の向きに向けられ、検出された中心が所定の位置に位置するように第1の搬送機構15の支持部15cに静電チャック51が受け渡される。   The operation of the above-described substrate processing apparatus 1 will be described. First, the inside of the vacuum transfer chamber 13 and the inside of the processing container 41 of each plasma etching module 4 are evacuated and kept in a vacuum atmosphere. The first transport mechanism 15 receives the electrostatic chuck 51 from the shelf 24 of the stocker 2 and transports it to the rotary stage 31 of the alignment chamber 3. Then, as described above, the center of the electrostatic chuck 51 and the direction of the notch 516 are detected, the notch 516 is directed in a predetermined direction, and the detected center is positioned at a predetermined position. The electrostatic chuck 51 is delivered to the support portion 15 c of the one transport mechanism 15.

第1の搬送機構15がストッカ2の保持部25上に静電チャック51を搬送すると、図10に示すように支持ピン26が上昇し、静電チャック51の裏面を支持する。前記支持部15cが保持部25上から退避すると、支持ピン26が下降し、保持部25の表面に静電チャック51が載置される。続いて、第1の搬送機構15がストッカ2の棚24からフォーカスリング52を受け取り、アライメント室3の回転ステージ31に搬送する。既述のようにフォーカスリング52の中心が検出され、当該中心が第1の搬送機構15の支持部15cに対して所定の位置に位置するように、当該支持部15cに受け渡される。   When the first transport mechanism 15 transports the electrostatic chuck 51 onto the holding unit 25 of the stocker 2, the support pins 26 rise as shown in FIG. 10 and support the back surface of the electrostatic chuck 51. When the support portion 15 c is retracted from the holding portion 25, the support pin 26 is lowered and the electrostatic chuck 51 is placed on the surface of the holding portion 25. Subsequently, the first transport mechanism 15 receives the focus ring 52 from the shelf 24 of the stocker 2 and transports it to the rotary stage 31 of the alignment chamber 3. As described above, the center of the focus ring 52 is detected and transferred to the support portion 15c so that the center is located at a predetermined position with respect to the support portion 15c of the first transport mechanism 15.

続いて、第1の搬送機構15がストッカ2の保持部25上へフォーカスリング52を搬送し、図11に示すように静電チャック51の孔515を介して支持ピン28が静電チャック51上に突出し、フォーカスリング52の裏面を支持する。前記支持部15cが保持部25上から退避すると、支持ピン28が下降して静電チャック51の周縁部512の表面にフォーカスリング52が載置される。   Subsequently, the first transport mechanism 15 transports the focus ring 52 onto the holding unit 25 of the stocker 2, and the support pin 28 is mounted on the electrostatic chuck 51 through the hole 515 of the electrostatic chuck 51 as shown in FIG. To support the back surface of the focus ring 52. When the support portion 15 c is retracted from the holding portion 25, the support pin 28 is lowered and the focus ring 52 is placed on the surface of the peripheral edge portion 512 of the electrostatic chuck 51.

続いて、キャリアCがキャリア載置台14に載置され、大気搬送室11に接続される。次いでゲートドアGT及びキャリアCの蓋が開かれて、キャリアC内のウエハWは第1の搬送機構15によって大気搬送室11を介してアライメント室3に搬入される。そして、既述のようにウエハWの中心位置が検出される。そして、ウエハWは検出された中心が第1の搬送機構15の支持部15cに対して所定の位置に位置するよう受け渡される。   Subsequently, the carrier C is mounted on the carrier mounting table 14 and connected to the atmospheric transfer chamber 11. Next, the gate door GT and the lid of the carrier C are opened, and the wafer W in the carrier C is loaded into the alignment chamber 3 by the first transfer mechanism 15 via the atmospheric transfer chamber 11. Then, the center position of the wafer W is detected as described above. Then, the wafer W is delivered so that the detected center is positioned at a predetermined position with respect to the support portion 15 c of the first transfer mechanism 15.

そして、第1の搬送機構15の支持部15cがストッカ2の保持部25上にウエハWを搬送すると、図12に示すように静電チャック51の支持ピン27が上昇してウエハWの裏面を支持する。前記支持部15cが保持部25上から退避すると支持ピン27が下降して、静電チャック51の中央部511上にウエハWが載置され、被搬送体50が形成される。   Then, when the support portion 15c of the first transfer mechanism 15 transfers the wafer W onto the holding portion 25 of the stocker 2, the support pins 27 of the electrostatic chuck 51 rise as shown in FIG. To support. When the support portion 15 c is retracted from the holding portion 25, the support pins 27 are lowered, the wafer W is placed on the central portion 511 of the electrostatic chuck 51, and the transferred object 50 is formed.

続いて、図14に示すように支持ピン26が被搬送体50の裏面を突き上げ、被搬送体50が第1の搬送機構15に受け渡される。第1の搬送機構15は大気雰囲気に保たれたロードロック室12に被搬送体50を搬送する。そして、このロードロック室12の圧力が調整されて室内が真空雰囲気になると、第2の搬送機構16の支持部16cが被搬送体50を受け取り、真空搬送室13を介してプラズマエッチングモジュール4の本体部44上に搬送する。図15に示すように支持ピン45が上昇し、被搬送体50の裏面を支持した後、第2の搬送機構16がプラズマエッチングモジュール4内から退避する。支持ピン45が下降して本体部44上に被搬送体50が載置されて載置台43が形成される。押さえ部材534が被搬送体50の静電チャック51を挟み込み、その押圧力により当該静電チャック51が本体部44に固定されると共に、静電チャック51に電圧が印加されてウエハWが静電チャック51に吸着されて固定される。   Subsequently, as shown in FIG. 14, the support pins 26 push up the back surface of the transported body 50, and the transported body 50 is delivered to the first transport mechanism 15. The 1st conveyance mechanism 15 conveys the to-be-conveyed body 50 to the load lock chamber 12 maintained by the atmospheric condition. When the pressure in the load lock chamber 12 is adjusted and the chamber is in a vacuum atmosphere, the support 16c of the second transport mechanism 16 receives the transported body 50, and the plasma etching module 4 passes through the vacuum transport chamber 13. It is conveyed onto the main body 44. As shown in FIG. 15, after the support pins 45 are raised and support the back surface of the transported object 50, the second transport mechanism 16 is retracted from the plasma etching module 4. The support pins 45 are lowered and the transported body 50 is placed on the main body 44 to form the placement table 43. The holding member 534 sandwiches the electrostatic chuck 51 of the transported body 50, and the electrostatic chuck 51 is fixed to the main body 44 by the pressing force, and a voltage is applied to the electrostatic chuck 51 to electrostatically charge the wafer W. The chuck 51 is attracted and fixed.

処理容器41内が所定の真空度に保たれると共に上部電極42から処理ガス例えばC8ガス、COガス、Oガス及びArガスからなる混合ガスを供給する。そして、上部電極42及び載置台43に各々高周波が印加され、供給された処理ガスがプラズマ化すると共に図16に矢印で示すようにウエハWに引き込まれ、ウエハW表面の被エッチング膜、例えば二酸化シリコン(SiO2)膜がエッチングされる。 The inside of the processing container 41 is maintained at a predetermined degree of vacuum, and a processing gas such as a mixed gas composed of C 4 F 8 gas, CO gas, O 2 gas, and Ar gas is supplied from the upper electrode 42. Then, a high frequency is applied to the upper electrode 42 and the mounting table 43, respectively, and the supplied processing gas is turned into plasma and drawn into the wafer W as indicated by an arrow in FIG. The silicon (SiO 2 ) film is etched.

所定の時間エッチングが行われると、高周波の印加及び処理ガスの供給が停止し、静電チャック51の孔513を介して突出した支持ピン47によりウエハWの裏面が突き上げられ、第2の搬送機構16の支持部16cに受け渡される(図17)。ウエハWは、真空雰囲気に保たれたロードロック室12に搬入され、ロードロック室12の圧力が上昇し、大気雰囲気になる。そして、前記ウエハWは第1の搬送機構15に受け渡され、キャリアCに戻される。   When etching is performed for a predetermined time, the application of the high frequency and the supply of the processing gas are stopped, the back surface of the wafer W is pushed up by the support pins 47 protruding through the holes 513 of the electrostatic chuck 51, and the second transfer mechanism. It is delivered to 16 support portions 16c (FIG. 17). The wafer W is carried into the load lock chamber 12 kept in a vacuum atmosphere, and the pressure in the load lock chamber 12 rises to become an air atmosphere. Then, the wafer W is transferred to the first transfer mechanism 15 and returned to the carrier C.

キャリアCからは後続のウエハWが払い出され、後続のウエハWは被搬送体50として搬送された既述のウエハWと同様にアライメント室3に搬送され、その中心の位置が調整されて第1の搬送機構15に受け渡される。そして、ストッカ2を介さずにロードロック室12及び真空搬送室13を介してプラズマエッチングモジュール4に搬送されて、既述のようにエッチング処理される。処理後は先発のウエハWと同様にキャリアCに戻される。   The succeeding wafer W is discharged from the carrier C, and the succeeding wafer W is transferred to the alignment chamber 3 in the same manner as the previously described wafer W transferred as the transfer target 50, and the center position thereof is adjusted to adjust the first position. 1 is transferred to one transport mechanism 15. Then, it is transferred to the plasma etching module 4 via the load lock chamber 12 and the vacuum transfer chamber 13 without passing through the stocker 2, and is etched as described above. After the processing, it is returned to the carrier C in the same manner as the preceding wafer W.

例えばプラズマエッチングモジュール4で所定の枚数ウエハWが処理され、ウエハWが搬出されると、上部電極42から例えばクリーニング用ガスとしてOガスが供給される。そして、上部電極42及び載置台43に各々高周波が印加され、供給されたクリーニング用ガスがプラズマ化されて載置台43に引き込まれる(図18)。このプラズマにより載置台43や処理容器41の内壁に堆積した堆積物が除去され、所定の時間プラズマの生成が行われると、高周波の印加及びクリーニング用ガスの供給が停止する。このクリーニング処理は、例えば所定のロットの処理後、次のロットを処理する前に行われる。 For example, when a predetermined number of wafers W are processed by the plasma etching module 4 and the wafers W are unloaded, for example, O 2 gas is supplied from the upper electrode 42 as a cleaning gas. Then, a high frequency is applied to the upper electrode 42 and the mounting table 43, respectively, and the supplied cleaning gas is turned into plasma and drawn into the mounting table 43 (FIG. 18). When the deposits deposited on the mounting table 43 and the inner wall of the processing container 41 are removed by this plasma and the plasma is generated for a predetermined time, the application of the high frequency and the supply of the cleaning gas are stopped. This cleaning process is performed, for example, after processing a predetermined lot and before processing the next lot.

そして、例えば予め設定した枚数のウエハWが処理されると、図19に示すように押さえ部材534による静電チャック51の本体部44への固定が解除され、支持ピン45が被搬送体50を突き上げる。被搬送体50は真空搬送室13及びロードロック室12を介して大気搬送室12に受け渡され、ストッカ2の保持部25に載置された後、組立て時とは逆の動作でウエハW、静電チャック51及びフォーカスリング52に分解される。そして、ウエハWはキャリアCに戻され、静電チャック51及びフォーカスリング52は棚24に戻される。   For example, when a predetermined number of wafers W are processed, the holding of the electrostatic chuck 51 to the main body 44 by the pressing member 534 is released as shown in FIG. Push up. The transferred object 50 is transferred to the atmospheric transfer chamber 12 through the vacuum transfer chamber 13 and the load lock chamber 12, and after being placed on the holding unit 25 of the stocker 2, the wafer W, It is disassembled into an electrostatic chuck 51 and a focus ring 52. Then, the wafer W is returned to the carrier C, and the electrostatic chuck 51 and the focus ring 52 are returned to the shelf 24.

その後は、ストッカ2に保持されていた新しい静電チャック51とフォーカスリング52とが保持部25に搬送されて、新たに装置内に搬入されたウエハWと一体にされて被搬送体50を構成し、プラズマエッチングモジュール4に搬送されて、プラズマエッチングモジュール4による処理が再開される。このプラズマエッチングモジュール4における静電チャック51及びフォーカスリング52の交換は、例えば前記クリーニング処理と同様に所定のロットの処理後、次のロットを処理する前に行われる。このように新しい静電チャック51及びフォーカスリング52により処理が行われている間に、ユーザはプラズマエッチングモジュール4からストッカ2に戻された静電チャック51及びフォーカスリング52の形状を確認し、必要があれば交換を行う。   After that, the new electrostatic chuck 51 and the focus ring 52 held in the stocker 2 are transferred to the holding unit 25 and integrated with the wafer W newly transferred into the apparatus to constitute the transferred object 50. Then, it is transferred to the plasma etching module 4 and the processing by the plasma etching module 4 is resumed. The replacement of the electrostatic chuck 51 and the focus ring 52 in the plasma etching module 4 is performed, for example, after a predetermined lot is processed and before the next lot is processed, as in the cleaning process. While the processing is performed by the new electrostatic chuck 51 and the focus ring 52 as described above, the user confirms the shapes of the electrostatic chuck 51 and the focus ring 52 that are returned from the plasma etching module 4 to the stocker 2 and is necessary. If there is, replace it.

この基板処理装置1によれば、静電チャック51及びフォーカスリング52がプラズマエッチングモジュール4の載置台43に対して着脱自在に構成され、使用されないときには大気雰囲気のストッカ2に搬送される。従ってこれら、静電チャック51及びフォーカスリング52の表面状態を確認するためにプラズマエッチングモジュール4の処理容器41内を大気開放する必要が無いため、装置1の生産性の低下を防ぐことができる。また、静電チャック51及びフォーカスリング52が処理容器41の外部へ搬出されるため、前記表面状態を容易に確認することができる。結果として、精度高い形状の管理を行い、交換時期を精度高く把握することができるため、これらの形状が許容レベルを超えた状態で使用されることを防ぎ、その結果としてウエハWのエッチング特性の劣化を防ぐことができる。   According to this substrate processing apparatus 1, the electrostatic chuck 51 and the focus ring 52 are configured to be detachable from the mounting table 43 of the plasma etching module 4, and are transported to the stocker 2 in the atmospheric atmosphere when not in use. Therefore, since it is not necessary to open the processing container 41 of the plasma etching module 4 to the atmosphere in order to check the surface states of the electrostatic chuck 51 and the focus ring 52, it is possible to prevent the productivity of the apparatus 1 from being lowered. Further, since the electrostatic chuck 51 and the focus ring 52 are carried out of the processing container 41, the surface state can be easily confirmed. As a result, it is possible to manage the shape with high accuracy and to grasp the replacement time with high accuracy, so that these shapes are prevented from being used in a state exceeding the allowable level, and as a result, the etching characteristics of the wafer W are reduced. Deterioration can be prevented.

上記の例では、ウエハW、静電チャック51、フォーカスリング52を夫々個別にプラズマエッチングモジュール4に搬送してエッチング処理が行えるようにしてもよい。ただし、上記のようにこれらを被搬送体50として一括で搬送する方が、第1の搬送機構15及び第2の搬送機構16の動作工程が少なくなるし、ロードロック室12の雰囲気の入れ替え回数も少なくなるので、スループットを向上させることができる。   In the above example, the wafer W, the electrostatic chuck 51, and the focus ring 52 may be individually transferred to the plasma etching module 4 so that the etching process can be performed. However, if these are transported as a transported body 50 as described above, the operation steps of the first transport mechanism 15 and the second transport mechanism 16 are reduced, and the number of times the atmosphere in the load lock chamber 12 is replaced. Therefore, the throughput can be improved.

上記の例において、静電チャック51とフォーカスリング52の搬送頻度を互いに異なるようにすることもできる。例えばプラズマエッチングモジュール4の載置台43に、ストッカ2の支持ピン28に相当するフォーカスリング52を静電チャック51と独立して突き上げる支持ピンを設ける。そして、所定の枚数のウエハWを処理した後、前記支持ピンにより静電チャック51を本体部44に固定したままフォーカスリング52だけを突き上げて、第2の搬送機構16に受け渡し、フォーカスリング52はストッカ2に戻される。そして、ストッカ2からは新しいフォーカスリング52が、プラズマエッチングモジュール4に搬送され、前記支持ピンに受け渡される。そして、さらに所定の枚数ウエハWを処理した後は、上記のように被搬送体50をプラズマエッチングモジュール4から搬出する。このように静電チャック51とフォーカスリング52について搬送頻度を個別に設定することで、アライメント室3におけるアライメントの回数や第1の搬送機構15における被搬送体50を分解するための動作工程を抑えることができるので、スループットの向上を図ることができる。   In the above example, the conveyance frequency of the electrostatic chuck 51 and the focus ring 52 may be different from each other. For example, a support pin that pushes up a focus ring 52 corresponding to the support pin 28 of the stocker 2 independently of the electrostatic chuck 51 is provided on the mounting table 43 of the plasma etching module 4. Then, after processing a predetermined number of wafers W, only the focus ring 52 is pushed up while the electrostatic chuck 51 is fixed to the main body 44 by the support pins, and is transferred to the second transport mechanism 16. It is returned to the stocker 2. Then, a new focus ring 52 is transferred from the stocker 2 to the plasma etching module 4 and transferred to the support pins. Then, after a predetermined number of wafers W have been processed, the transfer target 50 is unloaded from the plasma etching module 4 as described above. Thus, by individually setting the transfer frequency for the electrostatic chuck 51 and the focus ring 52, the number of alignments in the alignment chamber 3 and the operation process for disassembling the transfer target 50 in the first transfer mechanism 15 are suppressed. Therefore, throughput can be improved.

上記の例において、ストッカ2の内部を目視可能に構成する代わりに、ストッカ2に静電チャック51及びフォーカスリング52の形状を検出するためのセンサを設けてもよい。このようなセンサは、プラズマエッチングモジュール4の処理容器41の外部に設けられるので、当該処理容器41内でのプラズマエッチング処理を妨げることが無いことから、その設置が容易である。このセンサとしては光干渉、原子間力、電子線、X線または電磁力などを利用したセンサを設けることができる。また、ストッカ2の筐体21内にカメラを設けて、撮像された画像が制御部100を構成する表示部に表示されるように構成し、その画像に基づいて例えばユーザが交換時期を判定するようにしてもよい。センサと同様にこのカメラも処理容器41の外部に設けられるので、その設置が容易である。   In the above example, instead of configuring the inside of the stocker 2 so as to be visible, sensors for detecting the shapes of the electrostatic chuck 51 and the focus ring 52 may be provided in the stocker 2. Since such a sensor is provided outside the processing container 41 of the plasma etching module 4, it does not interfere with the plasma etching process in the processing container 41, so that its installation is easy. As this sensor, a sensor using optical interference, atomic force, electron beam, X-ray or electromagnetic force can be provided. In addition, a camera is provided in the casing 21 of the stocker 2 so that the captured image is displayed on a display unit that constitutes the control unit 100, and the user determines the replacement time based on the image, for example. You may do it. Like the sensor, this camera is also provided outside the processing container 41, so that its installation is easy.

また、静電チャック51及びフォーカスリング52などの部品には処理条件毎に適切な形状や状態があるが、各処理に特化した形状、状態の静電チャック51及びフォーカスリング52をストッカ2に収納しておき、処理容器41に供給するガスや処理容器内の圧力などの処理条件を変えるごとに、その処理条件に応じた静電チャック51及びフォーカスリング52を選択し、プラズマエッチングモジュール4に搬送してもよい。これによって、従来よりも良いエッチング特性を得ることができる。具体的には、例えばフォーカスリング52の外周部522の高さや径の大きさ、材質が夫々異なるものをストッカに格納しておく。制御部100を構成するメモリには、各フォーカスリング52が置かれた棚24の位置と、処理条件とが対応付けられて記憶されている。そして、ユーザがウエハのロットについて処理条件を指定すると第1の搬送機構15が、その処理条件に対応する棚24のフォーカスリング52を受け取り、既述のように被搬送体50が形成されて、プラズマエッチングモジュール4にて処理が行われる。   The parts such as the electrostatic chuck 51 and the focus ring 52 have an appropriate shape and state for each processing condition. The electrostatic chuck 51 and the focus ring 52 having a shape and state specialized for each processing are used as the stocker 2. Each time the processing conditions such as the gas supplied to the processing container 41 and the pressure in the processing container are changed, the electrostatic chuck 51 and the focus ring 52 corresponding to the processing conditions are selected and the plasma etching module 4 is selected. It may be conveyed. This makes it possible to obtain better etching characteristics than before. Specifically, for example, the outer periphery 522 of the focus ring 52 is stored in the stocker with different heights, diameters, and materials. The memory constituting the control unit 100 stores the position of the shelf 24 on which each focus ring 52 is placed and the processing conditions in association with each other. When the user designates the processing conditions for the lot of wafers, the first transport mechanism 15 receives the focus ring 52 of the shelf 24 corresponding to the processing conditions, and the transported body 50 is formed as described above. Processing is performed in the plasma etching module 4.

(第1の実施形態の変形例)
上記の実施形態では、静電チャック51及びフォーカスリング52がストッカ2の収納時に分離されているが、予めこれらを接合して一体にした表面部61として構成し、この表面部61をストッカ2の棚24に格納しておいてもよい。この場合も表面部61は、保持部25上にて同様にウエハWと一体にされる。また、表面部61の外周には、例えば第1の実施形態で静電チャック51の切り欠き516に相当する図示しない切り欠きが設けられている。この切り欠きにより、アライメント室3で第1の搬送機構15に表面部61が受け渡されるときに、当該表面部61の向きが調整される。
(Modification of the first embodiment)
In the above embodiment, the electrostatic chuck 51 and the focus ring 52 are separated when the stocker 2 is housed. However, the electrostatic chuck 51 and the focus ring 52 are preliminarily joined to form a surface portion 61 which is integrated into the stocker 2. You may store in the shelf 24. FIG. Also in this case, the surface portion 61 is integrated with the wafer W on the holding portion 25 in the same manner. Further, a notch (not shown) corresponding to the notch 516 of the electrostatic chuck 51 in the first embodiment is provided on the outer periphery of the surface portion 61, for example. By this notch, the orientation of the surface portion 61 is adjusted when the surface portion 61 is transferred to the first transport mechanism 15 in the alignment chamber 3.

アライメント室3にて、第1の搬送機構15の支持部15cに対して位置合わせされた表面部61は(図20)、支持ピン26を介してストッカ2の保持部25に受け渡された後、第1の実施形態と同様にウエハWがストッカ2に搬送されて(図21)、被搬送体50が形成される。被搬送体50は、第1の実施形態と同様に支持部15cに受け渡されて(図22)、プラズマエッチングモジュール4に搬送される。プラズマエッチングモジュール4での処理後は、第1の実施形態と同様に被搬送体50は、前記保持部25に戻される。そして、ウエハWが表面部61から分離されてキャリアCに戻され、表面部61はストッカ2の棚24に戻される。この変形例では、第1の実施形態よりも被搬送体50を形成するために行う第1の搬送機構15の動作及びアライメント室3のアライメントの回数を少なくできるため、より高いスループットを得ることができる。   After the surface portion 61 aligned with the support portion 15c of the first transport mechanism 15 in the alignment chamber 3 (FIG. 20) is delivered to the holding portion 25 of the stocker 2 via the support pins 26. As in the first embodiment, the wafer W is transferred to the stocker 2 (FIG. 21), and the transferred object 50 is formed. The to-be-conveyed body 50 is handed over to the support part 15c similarly to 1st Embodiment (FIG. 22), and is conveyed to the plasma etching module 4. FIG. After the processing by the plasma etching module 4, the transported body 50 is returned to the holding unit 25 as in the first embodiment. Then, the wafer W is separated from the surface portion 61 and returned to the carrier C, and the surface portion 61 is returned to the shelf 24 of the stocker 2. In this modification, since the number of operations of the first transport mechanism 15 and the alignment chamber 3 performed to form the transported body 50 can be reduced as compared with the first embodiment, higher throughput can be obtained. it can.

(第2の実施形態)
第2の実施形態として、図23にストッカ2を真空搬送室13に接続した例を示す。図23の基板処理装置6においては、ストッカ2は2つ設けられている。各ストッカ2は、第1の実施形態と同様に構成されているが、シャッタ23に代わりプラズマエッチングモジュール4と同様のゲートバルブ(仕切り弁)Gを備えている。また、筐体21内には当該筐体21内を真空引きして真空雰囲気に保つ排気口と、筐体21内を真空雰囲気から大気雰囲気に戻すためにエアを供給するエア供給口とが設けられている。
(Second Embodiment)
As a second embodiment, FIG. 23 shows an example in which the stocker 2 is connected to the vacuum transfer chamber 13. In the substrate processing apparatus 6 of FIG. 23, two stockers 2 are provided. Each stocker 2 is configured in the same manner as in the first embodiment, but includes a gate valve (gate valve) G similar to the plasma etching module 4 instead of the shutter 23. The casing 21 is provided with an exhaust port for evacuating the casing 21 to maintain a vacuum atmosphere, and an air supply port for supplying air to return the interior of the casing 21 from the vacuum atmosphere to the air atmosphere. It has been.

この第2の実施形態では、アライメント室3が真空搬送室13に接続されて設けられている。アライメント室3は、第1の実施形態と略同様に構成されているがその内部は真空雰囲気に保たれている。また、真空雰囲気中で静電チャック51やフォーカスリング52を吸着できるように、回転ステージ31はこれらを真空吸着する代わりに静電吸着するように構成されている。ただし、このように静電吸着を行う代わりに、回転ステージ31の表面全体あるいは表面の一部を、例えばゴムなどのフォーカスリング52、静電チャック51及びウエハWの各部材に対する摩擦係数が高い材質で被覆し、回転ステージ31の回転時の遠心力による位置ずれを防いでもよい。また、これらの位置ずれを防ぐための機構や部材を設ける代わりに、回転ステージ31の回転を前記遠心力による位置ずれが起きないような低い速度で行ってもよい。   In the second embodiment, the alignment chamber 3 is connected to the vacuum transfer chamber 13. The alignment chamber 3 is configured in substantially the same manner as in the first embodiment, but the inside is kept in a vacuum atmosphere. Further, the rotary stage 31 is configured to electrostatically adsorb these instead of vacuum adsorbing so that the electrostatic chuck 51 and the focus ring 52 can be adsorbed in a vacuum atmosphere. However, instead of performing electrostatic attraction in this way, the entire surface or a part of the surface of the rotary stage 31 is made of a material having a high coefficient of friction with respect to the focus ring 52 such as rubber, the electrostatic chuck 51, and each member of the wafer W. It is also possible to prevent the displacement due to the centrifugal force when the rotary stage 31 rotates. Further, instead of providing a mechanism or member for preventing these positional shifts, the rotation stage 31 may be rotated at a low speed so that the positional shift due to the centrifugal force does not occur.

この第2の実施形態における処理は、静電チャック51及びフォーカスリング52の搬送経路がストッカ2→アライメント室3→ストッカ2となること、キャリアCから搬送されたウエハWが、ロードロック室12→真空搬送室13→アライメント室3→ストッカ2となること、ストッカ2で形成された被搬送体50の搬送経路が真空搬送室13→プラズマエッチングモジュール4となることを除いて第1の実施形態と同様である。   In the processing in the second embodiment, the transport path of the electrostatic chuck 51 and the focus ring 52 is changed from stocker 2 → alignment chamber 3 → stocker 2, and the wafer W transported from the carrier C is loaded into the load lock chamber 12 → Except that the vacuum transfer chamber 13 → the alignment chamber 3 → the stocker 2 and the transfer path of the transfer target 50 formed by the stocker 2 is the vacuum transfer chamber 13 → the plasma etching module 4, It is the same.

この第2の実施形態の基板処理装置6では、2つ設けられたストッカ2のうちの片方ずつ、内部の静電チャック51及びフォーカスリング52の形状の確認やこれらの交換が行われる。一方のストッカ2のゲートバルブGが閉じられ、他の各室の真空度に与える影響が抑えられた状態で、当該一方のストッカ2の筐体21内の真空引きを停止すると共に筐体21内に大気を供給して、筐体21内を大気雰囲気に戻す。そして、筐体21の側壁21aを取り外し、前記形状の確認や交換を行う。その後、再び筐体21内を真空引きして真空雰囲気に戻す。このように一方のストッカ2における静電チャック51及びフォーカスリング52の確認及び交換を行う間、他方のストッカ2の静電チャック51及びフォーカスリング52を用いて処理が行われる。   In the substrate processing apparatus 6 of the second embodiment, confirmation of the shapes of the electrostatic chuck 51 and the focus ring 52 inside and replacement thereof are performed for each one of the two stockers 2 provided. While the gate valve G of one stocker 2 is closed and the influence on the degree of vacuum of the other chambers is suppressed, the evacuation in the housing 21 of the one stocker 2 is stopped and the inside of the housing 21 is stopped. The atmosphere is supplied to the housing 21 to return the inside of the housing 21 to the atmosphere. And the side wall 21a of the housing | casing 21 is removed and the said shape is confirmed and exchanged. Thereafter, the inside of the casing 21 is again evacuated to return to a vacuum atmosphere. In this way, while the electrostatic chuck 51 and the focus ring 52 in one stocker 2 are confirmed and replaced, processing is performed using the electrostatic chuck 51 and the focus ring 52 of the other stocker 2.

この第2の実施形態においては静電チャック51及びフォーカスリング52をプラズマエッチングモジュール4内から搬出して、これらの形状の確認を行うことができるので、第1の実施形態と同様にプラズマエッチングモジュール4の処理容器41内を大気開放する必要が無い。従って、装置の生産効率の低下を抑えることができる。また、ストッカ2を2基設けて、一方のストッカ2を大気開放する間に他方のストッカ2では被搬送体50の形成と搬送を続けることで、より確実に装置の生産効率の低下を抑えることができる。ただし、ストッカ2を1つだけ真空搬送室13に接続する場合も、プラズマエッチングモジュール4で処理が行われる間に、ストッカ2内を大気開放して前記形状の確認や交換ができるため、有効である。   In the second embodiment, since the electrostatic chuck 51 and the focus ring 52 can be carried out from the plasma etching module 4 and their shapes can be checked, the plasma etching module as in the first embodiment. There is no need to open the inside of the four processing containers 41 to the atmosphere. Accordingly, it is possible to suppress a decrease in the production efficiency of the apparatus. Further, by providing two stockers 2 and releasing one of the stockers 2 to the atmosphere, the other stocker 2 continues to form and transport the transported body 50, thereby more reliably suppressing a decrease in the production efficiency of the apparatus. Can do. However, even when only one stocker 2 is connected to the vacuum transfer chamber 13, it is effective because the inside of the stocker 2 can be opened to the atmosphere and the shape can be confirmed and replaced while the plasma etching module 4 is processing. is there.

ところで、各実施形態で示した構成は互いに組み合わせて用いることができる。例えば、第2の実施形態においても、ストッカ2には各種のセンサやカメラを設けることができるし、静電チャック51及びフォーカスリング52を一体にしてストッカ2に格納しておいてもよい。また、大気搬送室11に一のストッカ2を設け、且つ真空搬送室13に接続されるように他のストッカ2を設けてもよい。   By the way, the structure shown by each embodiment can be used in combination with each other. For example, also in the second embodiment, the stocker 2 can be provided with various sensors and cameras, and the electrostatic chuck 51 and the focus ring 52 may be integrally stored in the stocker 2. One stocker 2 may be provided in the atmospheric transfer chamber 11 and another stocker 2 may be provided so as to be connected to the vacuum transfer chamber 13.

ところで、第1の搬送機構15、第2の搬送機構16は、特許請求の範囲でいう搬送機構に相当する。このように搬送機構は各室内に分割されて設けられて各部材を搬送してもよいし、各室間を移動して各部材を搬送してもよい。また、各実施形態の搬送機構の支持部について、被搬送体50を搬送する支持部と、静電チャック51、フォーカスリング52、ウエハWを搬送する支持部とが互いに異なるように構成してもよい。図24は、第1の実施形態における第1の搬送機構15の他の構成例を示したものであり、この例では基部15aに多関節のアーム15bが2基設けられている。一方のアーム15bの先端には既述の支持部15cが設けられ、他方のアーム15bの先端側は支持部15dが設けられている。支持部15dは、矩形板状に形成されている。支持部15cは、第1の実施形態と同様に静電チャック51、フォーカスリング52、ウエハWを搬送する。支持部15dは被搬送体50を搬送する。   By the way, the 1st conveyance mechanism 15 and the 2nd conveyance mechanism 16 are corresponded to the conveyance mechanism said in a claim. As described above, the transport mechanism may be divided and provided in each chamber to transport each member, or may be transported between the chambers to transport each member. In addition, the support unit of the transfer mechanism of each embodiment may be configured such that the support unit that transfers the transferred object 50 and the support unit that transfers the electrostatic chuck 51, the focus ring 52, and the wafer W are different from each other. Good. FIG. 24 shows another configuration example of the first transport mechanism 15 in the first embodiment. In this example, two bases 15a are provided with two articulated arms 15b. The aforementioned support portion 15c is provided at the tip of one arm 15b, and the support portion 15d is provided at the tip of the other arm 15b. The support portion 15d is formed in a rectangular plate shape. The support portion 15c transports the electrostatic chuck 51, the focus ring 52, and the wafer W as in the first embodiment. The support portion 15d transports the transported body 50.

また、ストッカ2の保持部25にもこの支持部15dに対応した受け渡し機構を設けることができる。図25は、そのような保持部25を示しており、この保持部25の表面には互いに並行に形成された2つのスリット71が設けられている。そして、このスリット71に沿って形成された直線部材72、72が昇降自在に設けられ、保持部25の表面にて突没する。既述のように保持部25で被搬送体50が形成された後、図26に示すようにブロック72が上昇して被搬送体50を押し上げ、前記支持部15dに受け渡す。プラズマエッチングモジュール4から戻された被搬送体50を保持部25に受け渡す際にも、このように支持部15d及び直線部材72が用いられる。   Further, the holding portion 25 of the stocker 2 can be provided with a delivery mechanism corresponding to the support portion 15d. FIG. 25 shows such a holding portion 25, and two slits 71 formed in parallel to each other are provided on the surface of the holding portion 25. And the linear members 72 and 72 formed along this slit 71 are provided so that raising / lowering is possible, and it protrudes and sunk in the surface of the holding | maintenance part 25. FIG. After the transported body 50 is formed by the holding portion 25 as described above, the block 72 is raised as shown in FIG. 26 to push up the transported body 50 and deliver it to the support portion 15d. The support portion 15d and the linear member 72 are also used in this way when the transferred object 50 returned from the plasma etching module 4 is transferred to the holding portion 25.

このように被搬送体50と、ウエハW、静電チャック51及びフォーカスリング52とを互いに異なった形状の支持部15c、15dで夫々搬送するのは、被搬送物の形状や重さに応じて適切な形状の支持部を用いることで、これらの被搬送物の支持部からの落下を防ぐためである。また、第2の搬送機構16においても2つ設けられる支持部16cのうちの一方を支持部15dと同様の形状に構成し、被搬送体50を搬送するための専用の支持部として構成してもよい。   As described above, the transported body 50, the wafer W, the electrostatic chuck 51, and the focus ring 52 are transported by the support portions 15c and 15d having different shapes depending on the shape and weight of the transported object. This is to prevent these transported objects from falling from the support part by using a support part having an appropriate shape. Also, in the second transport mechanism 16, one of the two support portions 16c is configured in the same shape as the support portion 15d, and is configured as a dedicated support portion for transporting the transported object 50. Also good.

第1の実施形態において、真空搬送室13が設けられず、ロードロック室12にプラズマエッチングモジュール4が直接接続されていてもよい。その場合、例えばロードロック室12に第1の搬送機構15と同様の搬送機構を設け、大気搬送室11とプラズマエッチングモジュール4との間でウエハWを受け渡す。また、ストッカ2に収納される部材は、静電チャック51及びフォーカスリング52に限られることはない。図示は省略しているが、載置台43には、その外周部がエッチングされることを防ぐために保護する部品が設けられる。例えば当該部品を載置台43から着脱自在に構成し、ストッカ2に収納できるようにしてもよい。また、ストッカ2はロードロック室12に設けることもできる。また、真空搬送室13に接続されるモジュールはプラズマエッチングモジュールに限られず、例えば処理ガスをプラズマ化してウエハWに成膜を行う成膜モジュールであっても良い。   In the first embodiment, the vacuum transfer chamber 13 may not be provided, and the plasma etching module 4 may be directly connected to the load lock chamber 12. In that case, for example, a transfer mechanism similar to the first transfer mechanism 15 is provided in the load lock chamber 12, and the wafer W is transferred between the atmospheric transfer chamber 11 and the plasma etching module 4. Further, members stored in the stocker 2 are not limited to the electrostatic chuck 51 and the focus ring 52. Although not shown in the drawing, the mounting table 43 is provided with a protective part for preventing the outer peripheral portion from being etched. For example, the component may be configured to be detachable from the mounting table 43 so that it can be stored in the stocker 2. The stocker 2 can also be provided in the load lock chamber 12. The module connected to the vacuum transfer chamber 13 is not limited to the plasma etching module, and may be a film forming module that forms a film on the wafer W by converting the processing gas into plasma.

続いて、プラズマエッチングモジュール4において静電チャック51を固定する他の方法について説明する。図27、図28に示す例ではプラズマエッチングモジュール4の本体部44の支持部材536に垂直板541が設けられ、この垂直板541の上部には水平な差し込み板542が、本体部44側へ向かって伸びるように設けられている。そして、静電チャック51の側周には、この差し込み板542に対応した溝部543が設けられている。本体部44に被搬送体50が載置されると、図29、図30に示すように差し込み板542の端部が溝部543に差し込まれて、本体部44に静電チャック51が固定される。   Next, another method for fixing the electrostatic chuck 51 in the plasma etching module 4 will be described. In the example shown in FIGS. 27 and 28, a vertical plate 541 is provided on the support member 536 of the main body 44 of the plasma etching module 4, and a horizontal insertion plate 542 is provided on the vertical plate 541 toward the main body 44. It is provided to extend. A groove 543 corresponding to the insertion plate 542 is provided on the side periphery of the electrostatic chuck 51. When the transported body 50 is placed on the main body 44, the end of the insertion plate 542 is inserted into the groove 543 as shown in FIGS. 29 and 30, and the electrostatic chuck 51 is fixed to the main body 44. .

また、図31にはその表面に凹部540が設けられた本体部44について示している。静電チャック51の下面には下方へと伸びる棒544が設けられ、本体部44に被搬送体50が載置されるときに、この棒544が凹部540に進入するように構成されている。各凹部540には棒544を挟んで対向する押さえ部材545が設けられており、この押さえ部材545が棒544の中心方向に向かって移動し、棒544を押圧して本体部44に静電チャック51が固定される。   FIG. 31 shows the main body 44 having a recess 540 provided on the surface thereof. A bar 544 extending downward is provided on the lower surface of the electrostatic chuck 51, and the bar 544 is configured to enter the recess 540 when the transported body 50 is placed on the main body 44. Each concave portion 540 is provided with a pressing member 545 that is opposed to the bar 544, and the pressing member 545 moves toward the center of the bar 544 to press the bar 544 to the electrostatic chuck on the main body 44. 51 is fixed.

C キャリア
W ウエハ
1 基板処理装置
11 大気搬送室
12 ロードロック室
13 真空搬送室
2 ストッカ
21 筐体
24 棚
25 保持部
3 アライメント室
4 プラズマエッチングモジュール
C carrier W wafer 1 substrate processing apparatus 11 atmospheric transfer chamber 12 load lock chamber 13 vacuum transfer chamber 2 stocker 21 casing 24 shelf 25 holding unit 3 alignment chamber 4 plasma etching module

Claims (7)

基板が搬送される常圧雰囲気の常圧搬送室と、
この常圧搬送室とロードロック室を介して接続され、基板に対して真空処理を行う真空処理室と、
前記真空処理室に設けられ、本体部と、当該本体部に対して着脱自在な表面部とを有する基板載置台と、
前記ロードロック室に設けられるかまたは常圧搬送室に接続されて設けられる、前記表面部を収納するための保管部と、
常圧搬送室からロードロック室を介して真空処理室へ基板を搬送し、また前記保管部と前記真空処理室の本体部との間で前記表面部を搬送するための搬送機構と、
を備え、
前記表面部は基板を載置する載置面を備え、
前記保管部は、前記表面部を保持するための保持部を備え、
前記搬送機構は、基板を前記表面部に載置した状態で保管部から真空処理室に搬送することを特徴とする基板処理装置。
A normal pressure transfer chamber in a normal pressure atmosphere in which the substrate is transferred;
A vacuum processing chamber that is connected via the normal pressure transfer chamber and the load lock chamber, and performs vacuum processing on the substrate;
A substrate mounting table provided in the vacuum processing chamber and having a main body portion and a surface portion detachably attached to the main body portion;
A storage part for storing the surface part , provided in the load lock chamber or connected to an atmospheric pressure transfer chamber;
A transport mechanism for transporting the substrate from the normal pressure transport chamber to the vacuum processing chamber via the load lock chamber, and transporting the surface portion between the storage unit and the main body of the vacuum processing chamber;
With
The surface portion includes a mounting surface on which a substrate is mounted,
The storage part includes a holding part for holding the surface part,
The substrate processing apparatus, wherein the transport mechanism transports the substrate from the storage unit to the vacuum processing chamber in a state where the substrate is placed on the surface portion.
前記ロードロック室と前記真空処理室との間に介在する真空雰囲気の真空搬送室が設けられることを特徴とする請求項1の基板処理装置。   The substrate processing apparatus according to claim 1, further comprising a vacuum transfer chamber having a vacuum atmosphere interposed between the load lock chamber and the vacuum processing chamber. 前記保管部は、前記ロードロック室に設けられるかまたは常圧搬送室に接続されて設けられる代わりに前記ロードロック室及び真空処理室と区画されて前記真空搬送室に接続され、
前記真空搬送室が真空雰囲気である状態のまま前記保管部の内部を真空雰囲気から常圧雰囲気にできるように、当該保管部の真空搬送室に対する開放及び遮断を切り替える仕切り弁と、
を備えたことを特徴とする請求項2記載の基板処理装置。
The storage unit is provided in the load lock chamber or connected to the atmospheric pressure transfer chamber instead of being provided with the load lock chamber and the vacuum processing chamber and connected to the vacuum transfer chamber,
A partition valve that switches between opening and closing of the storage unit with respect to the vacuum transfer chamber so that the inside of the storage unit can be changed from a vacuum atmosphere to a normal pressure atmosphere while the vacuum transfer chamber is in a vacuum atmosphere;
The substrate processing apparatus according to claim 2, further comprising:
前記保管部は、互いに区画された第1の保管部と、第2の保管部とからなり、
前記仕切り弁は第1の保管部、第2の保管部に各々設けられ、互いに独立して開閉できるように構成されていることを特徴とする請求項3記載の基板処理装置。
The storage unit includes a first storage unit and a second storage unit that are partitioned from each other.
4. The substrate processing apparatus according to claim 3, wherein the gate valve is provided in each of the first storage unit and the second storage unit, and is configured to be opened and closed independently of each other.
前記載置面の予め設定された位置に基板を載置するために、表面部及び基板を前記保持部に搬送する前に当該保持部に対する位置合わせを行う位置合わせ機構が設けられることを特徴とする請求項1ないし4のいずれか一つに記載の基板処理装置。   In order to place the substrate at a preset position on the placement surface, an alignment mechanism is provided for aligning the surface portion and the substrate with the holding portion before transporting the substrate to the holding portion. The substrate processing apparatus according to any one of claims 1 to 4. 前記真空処理室は、基板にプラズマ処理を行うためのものであることを特徴とする請求項1ないし5のいずれか一つに記載の基板処理装置。   6. The substrate processing apparatus according to claim 1, wherein the vacuum processing chamber is for performing plasma processing on the substrate. 前記表面部は、基板を吸着するための静電チャック及び基板の外周を囲み、プラズマの状態を制御するためのフォーカスリングの少なくともいずれか一方からなる請求項6記載の基板処理装置。 The substrate processing apparatus according to claim 6, wherein the surface portion includes at least one of an electrostatic chuck for attracting the substrate and a focus ring for surrounding the outer periphery of the substrate and controlling a plasma state.
JP2011079859A 2011-03-31 2011-03-31 Substrate processing equipment Active JP6003011B2 (en)

Priority Applications (8)

Application Number Priority Date Filing Date Title
JP2011079859A JP6003011B2 (en) 2011-03-31 2011-03-31 Substrate processing equipment
TW101110190A TWI509725B (en) 2011-03-31 2012-03-23 Substrate processing device
US13/434,255 US9799542B2 (en) 2011-03-31 2012-03-29 Substrate processing apparatus
KR1020120032177A KR101901460B1 (en) 2011-03-31 2012-03-29 Substrate processing apparatus
CN201210101409.3A CN102738048B (en) 2011-03-31 2012-03-31 Substrate processing apparatus
US15/677,587 US10224226B2 (en) 2011-03-31 2017-08-15 Substrate processing apparatus
US16/214,731 US11328910B2 (en) 2011-03-31 2018-12-10 Substrate processing apparatus
US17/713,232 US20220230857A1 (en) 2011-03-31 2022-04-05 Substrate processing apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2011079859A JP6003011B2 (en) 2011-03-31 2011-03-31 Substrate processing equipment

Publications (2)

Publication Number Publication Date
JP2012216614A JP2012216614A (en) 2012-11-08
JP6003011B2 true JP6003011B2 (en) 2016-10-05

Family

ID=46925688

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011079859A Active JP6003011B2 (en) 2011-03-31 2011-03-31 Substrate processing equipment

Country Status (5)

Country Link
US (4) US9799542B2 (en)
JP (1) JP6003011B2 (en)
KR (1) KR101901460B1 (en)
CN (1) CN102738048B (en)
TW (1) TWI509725B (en)

Families Citing this family (205)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
JP5948026B2 (en) 2011-08-17 2016-07-06 東京エレクトロン株式会社 Semiconductor manufacturing apparatus and processing method
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
JP5849232B2 (en) * 2012-09-20 2016-01-27 パナソニックIpマネジメント株式会社 Plasma processing apparatus and plasma processing method
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
CN103019045A (en) * 2012-12-11 2013-04-03 清华大学 Silicon wafer platform with anti-collision function
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
JP6423880B2 (en) * 2013-08-05 2018-11-14 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Electrostatic chuck that can be taken out in situ
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
JP6213079B2 (en) * 2013-09-09 2017-10-18 シンフォニアテクノロジー株式会社 EFEM
TWI514501B (en) * 2013-09-17 2015-12-21 Hon Tech Inc Electronic component moving mechanism and its application of the picking methods and test equipment
KR20160058917A (en) * 2013-09-20 2016-05-25 어플라이드 머티어리얼스, 인코포레이티드 Substrate carrier with integrated electrostatic chuck
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
JP6224428B2 (en) * 2013-11-19 2017-11-01 東京エレクトロン株式会社 Method of attracting the focus ring to the mounting table
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
CN104743351B (en) * 2013-12-30 2016-09-07 基准精密工业(惠州)有限公司 Processing Room
US9287151B2 (en) * 2014-01-10 2016-03-15 Taiwan Semiconductor Manufacturing Co., Ltd Systems and method for transferring a semiconductor substrate
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
JP6251124B2 (en) * 2014-06-09 2017-12-20 株式会社荏原製作所 Substrate attaching / detaching portion for substrate holder and wet substrate processing apparatus provided with the same
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
JP2016046451A (en) * 2014-08-26 2016-04-04 株式会社アルバック Substrate processing apparatus and substrate processing method
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
KR101598465B1 (en) 2014-09-30 2016-03-02 세메스 주식회사 Apparatus and method for treating a subtrate
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US10658222B2 (en) * 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
DE202016104588U1 (en) * 2015-09-03 2016-11-30 Veeco Instruments Inc. Multi-chamber system for chemical vapor deposition
US20170115657A1 (en) 2015-10-22 2017-04-27 Lam Research Corporation Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ
US10062599B2 (en) * 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers
US9881820B2 (en) * 2015-10-22 2018-01-30 Lam Research Corporation Front opening ring pod
US10186438B2 (en) 2015-11-05 2019-01-22 Infineon Technologies Ag Method and apparatus for use in wafer processing
JP6539929B2 (en) * 2015-12-21 2019-07-10 昭和電工株式会社 Wafer supporting mechanism, chemical vapor deposition apparatus and method of manufacturing epitaxial wafer
KR20180099776A (en) 2016-01-26 2018-09-05 어플라이드 머티어리얼스, 인코포레이티드 Wafer edge ring lifting solution
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
JP6799395B2 (en) * 2016-06-30 2020-12-16 株式会社荏原製作所 Substrate holders, transfer systems that convey substrates in electronic device manufacturing equipment, and electronic device manufacturing equipment
JP6635888B2 (en) * 2016-07-14 2020-01-29 東京エレクトロン株式会社 Plasma processing system
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10541168B2 (en) * 2016-11-14 2020-01-21 Lam Research Corporation Edge ring centering method using ring dynamic alignment data
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
JP6812264B2 (en) * 2017-02-16 2021-01-13 東京エレクトロン株式会社 Vacuum processing equipment and maintenance equipment
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
JP2018174186A (en) * 2017-03-31 2018-11-08 東京エレクトロン株式会社 Substrate processing apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
CN108933097B (en) * 2017-05-23 2023-06-23 东京毅力科创株式会社 Vacuum conveying assembly and substrate processing device
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10388547B2 (en) 2017-06-23 2019-08-20 Applied Materials, Inc. Side storage pods, equipment front end modules, and methods for processing substrates
TWI676089B (en) 2017-06-23 2019-11-01 美商應用材料股份有限公司 Side storage pod, electronic device processing systems, and methods of processing substrates
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
JP2019053924A (en) 2017-09-15 2019-04-04 東京エレクトロン株式会社 Plasma processing apparatus
US11075105B2 (en) * 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
JP7029914B2 (en) * 2017-09-25 2022-03-04 東京エレクトロン株式会社 Board processing equipment
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10755955B2 (en) 2018-02-12 2020-08-25 Applied Materials, Inc. Substrate transfer mechanism to reduce back-side substrate contact
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (en) 2018-02-28 2022-06-01 美商應用材料股份有限公司 Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US20210159056A1 (en) * 2018-04-26 2021-05-27 Kyocera Corporation Focus-ring conveying member and plasma processing device including focus-ring conveying member
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
JP7090469B2 (en) 2018-05-15 2022-06-24 東京エレクトロン株式会社 Board processing equipment
JP7193933B2 (en) * 2018-06-22 2022-12-21 株式会社ディスコ Conveying method of workpiece
KR102433436B1 (en) * 2018-07-04 2022-08-17 삼성전자주식회사 Substrate processing system, edge rign inspection method in the substrate processing system, and disk-type vision sensor performing for the same
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
CN109192696B (en) * 2018-08-10 2021-06-08 北京北方华创微电子装备有限公司 Lift needle system, vacuum reaction chamber and semiconductor processing equipment
JP7115942B2 (en) * 2018-09-06 2022-08-09 東京エレクトロン株式会社 PLACE, SUBSTRATE PROCESSING APPARATUS, EDGE RING AND TRANSFER METHOD OF EDGE RING
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
JP7210960B2 (en) * 2018-09-21 2023-01-24 東京エレクトロン株式会社 Vacuum processing apparatus and substrate transfer method
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
JP7154986B2 (en) * 2018-12-11 2022-10-18 平田機工株式会社 Substrate transfer device and substrate transfer system
US10790466B2 (en) * 2018-12-11 2020-09-29 Feng-wen Yen In-line system for mass production of organic optoelectronic device and manufacturing method using the same system
JP7126466B2 (en) 2018-12-12 2022-08-26 東京エレクトロン株式会社 SUBSTRATE PROCESSING SYSTEM, TRANSFER METHOD, AND TRANSFER PROGRAM
JP7129325B2 (en) * 2018-12-14 2022-09-01 東京エレクトロン株式会社 Conveying method and conveying system
CN111326387B (en) * 2018-12-17 2023-04-21 中微半导体设备(上海)股份有限公司 Capacitively coupled plasma etching equipment
JP7147551B2 (en) * 2018-12-27 2022-10-05 株式会社Sumco Vapor deposition apparatus and carrier used therefor
JP7163764B2 (en) * 2018-12-27 2022-11-01 株式会社Sumco Vapor deposition equipment
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7217636B2 (en) * 2019-01-16 2023-02-03 東京エレクトロン株式会社 Chuck top, inspection device, and chuck top recovery method
JP7188250B2 (en) * 2019-04-11 2022-12-13 株式会社Sumco Vapor deposition apparatus and carrier used therefor
JP7099398B2 (en) * 2019-04-18 2022-07-12 株式会社Sumco Vapor phase growth method and vapor phase growth device
JP7188256B2 (en) * 2019-04-18 2022-12-13 株式会社Sumco Vapor deposition method and vapor deposition apparatus
US20200354828A1 (en) * 2019-05-10 2020-11-12 Veeco Instruments Inc. Deposition system with integrated carrier cleaning modules
US10964584B2 (en) * 2019-05-20 2021-03-30 Applied Materials, Inc. Process kit ring adaptor
US20200373190A1 (en) * 2019-05-20 2020-11-26 Applied Materials, Inc. Process kit enclosure system
JP7345289B2 (en) 2019-06-18 2023-09-15 東京エレクトロン株式会社 Substrate processing equipment, substrate processing system, and substrate transport method
KR102211252B1 (en) * 2019-06-26 2021-02-04 세메스 주식회사 Apparatus for treating substrate
US10916464B1 (en) 2019-07-26 2021-02-09 Applied Materials, Inc. Method of pre aligning carrier, wafer and carrier-wafer combination for throughput efficiency
JP2019186579A (en) * 2019-07-31 2019-10-24 東京エレクトロン株式会社 Plasma treatment system and focus ring exchanging method
JP6719629B2 (en) * 2019-07-31 2020-07-08 東京エレクトロン株式会社 Plasma processing system and transfer method
JP7349845B2 (en) * 2019-08-13 2023-09-25 東京エレクトロン株式会社 Transport method in substrate processing system
US11823937B2 (en) * 2019-08-19 2023-11-21 Applied Materials, Inc. Calibration of an aligner station of a processing system
US11469123B2 (en) * 2019-08-19 2022-10-11 Applied Materials, Inc. Mapping of a replacement parts storage container
JP7412124B2 (en) * 2019-10-18 2024-01-12 東京エレクトロン株式会社 How to replace the substrate processing system and edge ring
JP7192756B2 (en) * 2019-12-19 2022-12-20 株式会社Sumco Vapor deposition apparatus and vapor deposition method
JP7365924B2 (en) * 2020-02-13 2023-10-20 東京エレクトロン株式会社 teaching method
JP7418241B2 (en) 2020-02-27 2024-01-19 東京エレクトロン株式会社 Positioning device, processing system and positioning method
JP7471106B2 (en) * 2020-02-28 2024-04-19 東京エレクトロン株式会社 Parts transport device
JP7378318B2 (en) * 2020-02-28 2023-11-13 東京エレクトロン株式会社 How to replace parts
JP7454959B2 (en) * 2020-03-03 2024-03-25 東京エレクトロン株式会社 Substrate transfer system and atmospheric transfer module
US11759954B2 (en) 2020-03-17 2023-09-19 Applied Materials, Inc. Calibration of an electronics processing system
DE102020110570A1 (en) 2020-04-17 2021-10-21 Aixtron Se CVD process and CVD reactor with bodies that can be exchanged with the substrate and exchange heat
CN111554601B (en) * 2020-04-27 2021-12-28 上海果纳半导体技术有限公司 Wafer front end transfer system
US11380573B2 (en) 2020-06-04 2022-07-05 Tokyo Electron Limited Structure for automatic in-situ replacement of a part of an electrostatic chuck
JP6824461B2 (en) * 2020-06-05 2021-02-03 東京エレクトロン株式会社 Processing system
JP7409976B2 (en) * 2020-06-22 2024-01-09 東京エレクトロン株式会社 How to replace plasma processing system, plasma processing equipment and edge ring
KR102652834B1 (en) * 2020-08-07 2024-04-02 세메스 주식회사 Container and apparatus for treating substrate
TW202232624A (en) 2020-10-26 2022-08-16 日商東京威力科創股份有限公司 Processing system and transfer method
KR102649714B1 (en) * 2020-10-27 2024-03-21 세메스 주식회사 Apparatus for treating substrate and method for transffering substrate
JP7157127B2 (en) * 2020-12-16 2022-10-19 東京エレクトロン株式会社 Picks, transfer equipment and plasma processing systems
JP2022154234A (en) 2021-03-30 2022-10-13 東京エレクトロン株式会社 Plasma processing system, transfer arm, and transfer method for annular member

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4534389A (en) * 1984-03-29 1985-08-13 Hewlett-Packard Company Interlocking door latch for dockable interface for integrated circuit processing
JP2713276B2 (en) * 1995-12-07 1998-02-16 日本電気株式会社 Semiconductor device manufacturing apparatus and semiconductor device manufacturing method using the same
US6409896B2 (en) * 1999-12-01 2002-06-25 Applied Materials, Inc. Method and apparatus for semiconductor wafer process monitoring
US20050205209A1 (en) * 2004-03-18 2005-09-22 Aelan Mosden Replacing chamber components in a vacuum environment
JP5057647B2 (en) * 2004-07-02 2012-10-24 東京エレクトロン株式会社 Semiconductor device manufacturing method and semiconductor device manufacturing apparatus
JP2008226509A (en) * 2007-03-09 2008-09-25 Hitachi High-Technologies Corp Scanning electron microscope
JP4924245B2 (en) 2007-07-02 2012-04-25 東京エレクトロン株式会社 Semiconductor manufacturing apparatus, semiconductor device manufacturing method, and storage medium
JP2009152434A (en) * 2007-12-21 2009-07-09 Tokyo Electron Ltd Substrate processing equipment
US20090181553A1 (en) * 2008-01-11 2009-07-16 Blake Koelmel Apparatus and method of aligning and positioning a cold substrate on a hot surface
JP2009200142A (en) * 2008-02-20 2009-09-03 Nuflare Technology Inc Film forming device and film forming method
JP5025576B2 (en) * 2008-06-13 2012-09-12 新光電気工業株式会社 Electrostatic chuck and substrate temperature control fixing device
JP5317278B2 (en) * 2009-04-28 2013-10-16 大陽日酸株式会社 Vapor phase growth apparatus, method of removing facing surface member or susceptor upper surface cover in vapor phase growth apparatus
JP5650935B2 (en) * 2009-08-07 2015-01-07 東京エレクトロン株式会社 Substrate processing apparatus, positioning method, and focus ring arrangement method
US8409995B2 (en) * 2009-08-07 2013-04-02 Tokyo Electron Limited Substrate processing apparatus, positioning method and focus ring installation method
GB2476476B (en) * 2009-12-23 2013-05-22 Nanobeam Ltd Charged particle beam system

Also Published As

Publication number Publication date
KR101901460B1 (en) 2018-09-21
US20220230857A1 (en) 2022-07-21
TW201301428A (en) 2013-01-01
CN102738048A (en) 2012-10-17
US20190109031A1 (en) 2019-04-11
US20180019145A1 (en) 2018-01-18
JP2012216614A (en) 2012-11-08
US11328910B2 (en) 2022-05-10
KR20120112164A (en) 2012-10-11
US10224226B2 (en) 2019-03-05
US9799542B2 (en) 2017-10-24
US20120247671A1 (en) 2012-10-04
CN102738048B (en) 2014-12-03
TWI509725B (en) 2015-11-21

Similar Documents

Publication Publication Date Title
JP6003011B2 (en) Substrate processing equipment
TWI745308B (en) End effector mechanism and atmospheric transfer module
TWI734705B (en) Automated replacement of consumable parts using interfacing chambers
TWI739470B (en) Front opening ring pod
JP6582676B2 (en) Load lock device and substrate processing system
JP6522667B2 (en) Substrate double sided processing system and method
US8409995B2 (en) Substrate processing apparatus, positioning method and focus ring installation method
JP5208800B2 (en) Substrate processing system and substrate transfer method
JP2011054933A (en) Substrate treatment device, method for positioning, and method for installing focus ring
KR20200102612A (en) Substrate treating apparatus and substrate treating method
JP7144219B2 (en) Vacuum processor and tray
TWI668791B (en) Substrate processing apparatus and substrate processing method using the same
JP2010123733A (en) Substrate processing apparatus and processing method thereof, and storage medium
TW201802999A (en) Transfer chamber and processing system having the same, and corresponding method of processing substrates
TW200913115A (en) Transfer chamber with vacuum extension for shutter disks
KR101706735B1 (en) Transfer unit, apparatus for treating substrate including the same and method for treating substrate
JP2004200219A (en) Treatment equipment and treatment method
KR20210030917A (en) Substrate treating apparatus and substrate treating method
KR20140136748A (en) Transferring unit
US20220319819A1 (en) Substrate processing system and substrate processing method
WO2024075592A1 (en) Substrate processing system, and conveyance method
KR101649303B1 (en) Wafer processing system

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20140325

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20150210

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150324

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150521

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160202

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160330

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20160809

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20160822

R150 Certificate of patent or registration of utility model

Ref document number: 6003011

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250