JP5419711B2 - 処理システム用の多領域気体供給システム - Google Patents

処理システム用の多領域気体供給システム Download PDF

Info

Publication number
JP5419711B2
JP5419711B2 JP2009548347A JP2009548347A JP5419711B2 JP 5419711 B2 JP5419711 B2 JP 5419711B2 JP 2009548347 A JP2009548347 A JP 2009548347A JP 2009548347 A JP2009548347 A JP 2009548347A JP 5419711 B2 JP5419711 B2 JP 5419711B2
Authority
JP
Japan
Prior art keywords
flow
substrate
gas distribution
gas
coupled
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2009548347A
Other languages
English (en)
Other versions
JP2010518602A (ja
Inventor
ブルッカ,ジョゼフ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2010518602A publication Critical patent/JP2010518602A/ja
Application granted granted Critical
Publication of JP5419711B2 publication Critical patent/JP5419711B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)

Description

本発明は基板を処理するための処理システムに関する。より詳細には本発明は、処理剤を効率的に輸送して該処理剤を基板の上に分配するように備えられているガス分配システムを有する処理システムに関する。
典型的には、リモートプラズマ処理を含むプラズマ処理は、半導体デバイスの製造において、材料処理中、表面の調製中、並びに基板及びその上に設けられた薄膜の洗浄中に利用される。とりわけ、これらの処理は、デバイス製造中でのプロセスの集約を可能にし、デバイスのメタライゼーションの性能を改善し、かつ動作するデバイスの歩留まりを最適化する。たとえば、水素(H2)プラズマ、又は水素と他のガス状添加物との混合物で構成されるプラズマは、エレクトロニクス構造物のメタライゼーション前に、これらのエレクトロニクス構造物のコンタクト表面を清浄にするのに用いられて良い。さらに他の例では、酸素(O2)プラズマ、又は酸素と他のガス状添加物との混合物で構成されるプラズマは、エッチング後に、基板上に残されたマスク残余物及びエッチング残余物を除去にするのに用いられて良い。そのようなプラズマ処理は、バックエンド(BEOL)だけではなくトランジスタ製造中のフロントエンド(FEOL)の間にも広く用いられている。
米国特許出願第11/390196号明細書 米国特許第7141763号明細書
しかしプラズマ処理が利用される多くの用途では、製造されるデバイスは、プラズマの活性種に対して感受性を有し、係る活性種に曝露されるときには損傷する恐れがある。たとえばプラズマ雰囲気中に基板を設けることで、高エネルギー荷電粒子(たとえば活性な電子)及び電磁(EM)放射線(たとえば紫外(UV)放射線)への曝露が制御できなくなる恐れがあり、その結果、下地の層及び/又は構造物への損傷が引き起こされてしまう恐れがある。これはデバイス製造者にとっては受容できないものである。従ってこれらの用途の多くは、プラズマが遠方で生成されるようなプラズマ処理を必要とする。リモートプラズマ設備は、基板へ輸送されることで処理プロセスの触媒となる反応種の生成を助ける。
本発明は、基板を処理するシステムに関し、かつ処理剤によって基板を処理するシステムに関する。たとえば処理剤は原子又は分子ラジカルを有して良い。
一の実施例によると、原子又は分子ラジカル流を用いて基板上の残余物又は汚染物を除去する処理システムが記載されている。
他の実施例によると、処理システムは:プロセス空間を含むプロセスチャンバ;該プロセスチャンバと結合するラジカル生成システムであって、プロセスガスを受けて該プロセスガスからラジカルを生成するように備えられているラジカル生成システム;前記ラジカルを受けて前記プロセス空間内部に前記ラジカルの流れを分配させるように備えられているガス分配システムであって、前記ラジカル生成システムの出口と結合する流入口、前記プロセスチャンバと結合する流出口、及び、前記ガス分配システムと結合する分流部材であって、前記流入口を介して前記プロセスガス流の一の部分を前記基板の上である第1領域へ供給し、かつ前記プロセスガス流の残りの部分を前記基板の上である第2領域へそれぞれ分離して供給するように備えられている分流部材、を有するガス分配システム;前記プロセスチャンバと結合する台であって、前記プロセスチャンバのプロセス空間内で基板を支持し、かつ該基板の温度を調節するように備えられている台;並びに、前記プロセスチャンバと結合する真空排気システムであって前記プロセスチャンバを排気するように備えられている真空排気システム;を有する。
さらに他の実施例によると、主部を有するガス分配システムが記載されている。前記主部は、プロセスガス流を受けるように備えられている流入口、前記プロセスシステム内に前記プロセスガスを分配するように備えられている流出口、及び前記ガス分配システムと結合する分流部材を有する。前記分流部材は、前記流入口を介して前記プロセスガス流の一の部分を前記基板の上である第1領域へ供給し、かつ前記プロセスガス流の残りの部分を前記基板の上である第2領域へそれぞれ分離して供給するように備えられている。
基板上の薄膜内に部位を作成する手順を概略的に表している。 基板上の薄膜内に部位を作成する手順を概略的に表している。 基板上の薄膜内に部位を作成する手順を概略的に表している。 処理システムの概略図を表している。 ある実施例による処理システムの概略図を表している。 他の実施例によるガス分配システムの概略図を表している。 他の実施例によるガス分配システムの概略図を表している。 図4A及び図4Bに図示されたガス分配システムの内部ガス流と外部ガス流との関係を図示している。 基板での処理剤の濃度の空間分布と処理剤の分配に利用されるガス分配システムの関係を図示している。 他の実施例によるガス分配システムの概略図を表している。 他の実施例によるガス分配システムの概略図を表している。 A-Fはそれぞれ、本発明のそれぞれ異なる実施例によるガス分配ギャップを利用するガス分配システムの底部平面図を表している。 さらに他の実施例による基板処理方法を表している。
以降の記載では、限定ではない説明目的で、たとえば処理システムの具体的な幾何学的形状、並びに様々な部品及び処理の記載といった具体的詳細について説明される。しかし本発明は、これらの具体的詳細から逸脱する他の実施例でも実施可能であることに留意して欲しい。
材料プロセス方法においては、パターンエッチングは、基板の上側表面への感光性材料の薄膜-たとえばフォトレジスト-の堆積を含む。その感光性材料の薄膜は続いてパターニングされ、エッチング中に、基板上に設けられた下地の薄膜へパターンを転写するためのマスクが供される。感光性材料のパターニングは一般に、たとえばマイクロリソグラフィシステムを用いて電磁(EM)放射線の幾何学的パターンへ前記感光性材料を曝露し、その後現像溶液を用いて感光性材料の照射領域(ポジ型のレジストの場合)又は非照射領域(ネガ型のレジストの場合)を除去する工程を有する。
たとえば図1A-1Cに図示されているように、パターン2を有する感光性材料層3(たとえばパターニングされたフォトレジスト)を含むマスクは、基板5上の薄膜4へ部位のパターンを転写するのに利用されて良い。パターン2は、部位6を生成するため、たとえばドライエッチングを用いることによって薄膜4へ転写される。エッチング完了後にマスク3は除去される。通常、マスク3だけでなく、側壁及び/又は薄膜4内に形成される部位パターン2の底部上に堆積する他の残余物も除去される。
たとえば有機の残余物-フォトレジスト、又は多結晶シリコンエッチングから生じたハロゲン残余物-は、基板をプラズマ-たとえば酸素プラズマ-中に設けることによって除去される。残りのマスク及びエッチング残余物はアッシング(又は剥離)される。それに加えて、他の汚染物-たとえば金属線によってコンタクトが作製される場所である部位パターン2の底部上に形成される自然酸化膜を含む-は、基板をプラズマ-たとえば水素プラズマ-中に設けることによって除去される。しかしドライクリーニングされた基板が、たとえば半導体製造におけるフロントエンドでのコンタクト形成中に、感受性を有するすなわちデリケートな構造又は層を有するとき、プラズマへの直接暴露は、活性(荷電)粒子、電磁(EM)放射線等により、デバイスに有害な影響をもたらす恐れがある。
ここで図2を参照すると、基板125を支持するように備えられている台120を有するプロセスチャンバ110を有する処理システム100が記載されている。基板125上では、処理剤を用いた処理プロセス-たとえば残余物除去プロセス又は酸化物除去プロセス-が行われる。基板125はたとえば半導体基板、ウエハ、又は液晶ディスプレイであって良い。それに加えて、ラジカル生成システム115は、処理剤を基板125へ導入するため、ラジカル供給システム140を介してプロセスチャンバ110と結合する。
プロセスチャンバ110はさらに、ダクト及び圧力制御システム(たとえば真空バルブ等)を介して真空排気システムと結合する。排気システム170は、プロセスチャンバ110、ラジカル供給システム140、及びラジカル生成システム115を、基板125上での処理プロセスの実行に適し、かつラジカル生成システム115内でのラジカルの生成に適した圧力にまで排気されるように備えられている。
さらに図2を参照すると、ラジカル生成システム115は、ガス供給システム160から1つ以上のガス供給導管162を介して供給されるプロセスガスから、原子ラジカル及び/又は分子ラジカルを遠方で生成するように備えられている。ラジカル生成システム115内の遠方に生成されるラジカルは、ラジカル供給システム140を介して輸送され、かつ基板125の上のプロセス空間145へ導入される。ラジカル供給システム140は、ラジカル流へのインピーダンスを最小にし、かつ基板表面に到達する前のラジカルの再結合を抑制しながら、ラジカルをプロセス空間145へ導入する。たとえばラジカル供給システムは、ラジカル生成システム115の流出口と結合するダクト流入口、及びプロセスチャンバ110と結合するダクト流出口を有して良い。
ラジカル生成システム115は、たとえば基板125への損傷を最小にしながら、基板125を化学的に処理し、かつ任意の残余物や汚染物等と反応し、かつこれらを除去するように備えられている1つ以上の化学ラジカルを生成するように備えられている。たとえばラジカル生成システム115は、酸素含有ガス、水素含有ガス、若しくはフッ素含有ガス、又はこれら2種類以上の混合ガスを含むプロセスガスから酸素、水素、又はフッ素ラジカルを生成するように備えられている上流プラズマ源を有して良い。たとえばプロセスガスは、酸素(O2)、水素(H2)、CO、CO2、NO、NO2、N2O(又はより一般的にはNxOy)、N2、3フッ化窒素(NF3)、NH3、O3、XeF2、ClF3、炭化水素(又はより一般的にはCxHy)、ハイドロフルオロカーボン(又はより一般的にはCxHyFz)、若しくはフルオロカーボン(又はより一般的にはCxFy)、又はこれら2種類以上の混合物を有して良い。ラジカル生成システム115は、MKSインスツルメンツ社(MKS Instruments Inc.)から市販されているASTeX(登録商標)製品であるAstron(登録商標)反応性ガス生成装置を含んで良い。あるいはその代わりに、ラジカル生成システム115は、ラジカル供給システム140の周辺に設けられた誘導コイル又は1対の電極を有して良い。
ラジカル生成システム115へのプロセスガスの供給に加えて、ガス供給システム160はさらに、1つ以上のガス供給導管162を介してラジカル生成システム115へ補助プロセスガスを供給するように備えられて良い。補助プロセスガスは、ラジカル生成システム115内に生成されたラジカルをプロセス空間145へ輸送するのを助けるためのキャリアガスとして利用されて良い。あるいは補助プロセスガスは、プロセスガスから生成されたプロセスガス及びラジカルを希釈するのに利用されて良い。補助ガスは不活性ガス-たとえば希ガス(つまりHe、Ne、Ar、Kr、Xe)若しくは窒素(N2)又はこれらの混合ガス-を有して良い。さらにガス供給システム160は、1つ以上の補助ガス供給導管164を介して補助プロセスガスをプロセスチャンバ110へ導入するように備えられて良い。
図示されていないとはいえ、ガス供給システム160は、1つ以上のガス源、1つ以上の制御バルブ、1つ以上のフィルタ、及び/又は1つ以上のマスフローコントローラを有して良い。たとえばプロセスガス又は補助プロセスガスの流速は、約1sccm(標準状態でのcm3/min)から約10000sccm(又は10slm)の範囲であって良い。たとえばプロセスガス又は補助プロセスガスの流速は約1slmから約5slmの範囲であって良い。さらなる例として、プロセスガス又は補助プロセスガスの流速は約3slmから約5slmの範囲であって良い。
ラジカル生成システム115から下流では、ラジカルはラジカル供給システム140を介してプロセスチャンバ110内部のプロセス空間145へ流れ込む。ラジカル供給システム140は、そのラジカル供給システムの温度を制御するため、蒸気ライン温度制御システム(図示されていない)と結合して良い。たとえば温度は約20℃から約100℃の範囲の値に設定されて良い。他の例として、温度は約40℃から約80℃の範囲の値に設定されて良い。それに加えてたとえば、ラジカル供給システム140は、約50l/secを超える高いコンダクタンスによって特徴付けられて良い。
一旦ラジカル流がプロセス空間145へ入り込むと、ラジカルは基板125の表面上の残余物と化学的に反応する。台120は、温度制御システム130と結合して台120内部に埋め込まれている加熱素子135によって、基板125の温度を上昇させるように備えられている。加熱素子135は抵抗加熱素子であって良い。あるいは加熱素子135は熱電素子のアレイを有して良い。基板ホルダ内での熱電素子アレイの使用についてのさらなる詳細は特許文献2に記載されている。たとえば温度制御システム130は、基板125の温度を最大で約500℃にまで上昇させるように備えられている。一の実施例では、基板温度は約40℃から約500℃の範囲であって良い。他の実施例では、基板温度は約100℃から約300℃の範囲であって良い。それに加えて、プロセスチャンバ110は、チャンバ壁温度を制御するように備えられている温度制御システム130と結合して良い。
基板125の温度上昇に加えて、台120は、処理中に基板125を支持するように備えられている。台120はさらにリフトピン集合体(図示されていない)を有して良い。リフトピン集合体は、基板125を、プロセスチャンバ110内の台120の上面及び搬送面に対して基板125を垂直方向に上下させるために3本以上のリフトピンを昇降させる能力を有する。
リフトピン集合体では、基板リフトピンは、共通リフトピン素子と結合して良く、かつ台120の上面よりも下に下げられて良い。たとえば電気駆動システム(電気ステッパモータ及びねじれた棒を有する)又はニューマティック駆動システム(エアスライダを有する)を利用する駆動機構(図示されていない)は、共通リフトピン素子を昇降させる手段を供する。基板125は、ロボット搬送システム(図示されていない)を介して、搬送面上で位置合わせされているゲートバルブ(図示されていない)及びチャンバを貫通する通路を通って搬送され、かつ基板リフトピンによって受け取られて良い。一旦基板125が搬送システムから受け取られると、基板125は、基板リフトピンを下げることによって、台120の上面にまで下げられて良い。
台120は、台120へ基板を固定する固定システムを供して良い。あるいは台120は固定システムを供していなくても良い。それに加えて、台120は、基板125と台120との間の熱伝導を改善するため、伝熱ガスを基板125の背面に供給するように備えられている背面ガス供給システムを供しても良い。あるいは台120は、背面ガス供給システムを供していなくても良い。
図2に図示されているように、排出ライン(164として概略的に図示されている)は、プロセスチャンバ110を真空排気システム170へ接続する。真空排気システム170は真空ポンプを有する。その真空ポンプは、プロセスチャンバ110を所望の真空度にまで排気し、かつプロセス中にプロセスチャンバ110からガス状種を除去する。自動圧力制御装置(APC)及び任意のトラップが、真空ポンプと直列となるように用いられて良い。真空ポンプはドライ粗引きポンプを有して良い。あるいはその代わりに、真空ポンプは、毎秒5000リットル(以上)の排気速度での排気が可能なターボ分子ポンプ(TMP)を有して良い。プロセス中、プロセスガス若しくは補助プロセスガス、又はこれらの混合ガスがプロセスチャンバ110へ導入されて良く、かつ、チャンバ圧力はAPCによって調節されて良い。たとえばチャンバ圧力は約1mTorrから約50Torrの範囲であって良い。他の例では、チャンバ圧力は約1Torrから約10Torrの範囲であって良い。APCはバタフライ型バルブ又はゲートバルブを有して良い。トラップはプロセスチャンバ110からの副生成物を回収して良い。
それに加えて、処理システム100内の任意の素子は、セラミック材料-たとえば酸化アルミニウム又は酸化イットリウム-でコーティングされて良い。たとえば任意の素子が、Al2O3、Sc2O3、Sc2F3、YF3、La2O3、Y2O3、及びDyO3からなる群から選ばれた材料でコーティングされて良い。
さらに図2を参照すると、処理システム100はさらに制御システム180を有して良い。制御システム180は、処理システム100を操作し、かつその動作を制御するように備えられている。制御システム180は、プロセスチャンバ110、台120、温度制御システム130、ラジカル生成システム115、ガス供給システム160、及び真空排気システム170と結合する。
制御システム180は、マイクロプロセッサ、メモリ、及びデジタルI/Oポートを有する。デジタルI/Oポートは、処理システム100からの出力を監視するのみならず、プロセスシステム100の入力をやり取りし、かつ起動させるのに十分な制御電圧を発生させる能力を有する。しかも制御システム180は、プロセスチャンバ110、台120、温度制御システム130、ラジカル生成システム115、ガス供給システム160、及び真空排気システム170と結合し、情報をやり取りする。メモリ内に記憶されたプログラムは、記憶されたプロセスレシピに従って処理システム100の上記構成要素を制御するのに利用される。プロセスシステムの一例はデルコーポレーション(Dell Corporation)から販売されているDELL PRECISION WORKSTATION610(商標)である。制御システム180はまた、汎用コンピュータ、デジタル信号プロセッサ等として実装されても良い。
制御システム180は、メモリ内に格納されている1以上の命令に係る1以上のシーケンスを実行するプロセッサに応答して、マイクロプロセッサに基づいた本発明の処理工程の一部又は全部を実行する汎用コンピュータシステムとして実装されても良い。係る命令は、他のコンピュータによる読み取りが可能な媒体-たとえばハードディスク又は取り外し可能な媒体ドライブ-から制御装置のメモリへ読み込まれて良い。多重処理装置内の1つ以上のプロセッサもまた、主メモリ内に格納された命令のシーケンスを実行する制御装置のマイクロプロセッサとして用いられても良い。代替実施例では、配線回路が、ソフトウエアの代わりに又はそれと一緒に用いられて良い。
制御システム180は少なくとも1つのコンピュータによる読み取りが可能な媒体又はメモリ-たとえば制御装置メモリ-を有する。その少なくとも1つのコンピュータによる読み取りが可能な媒体又はメモリは、本発明の教示に従ってプログラミングされた命令を保持し、かつ本明細書に記載されたデータ構造、テーブル、レコード又は他のデータを有する。コンピュータによる読み取りが可能な媒体の例には、コンパクトディスク(たとえばCD-ROM)若しくは他の光学式媒体、ハードディスク、フロッピーディスク、テープ、磁気光学ディスク、PROMs(EPROM、EEPROM、フラッシュEPROM)、DRAM、SRAM、SDRAM若しくは他の磁気媒体、パンチカード、紙テープ若しくは穴のパターンを有する他の物理媒体、又は搬送波(後述)若しくはコンピュータによる読み取りが可能な他の媒体がある。
本発明は、制御システム180を制御し、本発明を実施する(複数の)装置を駆動し、及び/又は制御装置が人間であるユーザーと相互作用できるようにするソフトウエアを有する。そのソフトウエアは、コンピュータによる読み取りが可能な媒体(の結合)に保存される。係るソフトウエアには、装置のドライバ、OS、開発ツール、及びアプリケーションが含まれて良いが、これらに限定されるわけではない。係るコンピュータによる読み取りが可能な媒体はさらに、上述の処理の一部(プロセスが分配される場合)又は全部を実行するコンピュータプログラム製品をも含む。
コンピュータコード装置は、如何なる解釈可能又は実行可能なコード機構であって良い。コンピュータコード装置には、解釈可能なプログラム、ダイナミックリンクライブラリ(DLLs)、Javaクラス、及び完全に実行可能なプログラムが含まれるが、これらに限定されるわけではない。しかも処理のほとんどは、性能、信頼性、及び/又はコストを向上するために分配されて良い。
本明細書で用いられている“コンピュータによる読み取りが可能な媒体”という語は、実行するための制御システム180のプロセッサへ命令を供することに関与する媒体を意味する。コンピュータによる読み取りが可能な媒体は如何なる形式を取っても良い。コンピュータによる読み取りが可能な媒体には、不揮発性媒体及び透過性媒体が含まれるが、これらに限定されるわけではない。不揮発性媒体にはたとえば、ハードディスクや取り外し可能な媒体ドライブのような、光学ディスク、磁気ディスク、及び磁気光学ディスクが含まれる。揮発性媒体には主メモリのようなダイナミックメモリが含まれる。しかも、実行用の制御装置のプロセッサへ1つ以上の命令を含む1つ以上のシーケンスを実行する際には、様々な形式のコンピュータによる読み取りが可能な媒体が含まれて良い。たとえば命令は最初離れた位置にあるコンピュータの磁気ディスク上で実行されて良い。その離れた位置にあるコンピュータは、離れた場所から命令を読み取ってダイナミックメモリへ送り、ネットワークを介して命令を制御システム180へ送る。
制御装置180は、処理システム100に対して局所的に設置されても良いし、又はインターネット又はイントラネットを介して処理システム1に対して離れた場所に設置されても良い。よって制御装置180は、直接接続、イントラネット、インターネット及びワイヤレス接続のうちの少なくとも1を用いることによって処理システム1とのデータのやり取りをして良い。制御装置180は、たとえば顧客側(つまりデバイスメーカー等)のイントラネットと結合して良いし、又はたとえば売り手側(つまり装置製造者等)のイントラネットと結合しても良い。さらに別なコンピュータ(つまり制御装置、サーバー等)が、たとえば制御装置とアクセスすることで、直接接続、イントラネット及びインターネットのうちの少なくとも1つを介してデータのやり取りをして良い。
上述したように、図2の処理システム100は、遠方でプラズマを生成して、係るラジカルをプロセスチャンバ内の基板へ供給する。係る構成は、高エネルギー荷電粒子が基板に近づくことで生じる恐れのある基板への損傷を最小限に抑制しながら、基板上の汚染物を処理-たとえばドライクリーニング-することを可能にする。しかしリモートラジカル生成装置を使用することで、基板の処理速度が減少し、かつ/又は基板処理が不均一となってしまう恐れがある。本発明者は、設計事項-たとえばラジカル供給システムの幾何学的形状-が、基板での処理速度に影響するラジカルの再結合確率だけではなくラジカルの均一な分布にも影響を及ぼすと考えられることを発見した。一般的には基板表面へラジカルがスムーズに流れることで、再結合が減少して処理速度が改善されるが、処理の均一性は不十分なものとなる。逆に、ガス流を妨げるもの(たとえば分配プレート)を供することで、均一性は改善されるが、処理速度は減少してしまう。よって、本発明の実施例は、均一な基板処理及び/又は基板処理速度を制御する様々なラジカル供給システムを有する。
ここで図3を参照すると、他の実施例による処理システム200が図示されている。当該処理システム200はたとえば図2の実施例と同様であって良い。ここで同様の参照番号は同一又は類似の構成部品を表す。処理システム200はガス分配システム250を有し、ガス分配システム250はダクト240を介してラジカル生成システム115の流出口と結合する。ガス分配システム250は、ダクト240から受け取ったラジカルを、基板125の上のプロセス空間145内に分配する。
ある実施例によると、図4Aはガス分配システム350の概略図を表している。ガス分配システム350は主部360を有する。主部360は流入口370を有する。流入口370は、ラジカル生成システムと結合して、そのラジカル生成システムからの処理剤の流れを受け取り、かつ、その処理剤の流れを、ガス分配プレート380を介して、プロセス空間375内であって基板の上へ分配するように備えられている。
主部360は内側プレナム364と外側プレナム366を有する。外側プレナム366は、分流部材362によって内側プレナム364から分離されている。この実施例では、分流部材362は、処理剤流の内側部分を基板の上の中心領域へ流す一方で、処理剤流の外側部分を基板の上の周辺領域へ流す。その際、相対的に高速の流体が基板の上の中心領域へ分配される一方で、相対的に低速の流体が基板の上の周辺領域へ分配されて良い。内側プレナム364は、中心流入口372を介して流入口370へ入り込む処理剤流の一部分を受けるように備えられている。さらに内側プレナム364は、全ての処理剤流のうちのこの部分を、ガス分配プレート380の内側プレート部材381を介して、プロセス空間375の実質的中心領域376へ導入するように備えられている。外側プレナム366は、環状流入口374を介して流入口370へ入り込む処理剤流の残りの部分を受けるように備えられている。さらに外側プレナム366は、全ての処理剤流のうちのこの部分を、ガス分配プレート380の外側プレート部材382を介して、プロセス空間375の実質的周辺領域377へ導入するように備えられている。
ガス分配システム380の内側プレート部材381及び外側プレート部材382はそれぞれ、複数の開口部を備えるように設計されている。開口部数の範囲は約1から約1000であって、望ましくは約10から約100である。それに加えてたとえば内側プレート部材381及び外側プレート部材382は複数の開口部を備えるように設計される。各開口部は、約1mmから約100mmで、望ましくは約4mmから約10mmの直径を有する。さらにたとえば内側プレート部材381及び外側プレート部材382は複数の開口部を備えるように設計される。各開口部は、約1mmから約100mmで、望ましくは約2mmから約20mmの長さを有する。さらにたとえば内側プレート部材381及び/又は外側プレート部材382は、図8A-8Fのところで述べるようにギャップ又はスロットを有して良い。
一の実施例では、図4Aに図示されているように、1つ以上の開口部が、内側プレート部材381及び外側プレート部材382の上で均一に分配される。あるいはその代わりに、他の実施例では、1つ以上の開口部は、内側プレート部材381’及び外側プレート部材382’の上で均一に分配されない。あるいはその代わりに、さらに他の実施例では、1つ以上の開口部の分布は内側プレート部材381内で均一ではないか、かつ/又は1つ以上の開口部の分布は外側プレート部材382内で均一ではない。たとえば、内側プレート部材381の中心領域内部よりも、内側プレート部材381の周辺領域内部に、より多くの開口部が設けられても良い。
ガス分配システム380、380’は、金属-たとえば(陽極酸化された)アルミニウム-又はセラミックから作製されて良い。たとえばガス分配システム380、380’は、石英、シリコン、シリコン窒化物、シリコンカーバイド、カーボン、アルミナ、窒化アルミニウム等から作製されて良い。それに加えてガス分配システム380、380’は、セラミック材料-たとえば酸化アルミニウム又は酸化イットリウム-でコーティングされて良い。たとえばガス分配システム380、380’は、Al2O3、Sc2O3、Sc2F3、YF3、La2O3、Y2O3、及びDyO3からなる群から選ばれた材料でコーティングされても良い。
あるいはその代わりに、ガス分配システム380、380’が利用されずに、分流部材362が、全ての処理剤流の一部分を基板の実質的中心領域376へ直接的に流し、かつ全ての処理剤流の残り部分を基板の実質的周辺領域377へ直接的に流す。
さらに図4A及び図4Bを参照すると、ガス分配システム350への流入口370は第1寸法-たとえば半径r1-によって特徴付けられて良い。それに加えて、中心流入口372を介して内側プレナム364へ入り込む全ての流れの一部分は第2寸法-たとえば分流部材の半径r2-によって支配されて良い。全ての流れの他の部分は、半径r1とr2の間である分流部材362の外部である環状流入口374を介して外側プレナム366へ入り込む。
本発明者は、ラジカル生成システムの出口から下流へのガス分配システム350の流入口370内部での活性種分布に影響を及ぼす可能性のある2つの因子を特定した。第1には、流入口370内部での速度プロファイルは、放物線状プロファイル、つまりv(r)=v0(1-r2/r1 2)、を有すると推定される。ここで、v0はセンターラインでの速度を表し、rは半径を表す。第2には、活性種の半径方向プロファイルn(r)は、壁の拡散輸送及び損失(たとえば再結合)のために不均一となる。
圧力p0が、ガス分配システム350の流入口370で一定でかつ放物線状の速度プロファイル(後述するような)であると仮定すると、内側プレナム及び外側プレナムへ入り込む全ての流れの各々の量は以下のようにして導くことができる。
Qinner=0.5p0v0η2、かつ
Qouter=0.5p0v0(1-η2)
ここでηは比r2/r1を表す。全流速Qtotal=0.5p0v0によってQinner及びQouterの各量を規格化した後、内側流と外側流の分流は、Qinner2(×100%)及びQouter=(1-η2)(×100%)と書き直すことができる。ラジカル密度の半径方向プロファイルn(r)を説明するため、第1近似として、本発明者は、内側及び外側プレナムへの流入口での平均ラジカル濃度を推定する。たとえばninner及びnouterはそれぞれ、内側プレナムの流入口及び外側プレナムの流入口の平均ラジカル濃度を表す。内側流及び外側流の表式はこれらの量を含むように修正される。
Figure 0005419711
図4Cは、壁での損失を考慮しない場合(□で表されている)と壁での損失を考慮しない場合(○で表されている)の流れについての、η(分流部材362の位置)に対する内側流及び外側流の依存性を図示している。図から分かるように、分流部材の位置は、外側流と内側流とが実質的に等しくなる(内側流曲線と外側流曲線との交差点によって表されている)ように設定されて良い。あるいはその代わりに、分流部材は、内側流と外側流が等しくならないように位置設定されても良い。これはたとえば、他のプロセスの不均一性を補償するために基板表面全体にわたってラジカルの分布を不均一にするのに有用であると考えられる。
流入口370での分流部材362の位置ηに加えて、内側プレート部材381及び外側プレート部材382内での開口部の分布だけではなく、ガス分配システム380,380’での分流部材の位置η2=r4/r3もまた、基板表面でのラジカルの空間分布の均一性を調節及び/又は制御するのに利用される。これらのパラメータは、実験又はシミュレーションを用いて決定されて良い。
たとえば水素ラジカル流について、再結合損失を考慮しながら、図4A及び図4Bの幾何学的特性のコンピュータシミュレーションが行われた。シミュレーションソフトウエアはたとえば、2D/3Dプラズマ流体モデルを有して良い。その2D/3Dプラズマ流体モデルは、市販のソフトウエアパッケージ(たとえばCOSMOLマルチフィジックス(Multi-Physics)(商標))を利用して開発されて良い。
ここで図5を参照すると、ガス分配システムが存在しない場合(実線)、図4Aや図4Bのガス分配システムが存在する場合(破線)について、基板の直径(DIA)が300mmでラジカル供給用流入口(たとえば流入口370)のDIAが63.5mmでのシミュレーションの典型的な結果が図示されている。基板での半径に対する水素ラジカル濃度の空間分布の結果が与えられている。r1の値が16cmに等しくてr2の値が2.5cm周辺であるガス供給システムにおいて、上で与えられた式に従ったηの値が約0.16である場合について、図5のシミュレーション結果が供された。これらの条件では、パラメータr3は300mmのウエハサイズに対応し、パラメータr4は約120-130mmに対応する(r4/r3〜0.8から0.87)。ウエハとプレートとの間の間隔が10mmに設定され、動作圧力が60Pa(450mTorr)に設定され、かつ使用されるガスが、流入口で流速200sccmとなってプラズマ/ラジカル源へ入り込む。
図5は上で与えられたガス供給システムを用いるときに基板でのラジカル濃度の均一性を劇的に改善する様子を図示している。たとえば本発明のガス分配システムが利用されるときには、不均一性は10%未満であることが分かる。しかも不均一性の改善には、基板での半径方向での濃度の絶対値の減少が伴わない。換言すれば、ガス分配システムは、大きな損失又は再結合を引き起こしてラジカル流を妨げずに、半径方向での実質的に均一な分布を促進する。しかし本発明はこの特定実施例には限定されず、他の実施例でも本発明の同様の利点が期待される。
たとえばr1に対する最適のr2(すなわちパラメータη)は複数の変数に依存する。複数の変数は、分配システムの流入口での活性種(ラジカル)の分布、内側部分と外側部分を介した表面材料(損失)及びコンダクタンス、並びに分配システムの流出口での比r3/r4を含む。これらの変数のうちの1つ以上は、本発明の原理に従って、所望の結果を実現するように変化して良い。たとえばr1の値は、特定の市販されたプラズマ/ラジカル源の流出口に適合するように選ばれる。この値は変化して良い。よってr1の値は3cmから30cmまで変化して良い。同様に実際のプラズマ/ラジカル源に依存して、r2の値は0.1r1<r2<0.9r1の範囲(つまり0.1<η<0.9)であって良い。ガス流を制限しすぎるのが望ましくない場合、その値はより厳密に約0.3<η<0.7であって良い。比r4/r3は約0.7であって良い(この比はウエハを、各々がほぼ同一の面積である50%を有する2つの部分に分割する)。比r4/r3の値がほぼ0.87であることで、ウエハ領域は、中心部分が約75%で、外側部分が約25%に分割される。当業者には理解できるように、様々な値が、本明細書で与えられた教示に基づいて決定されても良い。
他の実施例によると、図6はガス分配システム450の概略図を表している。ガス分配システム450は主部460を有する。主部460は流入口470を有する。流入口470は、ラジカル生成システムと結合して、そのラジカル生成システムからの処理剤の流れを受け取り、かつ、その処理剤の流れを、ガス分配プレート480を介して、プロセス空間475内であって基板の上へ分配するように備えられている。
主部460は内側プレナム464と外側プレナム466を有する。外側プレナム466は、分流部材462によって内側プレナム464から分離されている。この実施例では、分流部材462は、処理剤流の内側部分を基板の上の周辺領域へ流す一方で、処理剤流の外側部分を基板の上の中心領域へ流す。その際、相対的に高速の流体が基板の上の周辺領域へ分配される一方で、相対的に低速の流体が基板の上の中心領域へ分配されて良い。内側プレナム464は、環状流入口474を介して流入口470へ入り込む処理剤流の一部分を受けるように備えられている。さらに内側プレナム464は、全ての処理剤流のうちのこの部分を、ガス分配プレート480の内側プレート部材481を介して、プロセス空間475の実質的中心領域476へ導入するように備えられている。外側プレナム466は、中心流入口472を介して流入口470へ入り込む処理剤流の残りの部分を受けるように備えられている。さらに外側プレナム466は、全ての処理剤流のうちのこの部分を、ガス分配プレート480の外側プレート部材482を介して、プロセス空間475の実質的周辺領域477へ導入するように備えられている。
ガス分配システム480の内側プレート部材481及び外側プレート部材482はそれぞれ、複数の開口部を備えるように設計されている。開口部数の範囲は約1から約1000であって、望ましくは約10から約100である。それに加えてたとえば内側プレート部材481及び外側プレート部材482は複数の開口部を備えるように設計される。各開口部は、約1mmから約100mmで、望ましくは約4mmから約10mmの直径を有する。さらにたとえば内側プレート部材381及び外側プレート部材382は複数の開口部を備えるように設計される。各開口部は、約1mmから約100mmで、望ましくは約2mmから約20mmの長さを有する。さらにたとえば内側プレート部材381及び/又は外側プレート部材382は、図8A-8Fのところで述べるようにギャップ又はスロットを有して良い。
一の実施例では、1つ以上の開口部が、ガス分配システム480の内側プレート部材481及び外側プレート部材482の上で均一に分配される。あるいはその代わりに、他の実施例では、1つ以上の開口部は、内側プレート部材481及び外側プレート部材482の上で均一に分配されない。あるいはその代わりに、さらに他の実施例では、1つ以上の開口部の分布は内側プレート部材481内で均一ではないか、かつ/又は1つ以上の開口部の分布は外側プレート部材482内で均一ではない。たとえば、内側プレート部材481の中心領域内部よりも、内側プレート部材481の周辺領域内部に、より多くの開口部が設けられても良い。
ガス分配システム480は、金属-たとえば(陽極酸化された)アルミニウム-又はセラミックから作製されて良い。たとえばガス分配システム480は、石英、シリコン、シリコン窒化物、シリコンカーバイド、カーボン、アルミナ、窒化アルミニウム等から作製されて良い。それに加えてガス分配システム380、380’は、セラミック材料-たとえば酸化アルミニウム又は酸化イットリウム-でコーティングされて良い。たとえばガス分配システム480は、Al2O3、Sc2O3、Sc2F3、YF3、La2O3、Y2O3、及びDyO3からなる群から選ばれた材料でコーティングされても良い。
あるいはその代わりに、ガス分配システム480が利用されずに、分流部材462が、全ての処理剤流の一部分を基板の実質的中心領域476へ直接的に流し、かつ全ての処理剤流の残り部分を基板の実質的周辺領域477へ直接的に流す。
さらに図6を参照すると、ガス分配システム450への流入口470は第1寸法-たとえば半径r1-によって特徴付けられて良い。それに加えて、中心流入口472を介して外側プレナム466へ入り込む全ての流れの一部分は第2寸法-たとえば分流部材の半径r2-によって支配されて良い。全ての流れの他の部分は、半径r1とr2の間である分流部材462の外部である環状流入口474を介して内側プレナム464へ入り込む。
流入口470での分流部材462の位置ηに加えて、内側プレート部材481及び外側プレート部材482内での開口部の分布だけではなく、ガス分配システム480での分流部材の位置r4/r3もまた、基板表面でのラジカルの空間分布の均一性を調節及び/又は制御するのに利用される。これらのパラメータは、実験又はシミュレーションを用いて決定されて良い。r2/r1については、たとえば0.1r1<r2<0.9r1の範囲(つまり約0.1<η<0.9)で、あるいはその代わりに0.3r1<r2<0.7r1の範囲(つまり約0.3<η<0.7)で、さらにあるいはその代わりに、0.4r1<r2<0.5r1の範囲(つまり約0.4<η<0.5)である。r4/r3については、たとえばr4/r3〜0.7(この比はウエハを同一の面積を有する2つの領域に分割する)、あるいはその代わりにr4/r3〜0.87(この比は、ウエハを、中心部分が約75%で、外側部分が約25%となるように分割する)である。繰り返しになるが、当業者は本明細書に与えられた教示に基づいて他の値を決定することもできる。
他の実施例によると、図7はガス分配システム550の概略図を表している。ガス分配システム550は主部560を有する。主部560は流入口570を有する。流入口570は、ラジカル生成システムと結合して、そのラジカル生成システムからの処理剤の流れを受け取り、かつ、その処理剤の流れを、ガス分配プレート580を介して、プロセス空間575内であって基板の上へ分配するように備えられている。
主部560は内側プレナム564と外側プレナム566を有する。外側プレナム566は、分流部材562によって内側プレナム564から分離されている。この実施例では、分流部材562は、処理剤流の内側部分を基板の上の周辺領域へ流す一方で、処理剤流の外側部分を基板の上の中心領域へ流す。その際、相対的に高速の流体が基板の上の周辺領域へ分配される一方で、相対的に低速の流体が基板の上の中心領域へ分配されて良い。図7に図示されているように、分流部材562は4つの流出口を有する。4つの流出口は外側プレナム566内に処理剤を分配するように備えられている。しかし処理剤を分配するのに用いられる流出口が4つ以外の個数であっても良い。図7の実施例では、流出口は離散的なダクトとして実装されて良い。離散的なダクトは、中心流出口572から外側プレナム566へ、半径方向を外側に延在する。これらの流出口は、外側プレナム566の全領域への均一なガス流を実現するように、対称的に間隔が設けられている(たとえば4つの流出口が90°毎に設けられている)ことが好ましい。環状流入口574は、個々のダクト又は流出口と分流部材562との間に生成される流路によって、内側プレナムと流体的に結合する。
内側プレナム564は、環状流入口474を介して流入口470へ入り込む処理剤流の一部分を受けるように備えられている。さらに内側プレナム564は、全ての処理剤流のうちのこの部分を、ガス分配プレート580の内側プレート部材581を介して、プロセス空間575の実質的中心領域576へ導入するように備えられている。外側プレナム566は、中心流入口572を介して流入口570へ入り込む処理剤流の残りの部分を受けるように備えられている。さらに外側プレナム566は、全ての処理剤流のうちのこの部分を、ガス分配プレート580の外側プレート部材582を介して、プロセス空間575の実質的周辺領域577へ導入するように備えられている。
ガス分配システム580の内側プレート部材581及び外側プレート部材582はそれぞれ、複数の開口部を備えるように(図7には図示されていない)設計されている。開口部数の範囲は約1から約1000であって、望ましくは約10から約100である。それに加えてたとえば内側プレート部材581及び外側プレート部材582は複数の開口部を備えるように設計される。各開口部は、約1mmから約100mmで、望ましくは約4mmから約10mmの直径を有する。さらにたとえば内側プレート部材581及び外側プレート部材582は複数の開口部を備えるように設計される。各開口部は、約1mmから約100mmで、望ましくは約2mmから約20mmの長さを有する。
一の実施例では、1つ以上の開口部が、ガス分配システム580の内側プレート部材581及び外側プレート部材582の上で均一に分配される。あるいはその代わりに、他の実施例では、1つ以上の開口部は、内側プレート部材581及び外側プレート部材582の上で均一に分配されない。あるいはその代わりに、さらに他の実施例では、1つ以上の開口部の分布は内側プレート部材581内で均一ではないか、かつ/又は1つ以上の開口部の分布は外側プレート部材582内で均一ではない。たとえば、内側プレート部材581の中心領域内部よりも、内側プレート部材581の周辺領域内部に、より多くの開口部が設けられても良い。
ガス分配システム580は、金属-たとえば(陽極酸化された)アルミニウム-又はセラミックから作製されて良い。たとえばガス分配システム580は、石英、シリコン、シリコン窒化物、シリコンカーバイド、カーボン、アルミナ、窒化アルミニウム等から作製されて良い。それに加えてガス分配システム580は、セラミック材料-たとえば酸化アルミニウム又は酸化イットリウム-でコーティングされて良い。たとえばガス分配システム580は、Al2O3、Sc2O3、Sc2F3、YF3、La2O3、Y2O3、及びDyO3からなる群から選ばれた材料でコーティングされても良い。
あるいはその代わりに、ガス分配システム580が利用されずに、分流部材562が、全ての処理剤流の一部分を基板の実質的中心領域576へ直接的に流し、かつ全ての処理剤流の残り部分を基板の実質的周辺領域577へ直接的に流す。
上述の実施例では、複数の開口部が貫通するガス分配プレートを介してガス流が供される。本発明の他の実施例によると、ガス分配プレートは、ガスを供するための少なくとも1つのギャップ又はスロットを有して良い。この構成の一の利点は、ガス流ギャップが、分配プレートの部分を熱的及び/又は電気的に絶縁するようにも機能することで、係る部分の個別的制御が可能となることである。たとえばガス分配プレートの各独立した部分について様々な温度が設定されて良い。同様に、様々なDC及び/又はRF電圧が、ガス分配プレートの各独立した部分に印加されて良い。さらに各独立したガス分配プレート部分は、様々な材料から作られて良い。たとえばギャップは、ガス分配プレートのセラミック部分と金属部分との間の境界を生成して良い。ガスはこれらの部分の間を進行する。
図8A、図8B、図8C、図8D、図8E、及び図8Fはそれぞれ、本発明の様々な実施例によるガス分配ギャップを利用したガス分配システムの底部平面図を示している。これらの図の各々は、図4-7で述べたような、内側プレート部材802、外側プレート部材804、及び、外側プレート部材804から内側プレート部材802を分離する分流部材806(幻影では図示されていない)を有する。図8Aから分かるように、内側プレート部材802は、プロセスガスを供する開口部808を有する。しかしプロセスガスは、ギャップ810を介して外側プレート領域内に供される。図8Aの実施例では、ギャップ810は、外側プレート部材810を2つの同心円部分に分割する実質的に連続なギャップである。図示されていないが、実質的に連続なギャップ810は、同心円部分間を接続して構造上の支持を供する小さなブリッジ材料部を有して良い。
図8Aの実施例では、ギャップは一定の幅を有するが、可変幅のギャップが用いられても良い。さらに図8Bから分かるように、ギャップ810’は開口部806と組み合わせられて良い。図8Aのギャップ810の等高線が円形であるとき、そのギャップは図8Cのギャップ810’’によって表されているように曲がりくねっていて良い。当業者には分かるように、実質的に連続なギャップは、所望のガス分配、ガス分配プレートの熱的分離及び/又は電気的分離特性を実現するのに必要とされる如何なる等高線を有しても良い。係る等高線には複雑な等高線も含まれる。
さらに他の実施例では、複数の離散的なギャップが、ガス分配プレート内に供されて良い。図8Dから分かるように、ガス分配ギャップ812は、ガスを供するため、内側プレート部材802内に供される。上述した実質的に連続なギャップのように、各離散的なギャップ812は一定の幅を有して良いし、又は可変の幅を有しても良い。たとえば図8Dでのギャップの幅は、そのギャップが半径方向を外側へ延びるに従って増大して良い。さらに離散的ギャップ812が半径方向に延びている様子が図示されている一方で、離散的ギャップは、螺旋方向、円周方向、又は所望のガス分配、ガス分配プレートの熱的分離及び/又は電気的分離特性を実現するのに必要とされる如何なる所望の方向に延びても良い。
図8Dの実施例はまた、上の図8Aで述べた外側プレート部材804内に供される連続ギャップ810をも有する。しかし離散的ギャップ812はまた外側プレート部材内に供されても良い。たとえば、図8Eは外側プレート部材804内の螺旋形状内に供される離散的ギャップ812’を図示し、図8Fは外側プレート部材804内を半径方向に延びている離散的ギャップ812’’を図示している。当業者には分かるように、貫通孔、連続ギャップ、及び離散的ギャップの任意の組合せが、内側プレート部材及び/又は外側プレート部材内に用いられて良く、かつ本明細書で述べた任意のガス分配システム内で用いられても良い。
ここで図9を参照すると、本発明の実施例による基板から汚染物を除去する方法が記載されている。当該方法は、1010において、プロセスチャンバ内の台上に基板を設ける工程で開始される。前記プロセスチャンバは、図2及び図3に記載されたプロセスチャンバを有して良い。
1020では、プロセスガスが、プロセスチャンバと結合するラジカル生成用チャンバへ導入される。前記プロセスガスは上述したガスのうちの任意のものを有して良い。たとえば前記プロセスガスは、酸素含有ガス、水素含有ガス、若しくはフッ素含有ガス、又は上記の2種類以上の混合ガスを有して良い。たとえば前記プロセスガスは、酸素(O2)、水素(H2)、CO、CO2、NO、NO2、N2O(又はより一般的にはNxOy)、N2、3フッ化窒素(NF3)、NH3、O3、XeF2、ClF3、炭化水素(又はより一般的にはCxHy)、ハイドロフルオロカーボン(又はより一般的にはCxHyFz)、若しくはフルオロカーボン(又はより一般的にはCxFy)、又はこれら2種類以上の混合物を有して良い。
たとえばプロセスチャンバ空間は、約1〜10Torrのチャンバ圧力、約3〜5slmの範囲のプロセスガス流速、及び約100〜300℃の範囲の台の温度を有して良い。
1030では、プロセスガスのラジカルが、ラジカル生成システムによって生成される。前記ラジカルは、プラズマを生成し、かつ前記プロセスガスの分解を誘起することによって生成されて良い。あるいはその代わりに、前記プロセスガスを分解する他の手法が用いられても良い。前記他の手法には電磁(EM)放射線-たとえば紫外(UV)放射線-が含まれる。
1040では、プロセスガスから生成されるラジカルが、ラジカル生成システムからプロセスチャンバへ輸送される。たとえば前記ラジカルは、図4A、図4B、図6、及び図7に図示されたラジカル供給システムのうちの任意の1つを介して輸送されて良い。
1050では、基板がラジカル流に曝露されて、前記基板が処理される。前記基板はラジカルに曝露されて良いが、ラジカル生成用チャンバ内でプラズマには曝露されない。
たとえ本発明のある特定の実施例のみが詳細に説明されたとしても、当業者は、本発明の新規な教示及び利点からほとんど逸脱することなく、多くの修正型が可能であることをすぐに理解する。従って多くの係る修正型は、本発明の技術的範囲内に含まれるものと解される。

Claims (23)

  1. プロセス空間を含むプロセスチャンバ;
    該プロセスチャンバと結合するラジカル生成システムであって、プロセスガスを受けて該プロセスガスからラジカルを生成するように備えられているラジカル生成システム;
    前記ラジカルを受けて前記プロセス空間内部に前記ラジカルの流れを分配させるように備えられているガス分配システムであって、
    前記ラジカル生成システムの出口と結合する流入口、
    前記プロセスチャンバと結合する流出口、及び、
    前記ガス分配システムと結合する分流部材であって、前記流入口を介して前記プロセスガスの流れの一の部分を基板の上である中心領域へ供給し、かつ前記プロセスガスの流れの残りの部分を前記基板の上である周辺領域へそれぞれ分離して供給するように備えられている分流部材、
    を有するガス分配システム;
    前記プロセスチャンバと結合する台であって、前記プロセスチャンバのプロセス空間内で前記基板を支持し、かつ該基板の温度を調節するように備えられている台;並びに、
    前記プロセスチャンバと結合する真空排気システムであって前記プロセスチャンバを排気するように備えられている真空排気システム;
    を有する処理システム。
  2. 前記分流部材が、前記プロセスガスの流れの内側部分を、前記の基板の上の中心領域へ流し、かつ
    前記分流部材が、前記プロセスガスの流れの外側部分を、前記の基板の上の周辺領域へ流す、
    請求項1に記載の処理システム。
  3. 前記分流部材が、前記プロセスガスの流れの内側部分を、前記の基板の上の周辺領域へ流し、かつ
    前記分流部材が、前記プロセスガスの流れの外側部分を、前記の基板の上の中心領域へ流す、
    請求項1に記載の処理システム。
  4. 前記ガス分配システムが主部を有し、かつ
    前記分流部材が、前記主部を、内側プレナムと外側プレナムとに分割する、
    請求項1に記載の処理システム。
  5. 前記ガス分配システムが、前記内側プレナムの流出口及び前記外側プレナムの流出口で前記主部と結合するガス分配プレートをさらに有し、かつ
    前記ガス分配プレートは該プレートを貫通する1つ以上の開口部を有する、
    請求項4に記載の処理システム。
  6. 前記ガス分配プレートが複数の開口部を有し、かつ
    前記複数の開口部は、該ガス分配プレートの内側プレート上と外側プレート上で均等に分配されている請求項5に記載の処理システム。
  7. 前記ガス分配プレートが複数の開口部を有し、かつ
    前記複数の開口部は、該ガス分配プレートの内側プレート上と外側プレート上で不均等に分配されている請求項5に記載の処理システム。
  8. 前記ガス分配プレートが、前記内側プレナムの流出口で前記ガス分配システムと結合する内側プレート部材を有し、かつ
    前記ガス分配プレートが、前記外側プレナムの流出口で前記ガス分配システムと結合する外側プレート部材を有する、
    請求項5に記載の処理システム。
  9. 前記主部、前記分流部材、若しくは前記ガス分配プレート、又は上記2以上を結合したものが、二酸化シリコン又は炭素から作製される、請求項5に記載の処理システム。
  10. 前記台が、前記基板温度を制御するように備えられている、1つ以上の加熱素子、1つ以上の冷却素子、又は上記の組合せを有する、請求項1に記載の処理システム。
  11. 前記分流部材が、均一のガス流を前記中心領域及び周辺領域へ供するため、前記流入口内に設けられている、請求項1に記載の処理システム。
  12. 前記プロセスチャンバ、前記ラジカル生成システム、前記ガス分配システム、若しくは前記台、又は上記2以上の組合せが、表面上に生成されるコーティングを有する、請求項1に記載の処理システム。
  13. 前記分流部材が、均一のガス流を前記中心領域及び周辺領域へ供するため、前記流入口内に設けられている、請求項1に記載の処理システム。
  14. 前記コーティングが少なくとも1つのIII族元素を有する、請求項12に記載の処理システム。
  15. 前記コーティングが、Al2O3、Sc2O3、Sc2F3、YF3、La2O3、Y2O3、及びDyO3からなる群から選ばれた少なくとも1種類の材料を有する、請求項12に記載の処理システム。
  16. 前記ラジカル生成システムと結合して、前記ラジカル生成システムへ前記プロセスガスを供給するように備えられているプロセスガス供給システムをさらに有する、請求項1に記載の処理システム。
  17. 前記プロセスガス供給システムが、H2、O2、CO、CO2、NO、NO2、N2O、N2、NF3、NH3、炭化水素、ハイドロフルオロカーボン、若しくはフルオロカーボン、又はこれら2種類以上の混合物を供給するように備えられている、請求項16に記載の処理システム。
  18. プロセス空間及び基板を支持する台を含むプロセスチャンバを有する基板処理システムと結合するように備えられているガス分配システムであって、
    当該ガス分配システムは主部を有し、
    該主部は、プロセスガスの流れを受けるように備えられている流入口、前記基板処理システム内に前記プロセスガスを分配するように備えられている流出口、及び前記ガス分配システムと結合する分流部材を有し、
    前記分流部材は、前記流入口を介して前記プロセスガスの流れの一の部分を基板の上である中心領域へ供給し、かつ前記プロセスガスの流れの残りの部分を前記基板の上である周辺領域へそれぞれ分離して供給するように備えられ、
    前記基板の上方の前記中心領域と前記周辺領域は、当該ガス分配システムの主部内のプロセス空間内に位置し、かつ、
    前記流出口はプロセス空間と結合する、
    ガス分配システム。
  19. 前記分流部材が、前記プロセスガスの流れの内側部分を、前記の基板の上の中心領域へ流し、かつ
    前記分流部材が、前記プロセスガスの流れの外側部分を、前記の基板の上の周辺領域へ流す、
    請求項18に記載のガス分配システム。
  20. 前記分流部材が、前記プロセスガスの流れの内側部分を、前記の基板の上の周辺領域へ流し、かつ
    前記分流部材が、前記プロセスガスの流れの外側部分を、前記の基板の上の中心領域へ流す、
    請求項18に記載のガス分配システム。
  21. 前記ガス分配システムが主部を有し、かつ
    前記分流部材が、前記主部を、内側プレナムと外側プレナムとに分割する、
    請求項18に記載のガス分配システム。
  22. 前記ガス分配システムが、前記内側プレナムの流出口及び前記外側プレナムの流出口で前記主部と結合するガス分配プレートをさらに有し、かつ
    前記ガス分配プレートは該プレートを貫通する1つ以上の開口部を有する、
    請求項21に記載のガス分配システム。
  23. プロセス空間を含むプロセスチャンバ;
    前記プロセス空間から離れた場所でプロセスガスからラジカルを生成する手段;
    前記プロセス空間内に供された基板表面全体にわたって均一に分布するように、前記ラジカルを前記プロセス空間へ供給する手段;
    前記プロセスチャンバと結合する台であって、前記プロセスチャンバのプロセス空間内で基板を支持し、かつ該基板の温度を調節するように備えられている台;並びに、
    前記プロセスチャンバと結合する真空排気システムであって前記プロセスチャンバを排気するように備えられている真空排気システム;
    を有し、
    前記ラジカルをプロセス空間へ供給する手段はガス分配システムを有し、かつ前記ラジカルの流れを受けて分配するように構成され、
    前記ガス分配システムは、前記ラジカルを生成する手段の出口と結合する流入口と、前記プロセスチャンバと結合する流出口と、分流部材を有し、
    前記分流部材は、前記ガス分配システムと結合し、かつ、前記プロセスガスの流れの一の部分を、前記流入口を介して、前記基板上方の中心領域へ向かうように流路を変化させ、かつ、前記プロセスガスの流れの他の部分を、前記流入口を介して、前記基板上方の周辺領域へ向かうように流路を変化させ、
    前記流入口は、前記ラジカルを生成する手段と結合し、かつ、
    前記流出口は、前記プロセス空間と結合する、
    処理システム。
JP2009548347A 2007-02-06 2008-01-04 処理システム用の多領域気体供給システム Active JP5419711B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/671,704 US8715455B2 (en) 2007-02-06 2007-02-06 Multi-zone gas distribution system for a treatment system
US11/671,704 2007-02-06
PCT/US2008/050155 WO2008097670A1 (en) 2007-02-06 2008-01-04 Multi-zone gas distribution system for a treatment system

Publications (2)

Publication Number Publication Date
JP2010518602A JP2010518602A (ja) 2010-05-27
JP5419711B2 true JP5419711B2 (ja) 2014-02-19

Family

ID=39675158

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009548347A Active JP5419711B2 (ja) 2007-02-06 2008-01-04 処理システム用の多領域気体供給システム

Country Status (6)

Country Link
US (1) US8715455B2 (ja)
JP (1) JP5419711B2 (ja)
KR (1) KR101578939B1 (ja)
CN (1) CN101605925B (ja)
TW (1) TWI381443B (ja)
WO (1) WO2008097670A1 (ja)

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8291857B2 (en) * 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
KR20110069851A (ko) * 2008-10-10 2011-06-23 알타 디바이씨즈, 인크. 기상증착을 위한 동심형 샤워헤드
JP5261291B2 (ja) * 2009-06-01 2013-08-14 東京エレクトロン株式会社 処理方法および記憶媒体
US9967965B2 (en) * 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US20120088356A1 (en) * 2010-09-14 2012-04-12 Applied Materials, Inc. Integrated platform for in-situ doping and activation of substrates
JP5697389B2 (ja) * 2010-09-27 2015-04-08 東京エレクトロン株式会社 プラズマエッチング用の電極板及びプラズマエッチング処理装置
US9129778B2 (en) * 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
US9082593B2 (en) * 2011-03-31 2015-07-14 Tokyo Electron Limited Electrode having gas discharge function and plasma processing apparatus
US10225919B2 (en) 2011-06-30 2019-03-05 Aes Global Holdings, Pte. Ltd Projected plasma source
JP5902896B2 (ja) * 2011-07-08 2016-04-13 東京エレクトロン株式会社 基板処理装置
US9303318B2 (en) * 2011-10-20 2016-04-05 Applied Materials, Inc. Multiple complementary gas distribution assemblies
KR101322783B1 (ko) * 2012-05-08 2013-10-29 한국세라믹기술원 고밀도 플라즈마 에칭에 대한 저항성이 우수한 세라믹 보호 피막 및 그 코팅 방법
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US9121097B2 (en) * 2012-08-31 2015-09-01 Novellus Systems, Inc. Variable showerhead flow by varying internal baffle conductance
US9399228B2 (en) * 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
JP6338462B2 (ja) * 2013-09-11 2018-06-06 東京エレクトロン株式会社 プラズマ処理装置
KR102376429B1 (ko) * 2013-12-18 2022-03-17 램 리써치 코포레이션 균일성 베플들을 포함하는 반도체 기판 프로세싱 장치
JP5859583B2 (ja) * 2014-01-30 2016-02-10 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
JP6404111B2 (ja) * 2014-12-18 2018-10-10 東京エレクトロン株式会社 プラズマ処理装置
KR102485541B1 (ko) 2015-06-26 2023-01-05 도쿄엘렉트론가부시키가이샤 다른 필름 또는 마스크에 대한 실리콘-함유 반사 방지 코팅 또는 실리콘 산질화물의 제어 가능한 식각 선택비에 따른 기상 식각
US10403515B2 (en) * 2015-09-24 2019-09-03 Applied Materials, Inc. Loadlock integrated bevel etcher system
US9758868B1 (en) 2016-03-10 2017-09-12 Lam Research Corporation Plasma suppression behind a showerhead through the use of increased pressure
US10403474B2 (en) 2016-07-11 2019-09-03 Lam Research Corporation Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system
KR102546317B1 (ko) * 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
TWI794238B (zh) * 2017-07-13 2023-03-01 荷蘭商Asm智慧財產控股公司 於單一加工腔室中自半導體膜移除氧化物及碳之裝置及方法
US11077410B2 (en) 2017-10-09 2021-08-03 Applied Materials, Inc. Gas injector with baffle
CN112320752A (zh) * 2019-08-05 2021-02-05 上海新微技术研发中心有限公司 负性光刻胶图形化膜层的制备方法
KR102225657B1 (ko) * 2019-11-14 2021-03-10 피에스케이 주식회사 배플 유닛, 이를 포함하는 기판 처리 장치
KR102674205B1 (ko) * 2020-10-27 2024-06-12 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
KR102614922B1 (ko) * 2020-12-30 2023-12-20 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US11535938B2 (en) * 2021-03-03 2022-12-27 Sky Tech Inc. Shower head assembly and atomic layer deposition device
CN115155417B (zh) * 2022-07-01 2023-08-18 北京北方华创微电子装备有限公司 半导体工艺设备的气体混合装置及半导体工艺设备
CN116804270B (zh) * 2023-08-29 2023-11-10 上海陛通半导体能源科技股份有限公司 二氧化硅薄膜的低温沉积方法及器件制备方法

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6353932A (ja) * 1986-08-22 1988-03-08 Nec Corp 半導体ウエハ−の薄膜成長装置
KR950000662B1 (ko) * 1988-11-18 1995-01-27 가부시키가이샤 시바우라 세이사쿠쇼 드라이에칭 방법
JPH031531A (ja) * 1989-05-29 1991-01-08 Mitsubishi Electric Corp 半導体製造装置
JP2524869B2 (ja) * 1990-07-23 1996-08-14 大日本スクリーン製造株式会社 基板の表面処理方法および装置
JPH04236425A (ja) * 1991-01-21 1992-08-25 Toshiba Corp プラズマ処理装置
JPH05184977A (ja) * 1992-01-09 1993-07-27 Toshiba Corp シャワーノズル
GB9410567D0 (en) * 1994-05-26 1994-07-13 Philips Electronics Uk Ltd Plasma treatment and apparatus in electronic device manufacture
TW283250B (en) 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
US5614026A (en) 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
US6090210A (en) * 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
EP0854210B1 (en) * 1996-12-19 2002-03-27 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus for forming thin film
US5983906A (en) * 1997-01-24 1999-11-16 Applied Materials, Inc. Methods and apparatus for a cleaning process in a high temperature, corrosive, plasma environment
JP2001023955A (ja) * 1999-07-07 2001-01-26 Mitsubishi Electric Corp プラズマ処理装置
JP2001140078A (ja) * 1999-11-12 2001-05-22 Anelva Corp 化学蒸着装置
US6475854B2 (en) * 1999-12-30 2002-11-05 Applied Materials, Inc. Method of forming metal electrodes
US8617351B2 (en) * 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
US6537419B1 (en) * 2000-04-26 2003-03-25 David W. Kinnard Gas distribution plate assembly for providing laminar gas flow across the surface of a substrate
US6852167B2 (en) * 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
US20040129212A1 (en) * 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
JP4482308B2 (ja) * 2002-11-26 2010-06-16 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP4373338B2 (ja) * 2002-12-20 2009-11-25 東京エレクトロン株式会社 消耗品の寿命を判断する方法及び装置
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
US20050221000A1 (en) * 2004-03-31 2005-10-06 Tokyo Electron Limited Method of forming a metal layer
CN101053063B (zh) * 2004-09-01 2012-10-03 艾克塞利斯技术公司 用于增加光阻移除率之装置及等离子体灰化方法
US7138067B2 (en) 2004-09-27 2006-11-21 Lam Research Corporation Methods and apparatus for tuning a set of plasma processing steps
US8298336B2 (en) * 2005-04-01 2012-10-30 Lam Research Corporation High strip rate downstream chamber
US8034176B2 (en) * 2006-03-28 2011-10-11 Tokyo Electron Limited Gas distribution system for a post-etch treatment system
US7759249B2 (en) * 2006-03-28 2010-07-20 Tokyo Electron Limited Method of removing residue from a substrate
US8057633B2 (en) * 2006-03-28 2011-11-15 Tokyo Electron Limited Post-etch treatment system for removing residue on a substrate

Also Published As

Publication number Publication date
CN101605925B (zh) 2011-08-31
US8715455B2 (en) 2014-05-06
KR20090115138A (ko) 2009-11-04
US20080185104A1 (en) 2008-08-07
WO2008097670A1 (en) 2008-08-14
TWI381443B (zh) 2013-01-01
KR101578939B1 (ko) 2015-12-18
JP2010518602A (ja) 2010-05-27
TW200845190A (en) 2008-11-16
CN101605925A (zh) 2009-12-16

Similar Documents

Publication Publication Date Title
JP5419711B2 (ja) 処理システム用の多領域気体供給システム
JP7313528B2 (ja) 流れ均一性を改善させるためのフェースプレート穴を有する低容積シャワーヘッド
JP5305316B2 (ja) エッチング後の処理システムのためのガス分配システム
US8057633B2 (en) Post-etch treatment system for removing residue on a substrate
JP4943912B2 (ja) 基板から残渣を除去する方法
JP4218248B2 (ja) 真空中にて使用するガス冷却静電ピンチャック
TWI806323B (zh) 被處理體的處理系統、方法及裝置
TWI489545B (zh) Substrate handling method
US20100237046A1 (en) Dry non-plasma treatment system and method of using
TWI709996B (zh) 被處理體之處理方法
US20140069459A1 (en) Methods and apparatus for cleaning deposition chambers
JP4522892B2 (ja) 微細パターン形成方法
WO2016047493A1 (ja) 基板処理方法、コンピュータ記憶媒体及び基板処理システム
WO2013190812A1 (ja) 半導体装置の製造方法及び半導体装置並びに半導体装置の製造システム
JP2016021434A (ja) ステンシルマスク、プラズマ処理装置及びプラズマ処理方法
WO2024111454A1 (ja) ドライ現像方法及びドライ現像装置
TWI837653B (zh) 製造半導體裝置的方法及應用於其的製程腔室與導流板
WO2024135476A1 (ja) 基板処理方法、基板処理装置、及び基板処理システム
TW202308466A (zh) 電漿處理方法、電漿處理裝置及電漿處理系統

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20101214

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20121023

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121030

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121226

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130129

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130401

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20130423

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130723

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20130730

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130820

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130926

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20131022

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20131119

R150 Certificate of patent or registration of utility model

Ref document number: 5419711

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250