JP5374748B2 - Insulating film forming method, computer-readable storage medium, and processing system - Google Patents

Insulating film forming method, computer-readable storage medium, and processing system Download PDF

Info

Publication number
JP5374748B2
JP5374748B2 JP2008029476A JP2008029476A JP5374748B2 JP 5374748 B2 JP5374748 B2 JP 5374748B2 JP 2008029476 A JP2008029476 A JP 2008029476A JP 2008029476 A JP2008029476 A JP 2008029476A JP 5374748 B2 JP5374748 B2 JP 5374748B2
Authority
JP
Japan
Prior art keywords
plasma
processing
insulating film
gas
range
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2008029476A
Other languages
Japanese (ja)
Other versions
JP2009188348A5 (en
JP2009188348A (en
Inventor
義郎 壁
淳一 北川
紀久夫 山部
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2008029476A priority Critical patent/JP5374748B2/en
Priority to PCT/JP2009/052447 priority patent/WO2009099254A1/en
Priority to KR1020107017596A priority patent/KR101248651B1/en
Priority to US12/865,969 priority patent/US8034179B2/en
Priority to TW098103865A priority patent/TWI445083B/en
Publication of JP2009188348A publication Critical patent/JP2009188348A/en
Publication of JP2009188348A5 publication Critical patent/JP2009188348A5/ja
Application granted granted Critical
Publication of JP5374748B2 publication Critical patent/JP5374748B2/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Abstract

<P>PROBLEM TO BE SOLVED: To provide a method for forming an insulating film which planarizes the shape of interface of silicon and an insulating film extremely when the insulating film is formed by CVD. <P>SOLUTION: By using a plasma processing device 100 for introducing a microwave into a chamber 1 by a flat antenna 31 having a plurality of holes, the surface of silicon is oxidized to form a silicon oxide film (step S1) and then a silicon oxide film is deposited thereon as an insulating film by CVD (step S4). Furthermore, by using the plasma processing device 100, processing gas containing rare gas and oxygen is introduced into the chamber 1 and a microwave is introduced by the flat antenna 31, plasma is generated under pressure conditions in the range of 6.7-267 Pa and the insulating film is modified by the plasma (step S6). <P>COPYRIGHT: (C)2009,JPO&amp;INPIT

Description

本発明は、CVD(Chemical Vapor Deposition;化学気相堆積)法により絶縁膜を形成する絶縁膜の形成方法、コンピュータ読み取り可能な記憶媒体および処理システムに関する。   The present invention relates to an insulating film forming method for forming an insulating film by a CVD (Chemical Vapor Deposition) method, a computer-readable storage medium, and a processing system.

CVD法は、各種半導体装置の製造過程において酸化珪素膜や高誘電率絶縁膜などの絶縁膜を形成する目的で広く利用されている。CVD法では、熱などのエネルギーを用いて成膜原料物質に気相反応を生じさせ、被処理体上に絶縁膜を形成する。   The CVD method is widely used for the purpose of forming an insulating film such as a silicon oxide film or a high dielectric constant insulating film in the manufacturing process of various semiconductor devices. In the CVD method, a gas phase reaction is caused in a film forming raw material using energy such as heat to form an insulating film on a target object.

CVD法により絶縁膜を形成した場合、以下のような問題点が指摘されている。まず、第1の問題点として、CVD法により堆積させた絶縁膜と下地膜との間の界面に微小な凹凸が形成されるため界面準位密度が大きくなる点が挙げられる。界面準位密度が大きくなると、下地膜(例えばシリコン基板)と絶縁膜との界面を移動するキャリアの移動度が低下し、デバイスの電気的性能を低下させてしまうことが懸念される。   When the insulating film is formed by the CVD method, the following problems are pointed out. First, the first problem is that the interface state density increases because minute irregularities are formed at the interface between the insulating film and the base film deposited by the CVD method. When the interface state density increases, there is a concern that the mobility of carriers moving at the interface between the base film (for example, a silicon substrate) and the insulating film is lowered, and the electrical performance of the device is lowered.

また、第2の問題点として、CVD法によって成膜された絶縁膜(例えば酸化珪素膜)中には、多くのダングリングボンドが存在するとともに、成膜原料に由来する水分や塩素等の不純物が残留しており、膜質があまり良くないという点が挙げられる。このため、CVD法により成膜された絶縁膜を例えば700℃以上の高温でアニール処理して膜質を改善することが必要であった。しかし、熱によるエネルギー供給では、Si−O結合の組み換えは不可能であるため、成膜後のアニール処理によって、基礎的な膜質の改善を行うことは困難である。また、アニール処理による改質効果を高めようとすると、高温での処理が必要になるが、高温でのアニールは、サーマルバジェットの増大につながる。サーマルバジェットが増大すると、シリコン層に拡散された不純物分布の制御が困難になり、半導体装置の品質に好ましくない影響を与えてしまうことが懸念される。   In addition, as a second problem, many dangling bonds exist in an insulating film (for example, a silicon oxide film) formed by a CVD method, and impurities such as moisture and chlorine derived from film forming materials However, the film quality is not so good. Therefore, it is necessary to improve the film quality by annealing the insulating film formed by the CVD method at a high temperature of, for example, 700 ° C. or higher. However, since it is impossible to recombine Si—O bonds by heat energy supply, it is difficult to improve the basic film quality by annealing after film formation. Further, in order to enhance the modification effect by the annealing treatment, a treatment at a high temperature is required, but the annealing at a high temperature leads to an increase in the thermal budget. When the thermal budget increases, it becomes difficult to control the distribution of impurities diffused in the silicon layer, which may cause an undesirable effect on the quality of the semiconductor device.

また、例えば液晶ディスプレイや有機ELディスプレイのようにガラス基板や合成樹脂製基板を用いる場合には、絶縁膜の改質処理に高温でのアニールを行うこと自体が不可能である。   In addition, when a glass substrate or a synthetic resin substrate is used as in a liquid crystal display or an organic EL display, for example, it is impossible to anneal the insulating film at a high temperature.

このため、酸化珪素膜をプラズマ処理することによって比較的低温で膜質を改質する技術が提案されている(例えば、特許文献1、2)。   For this reason, a technique for modifying the film quality at a relatively low temperature by plasma treatment of the silicon oxide film has been proposed (for example, Patent Documents 1 and 2).

WO2002/059956号WO2002 / 059956 WO2001/69665号WO2001 / 69665

上記特許文献1および特許文献2に記載された、CVD法により形成された絶縁膜を希ガスと酸素ガスとのプラズマを用いて処理する絶縁膜の改質処理は、サーマルバジェットを低減しつつ良質な絶縁膜を製造できる点で優れた技術である。しかし、このようなプラズマ改質処理を行っても、シリコンと絶縁膜との界面を平坦化することはできない。すなわち、シリコン上にCVD法で絶縁膜を形成する際には、熱により、まずシリコンが酸化され、シリコン酸化膜が形成される。このシリコン酸化膜の形成過程では、微視的にはシリコンの(100)面と(111)面とで酸化レートが異なるため、シリコン酸化膜が凹凸に形成される。そして、この凹凸のシリコン酸化膜の上に、CVD法により絶縁膜を堆積させても界面の形状は変化しないため、このように形成された絶縁膜に対して、特許文献1、2の方法でプラズマ改質処理を行っても、界面は平坦化されず、その凹凸は改善されない。つまり、プラズマによる改質処理は、上記第1の問題点に対しては殆ど効果が期待できない。   The insulating film reforming process for processing the insulating film formed by the CVD method using the plasma of the rare gas and the oxygen gas described in Patent Document 1 and Patent Document 2 described above is good quality while reducing the thermal budget. This is an excellent technique in that a simple insulating film can be manufactured. However, even if such plasma modification treatment is performed, the interface between the silicon and the insulating film cannot be planarized. That is, when an insulating film is formed on silicon by CVD, silicon is first oxidized by heat to form a silicon oxide film. In the process of forming the silicon oxide film, microscopically, since the oxidation rate is different between the (100) plane and the (111) plane of silicon, the silicon oxide film is formed uneven. Then, even if an insulating film is deposited on the uneven silicon oxide film by the CVD method, the shape of the interface does not change. Even if the plasma modification treatment is performed, the interface is not flattened, and the unevenness is not improved. That is, the plasma modification process is hardly expected to have any effect on the first problem.

本発明はかかる実情に鑑みてなされたものであり、その目的は、CVD法によって絶縁膜を形成する場合に、シリコンと絶縁膜との界面の形状を出来るだけ平坦化することが可能な絶縁膜の形成方法を提供することである。   The present invention has been made in view of such circumstances, and an object thereof is to provide an insulating film capable of flattening the shape of the interface between silicon and the insulating film as much as possible when the insulating film is formed by the CVD method. It is to provide a forming method.

上記課題を解決するため、本発明の絶縁膜の形成方法は、被処理体の表面に露出したシリコンをプラズマ酸化処理して第1の絶縁膜としての酸化珪素膜を形成するプラズマ酸化処理工程と、
前記酸化珪素膜の上にCVD法によって第2の絶縁膜を形成するCVD工程と、
前記第2の絶縁膜に対し、酸素を含む処理ガスのプラズマを用いて改質処理を行うプラズマ改質処理工程と、
を備えている。
In order to solve the above problems, a method for forming an insulating film according to the present invention includes a plasma oxidation process step of forming a silicon oxide film as a first insulating film by performing plasma oxidation on silicon exposed on the surface of an object to be processed. ,
A CVD step of forming a second insulating film on the silicon oxide film by a CVD method;
A plasma reforming process for performing a reforming process on the second insulating film using a plasma of a processing gas containing oxygen;
It has.

本発明の絶縁膜の形成方法において、複数の孔を有する平面アンテナにより処理室内にマイクロ波を導入するプラズマ処理装置において、処理圧力が6.7Pa以上267Pa以下の範囲内であり、かつ前記処理ガスの全流量に対する酸素の流量比率が0.1%以上30%以下の範囲内で行われるものであることが好ましい。さらに、本発明の絶縁膜の形成方法において、前記プラズマ酸化処理工程における前記処理圧力が6.7Pa以上67Pa以下の範囲内であることが好ましい。   In the method for forming an insulating film of the present invention, in a plasma processing apparatus for introducing a microwave into a processing chamber by a planar antenna having a plurality of holes, a processing pressure is in a range of 6.7 Pa to 267 Pa, and the processing gas It is preferable that the flow rate ratio of oxygen to the total flow rate is within a range of 0.1% to 30%. Furthermore, in the method for forming an insulating film of the present invention, it is preferable that the processing pressure in the plasma oxidation processing step is in a range of 6.7 Pa to 67 Pa.

また、本発明の絶縁膜の形成方法において、前記プラズマ改質処理工程は、処理圧力が6.7Pa以上267Pa以下の範囲内であり、かつ前記処理ガスの全流量に対する酸素の流量比率が0.1%以上30%以下の範囲内で行われることが好ましい。この場合、前記プラズマ改質処理工程における前記処理圧力が6.7Pa以上67Pa以下の範囲内であることが好ましい。さらに、前記プラズマ改質工程は、複数の孔を有する平面アンテナにより処理室内にマイクロ波を導入するプラズマ処理装置により行われることが好ましい。   In the method for forming an insulating film according to the present invention, the plasma reforming treatment step has a treatment pressure in a range of 6.7 Pa to 267 Pa, and a flow rate ratio of oxygen to a total flow rate of the treatment gas is 0.00. It is preferably performed within a range of 1% or more and 30% or less. In this case, it is preferable that the processing pressure in the plasma reforming process is in a range of 6.7 Pa to 67 Pa. Furthermore, it is preferable that the plasma modification step is performed by a plasma processing apparatus that introduces microwaves into the processing chamber using a planar antenna having a plurality of holes.

また、本発明の絶縁膜の形成方法において、前記第2の絶縁膜を、プラズマCVD法または熱CVD法によって形成することが好ましい。   In the method for forming an insulating film of the present invention, it is preferable that the second insulating film is formed by a plasma CVD method or a thermal CVD method.

また、本発明の絶縁膜の形成方法では、前記CVD工程と、前記プラズマ改質処理工程とを、複数回繰り返し行うことが好ましい。   In the method for forming an insulating film of the present invention, it is preferable that the CVD step and the plasma modification treatment step are repeated a plurality of times.

また、本発明の絶縁膜の形成方法では、前記プラズマ酸化処理工程および前記プラズマ改質処理工程における処理温度が、200℃以上600℃以下の範囲内であることが好ましい。   In the method for forming an insulating film of the present invention, it is preferable that the processing temperature in the plasma oxidation treatment step and the plasma modification treatment step is in a range of 200 ° C. or more and 600 ° C. or less.

また、本発明の絶縁膜の形成方法では、前記プラズマ酸化処理工程と前記プラズマ改質処理工程を同一チャンバ内で行うことが好ましい。   In the insulating film forming method of the present invention, it is preferable that the plasma oxidation treatment step and the plasma modification treatment step are performed in the same chamber.

また、本発明の絶縁膜の形成方法では、前記プラズマ酸化処理工程で形成される前記酸化珪素膜と前記シリコンとの界面が平坦であることが好ましい。   In the insulating film forming method of the present invention, it is preferable that an interface between the silicon oxide film and the silicon formed in the plasma oxidation treatment step is flat.

また、本発明の絶縁膜の形成方法では、前記第1の絶縁膜の膜厚が3nm以上10nm以下の範囲内であり、前記第2の絶縁膜の膜厚が3nm以上10nm以下の範囲内であることが好ましい。   In the method for forming an insulating film of the present invention, the thickness of the first insulating film is in the range of 3 nm to 10 nm, and the thickness of the second insulating film is in the range of 3 nm to 10 nm. Preferably there is.

また、本発明の絶縁膜の形成方法では、前記第2の絶縁膜が、原料ガスとしてジクロルシランとNOを用いるCVD法によって堆積させられた酸化珪素膜であることが好ましい。 In the insulating film forming method of the present invention, the second insulating film is preferably a silicon oxide film deposited by a CVD method using dichlorosilane and N 2 O as source gases.

本発明のコンピュータ読み取り可能な記憶媒体は、コンピュータ上で動作する制御プログラムが記憶されたコンピュータ読み取り可能な記憶媒体であって、
前記制御プログラムは、実行時に、被処理体に対して所定の処理を行うための複数の処理チャンバを有する処理システムにおいて、被処理体の表面に露出したシリコンをプラズマ酸化処理して第1の絶縁膜としての酸化珪素膜を形成するプラズマ酸化処理工程と、前記酸化珪素膜の上にCVD法によって第2の絶縁膜を形成するCVD工程と、前記第2の絶縁膜に対し、酸素を含む処理ガスのプラズマを用いて改質処理を行うプラズマ改質処理工程と、を含む絶縁膜の形成方法が行なわれるように、コンピュータに前記処理システムを制御させるものである。
The computer-readable storage medium of the present invention is a computer-readable storage medium storing a control program that runs on a computer,
In the processing system having a plurality of processing chambers for performing predetermined processing on the target object during execution, the control program performs plasma oxidation on the silicon exposed on the surface of the target object and performs first insulation A plasma oxidation process for forming a silicon oxide film as a film; a CVD process for forming a second insulating film on the silicon oxide film by a CVD method; and a process including oxygen for the second insulating film A computer controls the processing system so that a method for forming an insulating film including a plasma reforming process step of performing a reforming process using a plasma of gas is performed.

本発明に係る処理システムは、被処理体に対して第1の処理を行う第1の処理チャンバと、被処理体に対して前記第1の処理とは異なる第2の処理を行う第2の処理チャンバと、被処理体に対して前記第2の処理とは異なる第3の処理を行う第3の処理チャンバと、を有する処理システムであって、第1の処理チャンバ内で、前記第1の処理として、被処理体の表面に露出したシリコンをプラズマ酸化処理して第1の絶縁膜としての酸化珪素膜を形成し、次に、第2の処理チャンバにおいて、前記第2の処理として前記酸化珪素膜の上にCVD法によって第2の絶縁膜を形成し、次に、第3の処理チャンバにおいて、前記第3の処理として、前記第2の絶縁膜に対し酸素を含む処理ガスのプラズマを用いてプラズマ改質処理を行うように各処理チャンバを制御する制御部を備えている。この場合、前記第1の処理チャンバと、前記第3の処理チャンバとが、同一の処理チャンバであることが好ましい。   A processing system according to the present invention includes a first processing chamber that performs a first process on a target object, and a second process that performs a second process different from the first process on the target object. A processing system having a processing chamber and a third processing chamber that performs a third processing different from the second processing on an object to be processed, wherein the first processing chamber includes the first processing chamber. In this process, silicon exposed on the surface of the object to be processed is subjected to plasma oxidation to form a silicon oxide film as a first insulating film. Next, in the second process chamber, the second process is performed as the second process. A second insulating film is formed on the silicon oxide film by a CVD method. Next, in the third processing chamber, as the third processing, a plasma of a processing gas containing oxygen with respect to the second insulating film. Each process is performed so that plasma reforming treatment is performed using And a control unit for controlling the chamber. In this case, it is preferable that the first processing chamber and the third processing chamber are the same processing chamber.

本発明の絶縁膜の形成方法によれば、CVD工程に先立ち、シリコン表面をプラズマ酸化処理してシリコンとの界面が平坦になるように第1の絶縁膜としてのシリコン酸化膜を形成し、このシリコン酸化膜上にCVD法により第2の絶縁膜を成膜することによって、シリコン/絶縁膜界面付近での固定電荷の蓄積による界面準位密度の上昇を抑制できる。また、第2の絶縁膜をプラズマ改質処理することにより、第2の絶縁膜の膜質が緻密になり、不純物やダングリングボンドが少ない良質な絶縁膜にすることができる。したがって、本発明の絶縁膜の形成方法によれば、シリコン/絶縁膜界面付近でのキャリアの移動度を確保することが可能になり、例えばトランジスタの動作速度などデバイスの電気的特性を改善できるとともに、膜質の改善によって信頼性の高いデバイスを製造できる。   According to the insulating film forming method of the present invention, prior to the CVD process, the silicon surface is subjected to plasma oxidation to form a silicon oxide film as a first insulating film so that the interface with silicon becomes flat, By forming the second insulating film on the silicon oxide film by CVD, an increase in interface state density due to accumulation of fixed charges near the silicon / insulating film interface can be suppressed. In addition, by performing plasma modification treatment on the second insulating film, the film quality of the second insulating film becomes dense, and a high-quality insulating film with few impurities and dangling bonds can be obtained. Therefore, according to the method for forming an insulating film of the present invention, it becomes possible to secure carrier mobility in the vicinity of the silicon / insulating film interface, and improve the electrical characteristics of the device such as the operation speed of the transistor, for example. By improving the film quality, a highly reliable device can be manufactured.

[第1の実施の形態]
以下、本発明の実施の形態について図面を参照して詳細に説明する。まず、図1を参照しながら本発明の実施の形態に係る絶縁膜の形成方法が行われる基板処理システムについて説明を行う。図1は、例えば基板としての半導体ウエハ(以下、単に「ウエハ」と記す)Wに対し、例えばプラズマ酸化処理、成膜処理、改質処理等の各種の処理を行なうように構成された基板処理システム200を示す概略構成図である。この基板処理システム200は、マルチチャンバ構造のクラスタツールとして構成されている。
[First Embodiment]
Hereinafter, embodiments of the present invention will be described in detail with reference to the drawings. First, a substrate processing system in which an insulating film forming method according to an embodiment of the present invention is performed will be described with reference to FIG. FIG. 1 shows, for example, a substrate process configured to perform various processes such as a plasma oxidation process, a film forming process, and a modification process on a semiconductor wafer (hereinafter simply referred to as “wafer”) W as a substrate. 1 is a schematic configuration diagram showing a system 200. FIG. The substrate processing system 200 is configured as a cluster tool having a multi-chamber structure.

基板処理システム200は、主要な構成として、ウエハWに対して各種の処理を行う4つのプロセスモジュール101a,101b,101c,101dと、これらのプロセスモジュール101a〜101dに対してゲートバルブG1を介して接続された真空側搬送室103と、この真空側搬送室103にゲートバルブG2を介して接続された2つのロードロック室105a,105bと、これら2つのロードロック室105a,105bに対してゲートバルブG3を介して接続されたローダーユニット107とを備えている。   The substrate processing system 200 has four process modules 101a, 101b, 101c, and 101d that perform various processes on the wafer W as main components, and a gate valve G1 for these process modules 101a to 101d. The connected vacuum side transfer chamber 103, the two load lock chambers 105a and 105b connected to the vacuum side transfer chamber 103 via the gate valve G2, and the gate valve for these two load lock chambers 105a and 105b And a loader unit 107 connected via G3.

4つのプロセスモジュール101a〜101dは、ウエハWに対して例えばプラズマ酸化処理、CVD処理、プラズマ改質処理などの処理を行う処理装置である。プロセスモジュール101a〜101dは、ウエハWに対して同じ内容の処理を行うものであってもよいし、あるいはそれぞれ異なる内容の処理を行うものであってもよい。本実施の形態では、少なくとも、プロセスモジュール101a〜101dにおいて、ウエハWのシリコンを酸化して酸化珪素膜を形成するプラズマ酸化処理と、CVD法による成膜処理と、該成膜処理によって形成された酸化珪素膜に対してプラズマを作用させて改質を行うプラズマ改質処理と、を行うことができるように構成されている。   The four process modules 101a to 101d are processing apparatuses that perform processing such as plasma oxidation processing, CVD processing, and plasma modification processing on the wafer W, for example. The process modules 101a to 101d may perform the same processing on the wafer W, or may perform different processing. In the present embodiment, at least in the process modules 101a to 101d, a plasma oxidation process for forming a silicon oxide film by oxidizing silicon of the wafer W, a film forming process by a CVD method, and a film forming process are used. A plasma modification process is performed in which plasma is applied to the silicon oxide film to perform modification.

真空引き可能に構成された真空側搬送室103には、プロセスモジュール101a〜101dやロードロック室105a,105bに対してウエハWの受け渡しを行う第1の基板搬送装置としての搬送装置109が設けられている。この搬送装置109は、互いに対向するように配置された一対の搬送アーム部111a,111bを有している。各搬送アーム部111a,111bは同一の回転軸を中心として、屈伸及び旋回可能に構成されている。また、各搬送アーム部111a,111bの先端には、それぞれウエハWを載置して保持するためのフォーク113a,113bが設けられている。搬送装置109は、これらのフォーク113a,113b上にウエハWを載置した状態で、プロセスモジュール101a〜101d間、あるいはプロセスモジュール101a〜101dとロードロック室105a,105bとの間でウエハWの搬送を行う。   The vacuum-side transfer chamber 103 configured to be evacuated is provided with a transfer device 109 as a first substrate transfer device that transfers the wafer W to the process modules 101a to 101d and the load lock chambers 105a and 105b. ing. The transfer device 109 has a pair of transfer arm portions 111a and 111b arranged to face each other. Each of the transfer arm portions 111a and 111b is configured to bend and stretch and turn about the same rotation axis. Further, forks 113a and 113b for mounting and holding the wafer W are provided at the tips of the transfer arm portions 111a and 111b, respectively. The transfer device 109 transfers the wafer W between the process modules 101a to 101d or between the process modules 101a to 101d and the load lock chambers 105a and 105b with the wafer W placed on the forks 113a and 113b. I do.

ロードロック室105a,105b内には、それぞれウエハWを載置する載置台106a,106bが設けられている。ロードロック室105a,105bは、真空状態と大気開放状態を切り替えられるように構成されている。このロードロック室105a,105bの載置台106a,106bを介して、真空側搬送室103と大気側搬送室119(後述)との間でウエハWの受け渡しが行われる。   In the load lock chambers 105a and 105b, mounting tables 106a and 106b for mounting the wafer W are provided, respectively. The load lock chambers 105a and 105b are configured to be switched between a vacuum state and an air release state. The wafer W is transferred between the vacuum-side transfer chamber 103 and the atmosphere-side transfer chamber 119 (described later) via the loading tables 106a and 106b of the load lock chambers 105a and 105b.

ローダーユニット107は、ウエハWの搬送を行う第2の基板搬送装置としての搬送装置117が設けられた大気側搬送室119と、この大気側搬送室119に隣接配備された3つのロードポートLPと、大気側搬送室119の他の側面に隣接配備され、ウエハWの位置測定を行なう位置測定装置としてのオリエンタ121とを有している。   The loader unit 107 includes an atmosphere-side transfer chamber 119 provided with a transfer device 117 as a second substrate transfer device for transferring the wafer W, and three load ports LP disposed adjacent to the atmosphere-side transfer chamber 119. And an orienter 121 as a position measuring device for measuring the position of the wafer W, which is disposed adjacent to the other side surface of the atmosphere-side transfer chamber 119.

大気側搬送室119は、例えば窒素ガスや清浄空気がダウンフローしてクリーンな環境を形成する循環設備(図示省略)を備え、クリーンな環境が維持されている。大気側搬送室119は、平面視矩形形状をなしており、その長手方向に沿ってガイドレール123が設けられている。このガイドレール123に搬送装置117がスライド移動可能に支持されている。つまり、搬送装置117は図示しない駆動機構により、ガイドレール123に沿ってX方向へ移動可能に構成されている。この搬送装置117は、上下2段に配置された一対の搬送アーム部125a,125bを有している。各搬送アーム部125a,125bは屈伸及び旋回可能に構成されている。各搬送アーム部125a,125bの先端には、それぞれウエハWを載置して保持する保持部材としてのフォーク127a,127bが設けられている。搬送装置117は、これらのフォーク127a,127b上にウエハWを載置した状態で、ロードポートLPのウエハカセットCRと、ロードロック室105a,105bと、オリエンタ121との間でウエハWの搬送を行う。   The atmosphere-side transfer chamber 119 includes, for example, a circulation facility (not shown) that forms a clean environment by flowing down nitrogen gas or clean air, and the clean environment is maintained. The atmosphere-side transfer chamber 119 has a rectangular shape in plan view, and a guide rail 123 is provided along the longitudinal direction thereof. A conveying device 117 is supported on the guide rail 123 so as to be slidable. That is, the transport device 117 is configured to be movable in the X direction along the guide rail 123 by a drive mechanism (not shown). The transfer device 117 has a pair of transfer arm portions 125a and 125b arranged in two upper and lower stages. Each of the transfer arm portions 125a and 125b is configured to be able to bend and stretch and turn. Forks 127a and 127b as holding members for mounting and holding the wafer W are provided at the tips of the transfer arm portions 125a and 125b, respectively. The transfer device 117 transfers the wafer W between the wafer cassette CR of the load port LP, the load lock chambers 105a and 105b, and the orienter 121 in a state where the wafer W is placed on the forks 127a and 127b. Do.

ロードポートLPは、ウエハカセットCRを載置できるようになっている。ウエハカセットCRは、複数枚のウエハWを同じ間隔で多段に載置して収容できるように構成されている。   The load port LP can mount the wafer cassette CR. The wafer cassette CR is configured so that a plurality of wafers W can be placed and accommodated in multiple stages at the same interval.

オリエンタ121は、図示しない駆動モータによって回転される回転板133と、この回転板133の外周位置に設けられ、ウエハWの周縁部を検出するための光学センサ135とを備えている。   The orienter 121 includes a rotating plate 133 that is rotated by a drive motor (not shown) and an optical sensor 135 that is provided at the outer peripheral position of the rotating plate 133 and detects the peripheral edge of the wafer W.

以上のような構成を有する基板処理システム200においては、以下の手順でウエハWに対するプラズマ酸化処理、CVD処理およびプラズマ改質処理が行われる。まず、大気側搬送室119の搬送装置117のフォーク127を用い、ロードポートLPのウエハカセットCRより1枚のウエハWが取り出され、オリエンタ121で位置合わせされた後、ロードロック室105a(または105b)に搬入される。ウエハWが載置台106a(または106b)に載置された状態のロードロック室105a(または105b)では、ゲートバルブG3が閉じられ、内部が真空状態に減圧排気される。その後、ゲートバルブG2が開放され、真空側搬送室103内の搬送装置109のフォーク113によってウエハWがロードロック室105a(または105b)から運び出され、プロセスモジュール101a〜101dのいずれかに搬入される。   In the substrate processing system 200 having the above-described configuration, the plasma oxidation process, the CVD process, and the plasma modification process are performed on the wafer W according to the following procedure. First, using the fork 127 of the transfer device 117 in the atmosphere-side transfer chamber 119, one wafer W is taken out from the wafer cassette CR of the load port LP, aligned with the orienter 121, and then loaded into the load lock chamber 105 a (or 105 b). ). In the load lock chamber 105a (or 105b) in a state where the wafer W is mounted on the mounting table 106a (or 106b), the gate valve G3 is closed and the inside is evacuated to a vacuum state. Thereafter, the gate valve G2 is opened, and the wafer W is carried out of the load lock chamber 105a (or 105b) by the fork 113 of the transfer device 109 in the vacuum side transfer chamber 103, and is transferred into any of the process modules 101a to 101d. .

本実施の形態では、例えばプロセスモジュール101aでは、ウエハW表面のシリコンを酸化するプラズマ酸化処理を行なうことができるように構成されている。また、プロセスモジュール101b,101cでは、ウエハW上に絶縁膜例えば酸化珪素膜などを形成するCVD処理を行なうことができるように構成されている。また、プロセスモジュール101dでは、前記絶縁膜を形成した後にその絶縁膜を改質するプラズマ改質処理を行なうことができるように構成されている。なお、プロセスモジュール101aおよび101dにおいて、それぞれプラズマ酸化処理とプラズマ改質処理の両方の処理を行えるようにしてもよい。   In the present embodiment, for example, the process module 101a is configured to perform plasma oxidation processing for oxidizing silicon on the surface of the wafer W. Further, the process modules 101b and 101c are configured such that a CVD process for forming an insulating film such as a silicon oxide film on the wafer W can be performed. Further, the process module 101d is configured such that after the insulating film is formed, a plasma reforming process for modifying the insulating film can be performed. In the process modules 101a and 101d, both the plasma oxidation process and the plasma modification process may be performed.

搬送装置109によりロードロック室105a(または105b)から運び出されたウエハWは、まず、プロセスモジュール101aに搬入され、ゲートバルブG1を閉じた後でウエハWに対してプラズマ酸化処理が行われる。   The wafer W carried out of the load lock chamber 105a (or 105b) by the transfer device 109 is first carried into the process module 101a, and after the gate valve G1 is closed, plasma oxidation processing is performed on the wafer W.

次いで、前記ゲートバルブG1が開放され、酸化珪素膜が形成されたウエハWが搬送装置109によりプロセスモジュール101aから真空状態のままプロセスモジュール101b,101cのいずれか片方に搬入される。そして、ゲートバルブG1を閉じた後でウエハWに対してCVD処理が行われる。CVD処理によって、前記酸化珪素膜の上に絶縁膜が堆積形成される。   Next, the gate valve G1 is opened, and the wafer W on which the silicon oxide film is formed is carried into one of the process modules 101b and 101c by the transfer device 109 from the process module 101a in a vacuum state. Then, after the gate valve G1 is closed, the CVD process is performed on the wafer W. An insulating film is deposited on the silicon oxide film by the CVD process.

次いで、前記ゲートバルブG1が開放され、CVD法による絶縁膜が形成されたウエハWが搬送装置109によりプロセスモジュール101b(または101c)から真空状態のままプロセスモジュール101dに搬入される。そして、ゲートバルブG1が閉じられた後で前記絶縁膜に対してプラズマ改質処理が行われる。次に、プロセスモジュール101dのゲートバルブG1が開放され、プラズマ改質処理されたウエハWが、搬送装置109により取り出され、ロードロック室105a(または105b)に搬入される。そして、前記とは逆の手順でロードポートLPのウエハカセットCRに処理済みのウエハWが収納され、基板処理システム200における1枚のウエハWに対する処理が完了する。なお、基板処理システム200における各処理装置の配置は、効率的に処理を行うことができる配置であれば、いかなる配置構成でもよい。さらに、基板処理システム200におけるプロセスモジュールの数は4つに限らず、5つ以上であってもよい。   Next, the gate valve G1 is opened, and the wafer W on which an insulating film is formed by CVD is transferred from the process module 101b (or 101c) to the process module 101d by the transfer device 109 in a vacuum state. Then, after the gate valve G1 is closed, a plasma reforming process is performed on the insulating film. Next, the gate valve G1 of the process module 101d is opened, and the plasma-modified wafer W is taken out by the transfer device 109 and loaded into the load lock chamber 105a (or 105b). Then, the processed wafer W is stored in the wafer cassette CR of the load port LP in the reverse procedure to the above, and the processing for one wafer W in the substrate processing system 200 is completed. In addition, as long as the arrangement | positioning of each processing apparatus in the substrate processing system 200 is an arrangement | positioning which can process efficiently, what kind of arrangement | positioning structure may be sufficient as it. Furthermore, the number of process modules in the substrate processing system 200 is not limited to four, and may be five or more.

図2は、基板処理システム200において行われるプラズマ酸化処理およびプラズマ改質処理に共通して利用可能なプラズマ処理装置100の概略構成を模式的に示す断面図である。また、図3は、図2のプラズマ処理装置100の平面アンテナを示す平面図である。   FIG. 2 is a cross-sectional view schematically showing a schematic configuration of a plasma processing apparatus 100 that can be commonly used for plasma oxidation processing and plasma modification processing performed in the substrate processing system 200. FIG. 3 is a plan view showing a planar antenna of the plasma processing apparatus 100 of FIG.

プラズマ処理装置100は、複数のスロット状の孔を有する平面アンテナ、特にRLSA(Radial Line Slot Antenna;ラジアルラインスロットアンテナ)にて処理室内にマイクロ波を導入してプラズマを発生させることにより、高密度かつ低電子温度のマイクロ波励起プラズマを発生させ得るRLSAマイクロ波プラズマ処理装置として構成されている。プラズマ処理装置100では、1×1010〜5×1012/cmのプラズマ密度で、かつ0.7〜2eV(特にウエハWの近傍では1.1eV以下)の低電子温度を有するプラズマにより、ウエハWへのダメージを与えない処理が可能である。従って、プラズマ処理装置100は、各種半導体装置の製造過程において、シリコンを酸化して酸化珪素膜(例えばSiO膜)を形成するプラズマ酸化処理や、CVD法によって形成された酸化珪素膜(例えばSiO膜)をプラズマダメージなく改質する目的で好適に利用できる。 The plasma processing apparatus 100 generates plasma by introducing microwaves into a processing chamber using a planar antenna having a plurality of slot-shaped holes, particularly RLSA (Radial Line Slot Antenna). In addition, it is configured as an RLSA microwave plasma processing apparatus capable of generating microwave-excited plasma having a low electron temperature. In the plasma processing apparatus 100, a plasma having a plasma density of 1 × 10 10 to 5 × 10 12 / cm 3 and a low electron temperature of 0.7 to 2 eV (particularly 1.1 eV or less in the vicinity of the wafer W) A process that does not damage the wafer W is possible. Therefore, the plasma processing apparatus 100 uses a plasma oxidation process in which silicon is oxidized to form a silicon oxide film (for example, SiO 2 film) or a silicon oxide film (for example, SiO 2 film) formed by a CVD method in the manufacturing process of various semiconductor devices. 2 films) can be suitably used for the purpose of modifying without plasma damage.

プラズマ処理装置100は、主要な構成として、気密に構成されたチャンバ(処理室)1と、チャンバ1内にガスを供給するガス供給機構18と、チャンバ1内を減圧排気するための排気機構としての排気装置24と、チャンバ1の上部に設けられ、チャンバ1内にマイクロ波を導入するマイクロ波導入機構27と、これらプラズマ処理装置100の各構成部を制御する制御部50と、を備えている。   The plasma processing apparatus 100 includes, as main components, an airtight chamber (processing chamber) 1, a gas supply mechanism 18 for supplying gas into the chamber 1, and an exhaust mechanism for evacuating the chamber 1 under reduced pressure. An exhaust device 24, a microwave introduction mechanism 27 for introducing a microwave into the chamber 1, and a control unit 50 for controlling each component of the plasma processing apparatus 100. Yes.

チャンバ1は、接地された略円筒状の容器により形成されている。なお、チャンバ1は角筒形状の容器により形成してもよい。チャンバ1は、アルミニウム等の材質からなる底壁1aと側壁1bとを有している。   The chamber 1 is formed of a substantially cylindrical container that is grounded. The chamber 1 may be formed by a rectangular tube-shaped container. The chamber 1 has a bottom wall 1a and a side wall 1b made of a material such as aluminum.

チャンバ1の内部には、被処理体であるウエハWを水平に支持するための載置台2が設けられている。載置台2は、熱伝導性の高い材質例えばAlN等のセラミックスにより構成されている。この載置台2は、排気室11の底部中央から上方に延びる円筒状の支持部材3により支持されている。支持部材3は、例えばAlN等のセラミックスにより構成されている。   Inside the chamber 1 is provided a mounting table 2 for horizontally supporting a wafer W as an object to be processed. The mounting table 2 is made of a material having high thermal conductivity, such as ceramics such as AlN. The mounting table 2 is supported by a cylindrical support member 3 extending upward from the center of the bottom of the exhaust chamber 11. The support member 3 is made of ceramics such as AlN, for example.

また、載置台2には、その外縁部をカバーし、ウエハWをガイドするためのカバーリング4が設けられている。このカバーリング4は、例えば石英、AlN、Al、SiN等の材質で構成された環状部材である。 Further, the mounting table 2 is provided with a cover ring 4 that covers the outer edge portion thereof and guides the wafer W. The cover ring 4 is an annular member made of a material such as quartz, AlN, Al 2 O 3 , or SiN.

また、載置台2には、温度調節機構としての抵抗加熱型のヒータ5が埋め込まれている。このヒータ5は、ヒータ電源5aから給電されることにより載置台2を加熱して、その熱で被処理基板であるウエハWを均一に加熱する。   In addition, a resistance heating type heater 5 as a temperature adjusting mechanism is embedded in the mounting table 2. The heater 5 is heated by the heater power supply 5a to heat the mounting table 2 and uniformly heats the wafer W, which is a substrate to be processed, with the heat.

また、載置台2には、熱電対(TC)6が配備されている。この熱電対6によって温度計測を行うことにより、ウエハWの加熱温度を例えば室温から900℃までの範囲で制御可能となっている。   The mounting table 2 is provided with a thermocouple (TC) 6. By measuring the temperature with the thermocouple 6, the heating temperature of the wafer W can be controlled in a range from room temperature to 900 ° C., for example.

また、載置台2には、ウエハWを支持して昇降させるためのウエハ支持ピン(図示せず)が設けられている。各ウエハ支持ピンは、載置台2の表面に対して突没可能に設けられている。   The mounting table 2 is provided with wafer support pins (not shown) for supporting the wafer W and raising and lowering it. Each wafer support pin is provided so as to protrude and retract with respect to the surface of the mounting table 2.

チャンバ1の内周には、石英からなる円筒状のライナー7が設けられている。また、載置台2の外周側には、チャンバ1内を均一排気するため、多数の排気孔8aを有する石英製のバッフルプレート8が環状に設けられている。このバッフルプレート8は、複数の支柱9により支持されている。   A cylindrical liner 7 made of quartz is provided on the inner periphery of the chamber 1. In addition, a quartz baffle plate 8 having a large number of exhaust holes 8 a is annularly provided on the outer peripheral side of the mounting table 2 in order to uniformly exhaust the inside of the chamber 1. The baffle plate 8 is supported by a plurality of support columns 9.

チャンバ1の底壁1aの略中央部には、円形の開口部10が形成されている。底壁1aにはこの開口部10と連通し、下方に向けて突出する排気室11が設けられている。この排気室11には、排気管12が接続されており、この排気管12を介して排気装置24に接続されている。   A circular opening 10 is formed at a substantially central portion of the bottom wall 1 a of the chamber 1. An exhaust chamber 11 that communicates with the opening 10 and protrudes downward is provided on the bottom wall 1a. An exhaust pipe 12 is connected to the exhaust chamber 11 and is connected to an exhaust device 24 via the exhaust pipe 12.

チャンバ1の上部には、環状のアッパープレート13が接合されている。アッパープレート13の内周は、内側(チャンバ内空間)へ向けて突出し、環状の支持部13aを形成している。   An annular upper plate 13 is joined to the upper portion of the chamber 1. The inner periphery of the upper plate 13 protrudes toward the inner side (chamber inner space) to form an annular support portion 13a.

チャンバ1の側壁1bには、環状をなすガス導入部15が設けられている。このガス導入部15は、酸素含有ガスやプラズマ励起用ガスを供給するガス供給機構18に接続されている。なお、ガス導入部15はノズル状またはシャワー状に設けてもよい。   An annular gas inlet 15 is provided on the side wall 1 b of the chamber 1. The gas introduction unit 15 is connected to a gas supply mechanism 18 that supplies an oxygen-containing gas and a plasma excitation gas. The gas introduction part 15 may be provided in a nozzle shape or a shower shape.

また、チャンバ1の側壁1bには、プラズマ処理装置100と、これに隣接する搬送室103(図1参照)との間で、ウエハWの搬入出を行うための搬入出口16と、この搬入出口16を開閉するゲートバルブG1とが設けられている。   Further, on the side wall 1b of the chamber 1, a loading / unloading port 16 for loading / unloading the wafer W between the plasma processing apparatus 100 and the transfer chamber 103 (see FIG. 1) adjacent thereto is provided, and this loading / unloading port. A gate valve G1 for opening and closing 16 is provided.

ガス供給機構18は、例えば不活性ガス供給源19a、酸素含有ガス供給源19bおよび水素ガス供給源19cを有している。なお、ガス供給機構18は、上記以外の図示しないガス供給源として、例えばチャンバ1内雰囲気を置換する際に用いるパージガス供給源、チャンバ1内をクリーニングする際に用いるクリーニングガス供給源等を有していてもよい。   The gas supply mechanism 18 includes, for example, an inert gas supply source 19a, an oxygen-containing gas supply source 19b, and a hydrogen gas supply source 19c. The gas supply mechanism 18 includes, as gas supply sources (not shown) other than the above, for example, a purge gas supply source used when replacing the atmosphere in the chamber 1, a cleaning gas supply source used when cleaning the inside of the chamber 1, and the like. It may be.

不活性ガスとしては、例えばNガスや希ガスなどを用いることができる。希ガスとしては、例えばArガス、Krガス、Xeガス、Heガスなどを用いることができる。これらの中でも、経済性に優れている点でArガスを用いることが特に好ましい。また、酸素含有ガスとしては、例えば酸素ガス(O)、水蒸気(HO)、一酸化窒素(NO)、一酸化二窒素(NO)などを用いることができる。 As the inert gas, for example, N 2 gas or rare gas can be used. As the rare gas, for example, Ar gas, Kr gas, Xe gas, He gas, or the like can be used. Among these, it is particularly preferable to use Ar gas because it is economical. As the oxygen-containing gas, for example, oxygen gas (O 2 ), water vapor (H 2 O), nitrogen monoxide (NO), dinitrogen monoxide (N 2 O), or the like can be used.

不活性ガス、酸素含有ガスおよび水素ガスは、ガス供給機構18の不活性ガス供給源19a、酸素含有ガス供給源19bおよび水素ガス供給源19cから、ガスライン20を介してガス導入部15に至り、ガス導入部15からチャンバ1内に導入される。各ガス供給源に接続する各々のガスライン20には、マスフローコントローラ21およびその前後の開閉バルブ22が設けられている。このようなガス供給機構18の構成により、供給されるガスの切替えや流量等の制御が出来るようになっている。   The inert gas, oxygen-containing gas, and hydrogen gas reach the gas introduction unit 15 through the gas line 20 from the inert gas supply source 19a, the oxygen-containing gas supply source 19b, and the hydrogen gas supply source 19c of the gas supply mechanism 18. The gas is introduced into the chamber 1 from the gas introduction part 15. Each gas line 20 connected to each gas supply source is provided with a mass flow controller 21 and front and rear opening / closing valves 22. With such a configuration of the gas supply mechanism 18, the supplied gas can be switched and the flow rate can be controlled.

排気機構としての排気装置24は、例えばターボ分子ポンプなどの高速真空ポンプを備えている。前記のように、排気装置24は、排気管12を介してチャンバ1の排気室11に接続されている。チャンバ1内のガスは、排気室11の空間11a内へ均一に流れ、さらに空間11aから排気装置24を作動させることにより、排気管12を介して外部へ排気される。これにより、チャンバ1内を所定の真空度、例えば0.133Paまで高速に減圧することが可能となっている。   The exhaust device 24 as an exhaust mechanism includes a high-speed vacuum pump such as a turbo molecular pump. As described above, the exhaust device 24 is connected to the exhaust chamber 11 of the chamber 1 through the exhaust pipe 12. The gas in the chamber 1 flows uniformly into the space 11a of the exhaust chamber 11, and is further exhausted to the outside through the exhaust pipe 12 by operating the exhaust device 24 from the space 11a. Thereby, the inside of the chamber 1 can be depressurized at a high speed to a predetermined degree of vacuum, for example, 0.133 Pa.

次に、マイクロ波導入機構27の構成について説明する。マイクロ波導入機構27は、主要な構成として、透過板28、平面アンテナ31、遅波材33、カバー34、導波管37、マッチング回路38およびマイクロ波発生装置39を備えている。   Next, the configuration of the microwave introduction mechanism 27 will be described. The microwave introduction mechanism 27 includes a transmission plate 28, a planar antenna 31, a slow wave material 33, a cover 34, a waveguide 37, a matching circuit 38, and a microwave generator 39 as main components.

マイクロ波を透過させる透過板28は、アッパープレート13において内周側に張り出した支持部13a上に配備されている。透過板28は、誘電体、例えば石英やAl、AlN等のセラミックスから構成されている。この透過板28と支持部13aとの間は、シール部材29を介して気密にシールされている。したがって、チャンバ1内は気密に保持される。 The transmission plate 28 that transmits microwaves is disposed on a support portion 13 a that protrudes to the inner peripheral side of the upper plate 13. The transmission plate 28 is made of a dielectric, for example, ceramics such as quartz, Al 2 O 3 , and AlN. A gap between the transmission plate 28 and the support portion 13a is hermetically sealed through a seal member 29. Therefore, the inside of the chamber 1 is kept airtight.

平面アンテナ31は、透過板28の上方において、載置台2と対向するように設けられている。平面アンテナ31は、円板状をなしている。なお、平面アンテナ31の形状は、円板状に限らず、例えば四角板状でもよい。この平面アンテナ31は、アッパープレート13の上端に係止されている。   The planar antenna 31 is provided above the transmission plate 28 so as to face the mounting table 2. The planar antenna 31 has a disk shape. The shape of the planar antenna 31 is not limited to a disk shape, and may be a square plate shape, for example. The planar antenna 31 is locked to the upper end of the upper plate 13.

平面アンテナ31は、例えば表面が金または銀メッキされた銅板、アルミニウム板もしくはニッケル板またはこれら金属の合金の板から構成されている。平面アンテナ31は、マイクロ波を放射する多数のスロット状のマイクロ波放射孔32を有している。マイクロ波放射孔32は、所定のパターンで平面アンテナ31を貫通して形成されている。   The planar antenna 31 is made of, for example, a copper plate, an aluminum plate, a nickel plate, or an alloy plate of these metals whose surface is plated with gold or silver. The planar antenna 31 has a number of slot-shaped microwave radiation holes 32 that radiate microwaves. The microwave radiation holes 32 are formed through the planar antenna 31 in a predetermined pattern.

個々のマイクロ波放射孔32は、例えば図3に示すように、細長い長方形状(スロット状)をなしている。そして、典型的には隣接するマイクロ波放射孔32が「T」字状に配置されている。また、このように所定の形状(例えばT字状)に組み合わせて配置されたマイクロ波放射孔32は、さらに全体として同心円状に配置されている。   Each microwave radiation hole 32 has an elongated rectangular shape (slot shape), for example, as shown in FIG. And typically, the adjacent microwave radiation holes 32 are arranged in a “T” shape. Further, the microwave radiation holes 32 arranged in combination in a predetermined shape (for example, T shape) are further arranged concentrically as a whole.

マイクロ波放射孔32の長さや配列間隔は、マイクロ波の波長(λg)に応じて決定される。例えば、マイクロ波放射孔32の間隔は、λg/4、λg/2またはλgとなるように配置される。なお、図3においては、同心円状に形成された隣接するマイクロ波放射孔32どうしの間隔をΔrで示している。なお、マイクロ波放射孔32の形状は、円形状、円弧状等の他の形状であってもよい。さらに、マイクロ波放射孔32の配置形態は特に限定されず、同心円状のほか、例えば、螺旋状、放射状等に配置することもできる。   The length and arrangement interval of the microwave radiation holes 32 are determined according to the wavelength (λg) of the microwave. For example, the interval between the microwave radiation holes 32 is arranged to be λg / 4, λg / 2, or λg. In FIG. 3, the interval between adjacent microwave radiation holes 32 formed concentrically is indicated by Δr. Note that the microwave radiation hole 32 may have another shape such as a circular shape or an arc shape. Furthermore, the arrangement form of the microwave radiation holes 32 is not particularly limited, and may be arranged in a spiral shape, a radial shape, or the like in addition to a concentric shape.

平面アンテナ31の上面には、真空よりも大きい誘電率を有する遅波材33が設けられている。この遅波材33は、真空中ではマイクロ波の波長が長くなることから、マイクロ波の波長を短く調整する機能を有し、マイクロ波を効率良くマイクロ波放射孔32からチャンバ1内へ導入できるように構成されている。遅波材33の材質としては、例えば石英、ポリテトラフルオロエチレン樹脂、ポリイミド樹脂などを用いることができる。   A slow wave material 33 having a dielectric constant larger than that of a vacuum is provided on the upper surface of the planar antenna 31. The slow wave material 33 has a function of adjusting the wavelength of the microwave to be short since the wavelength of the microwave is increased in a vacuum, and the microwave can be efficiently introduced into the chamber 1 from the microwave radiation hole 32. It is configured as follows. As the material of the slow wave material 33, for example, quartz, polytetrafluoroethylene resin, polyimide resin or the like can be used.

なお、平面アンテナ31と透過板28との間、また、遅波材33と平面アンテナ31との間は、それぞれ接触させても離間させてもよいが、マイクロ波を効率よくチャンバ1内へ導入する上で、接触させることが好ましい。   Note that the microwave can be efficiently introduced into the chamber 1, although the planar antenna 31 and the transmission plate 28 and the slow wave material 33 and the planar antenna 31 may be in contact with each other or separated from each other. In doing so, it is preferable to contact them.

チャンバ1の上部には、これら平面アンテナ31および遅波材33を覆うように、カバー34が設けられ、平面アンテナ31と偏平導波路を構成する。カバー34は、例えばアルミニウムやステンレス鋼等の金属材料によって形成されている。アッパープレート13の上端とカバー34とは、シール部材35によりシールされている。また、カバー34の内部には、冷却水流路34aが形成されている。この冷却水流路34aに冷却水を通流させることにより、カバー34、遅波材33、平面アンテナ31および透過板28を冷却できるようになっている。なお、カバー34は接地されている。   A cover 34 is provided on the upper portion of the chamber 1 so as to cover the planar antenna 31 and the slow wave material 33, and constitutes a planar waveguide with the planar antenna 31. The cover 34 is made of a metal material such as aluminum or stainless steel. The upper end of the upper plate 13 and the cover 34 are sealed by a seal member 35. A cooling water channel 34 a is formed inside the cover 34. By allowing the cooling water to flow through the cooling water flow path 34a, the cover 34, the slow wave material 33, the planar antenna 31 and the transmission plate 28 can be cooled. The cover 34 is grounded.

カバー34の上壁(天井部)の中央には、開口部36が形成されており、この開口部36には導波管37が接続されている。導波管37の他端側には、マッチング回路38を介してマイクロ波を発生するマイクロ波発生装置39が接続されている。   An opening 36 is formed at the center of the upper wall (ceiling) of the cover 34, and a waveguide 37 is connected to the opening 36. A microwave generator 39 that generates microwaves is connected to the other end of the waveguide 37 via a matching circuit 38.

導波管37は、上記カバー34の開口部36から上方へ延出する断面円形状の同軸導波管37aと、この同軸導波管37aの上端部にモード変換器40を介して接続された水平方向に延びる矩形導波管37bとを有している。モード変換器40は、矩形導波管37b内をTEモードで伝播するマイクロ波をTEMモードに変換する機能を有している。   The waveguide 37 is connected to a coaxial waveguide 37a having a circular cross section extending upward from the opening 36 of the cover 34, and an upper end portion of the coaxial waveguide 37a via a mode converter 40. And a rectangular waveguide 37b extending in the horizontal direction. The mode converter 40 has a function of converting the microwave propagating in the TE mode in the rectangular waveguide 37b into the TEM mode.

同軸導波管37aの中心には内導体41が延在している。この内導体41は、その下端部において平面アンテナ31の中心に接続固定されている。このような構造により、マイクロ波は、同軸導波管37aの内導体41を介して平面アンテナ31へ放射状に効率よく均一に伝播される。   An inner conductor 41 extends at the center of the coaxial waveguide 37a. The inner conductor 41 is connected and fixed to the center of the planar antenna 31 at its lower end. With such a structure, the microwave is efficiently and uniformly propagated radially and uniformly to the planar antenna 31 via the inner conductor 41 of the coaxial waveguide 37a.

以上のような構成のマイクロ波導入機構27により、マイクロ波発生装置39で発生したマイクロ波が導波管37を介して平面アンテナ31へ伝搬され、さらに透過板28を介してチャンバ1内に導入されるようになっている。なお、マイクロ波の周波数としては、例えば2.45GHzが好ましく用いられ、他に8.35GHz、1.98GHz等を用いることもできる。   With the microwave introduction mechanism 27 configured as described above, the microwave generated by the microwave generator 39 is propagated to the planar antenna 31 via the waveguide 37 and further introduced into the chamber 1 via the transmission plate 28. It has come to be. For example, 2.45 GHz is preferably used as the frequency of the microwave, and 8.35 GHz, 1.98 GHz, or the like can also be used.

プラズマ処理装置100の各構成部は、制御部50に接続されて制御される構成となっている。制御部50は、コンピュータを有しており、例えば図4に示したように、CPUを備えたプロセスコントローラ51と、このプロセスコントローラ51に接続されたユーザーインターフェース52および記憶部53を備えている。プロセスコントローラ51は、プラズマ処理装置100において、例えば温度、圧力、ガス流量、マイクロ波出力などのプロセス条件に関係する各構成部(例えば、ヒータ電源5a、ガス供給機構18、排気装置24、マイクロ波発生装置39など)を統括して制御する制御手段である。   Each component of the plasma processing apparatus 100 is connected to and controlled by the controller 50. The control unit 50 includes a computer, and includes a process controller 51 having a CPU, a user interface 52 connected to the process controller 51, and a storage unit 53, as shown in FIG. In the plasma processing apparatus 100, the process controller 51 is a component related to process conditions such as temperature, pressure, gas flow rate, and microwave output (for example, the heater power supply 5a, the gas supply mechanism 18, the exhaust device 24, the microwave). This is a control means for controlling the generator 39 and the like in an integrated manner.

ユーザーインターフェース52は、工程管理者がプラズマ処理装置100を管理するためにコマンドの入力操作等を行うキーボードや、プラズマ処理装置100の稼働状況を可視化して表示するディスプレイ等を有している。また、記憶部53には、プラズマ処理装置100で実行される各種処理をプロセスコントローラ51の制御にて実現するための制御プログラム(ソフトウエア)や処理条件データ等が記録されたレシピが保存されている。   The user interface 52 includes a keyboard on which a process manager manages command input to manage the plasma processing apparatus 100, a display that visualizes and displays the operating status of the plasma processing apparatus 100, and the like. The storage unit 53 stores a recipe in which a control program (software) for realizing various processes executed by the plasma processing apparatus 100 under the control of the process controller 51 and processing condition data are recorded. Yes.

そして、必要に応じて、ユーザーインターフェース52からの指示等にて任意のレシピを記憶部53から呼び出してプロセスコントローラ51に実行させることで、プロセスコントローラ51の制御下、プラズマ処理装置100のチャンバ1内で所望の処理が行われる。また、前記制御プログラムや処理条件データ等のレシピは、コンピュータ読み取り可能な記憶媒体、例えばCD−ROM、ハードディスク、フレキシブルディスク、フラッシュメモリ、DVD、ブルーレイディスクなどに格納された状態のものを利用したり、あるいは、他の装置から、例えば専用回線を介して随時伝送させてオンラインで利用したりすることも可能である。   If necessary, an arbitrary recipe is called from the storage unit 53 according to an instruction from the user interface 52 and is executed by the process controller 51, so that the process controller 51 controls the inside of the chamber 1 of the plasma processing apparatus 100. The desired process is performed. The recipes such as the control program and processing condition data may be stored in a computer-readable storage medium such as a CD-ROM, a hard disk, a flexible disk, a flash memory, a DVD, or a Blu-ray disk. Alternatively, it may be transmitted from other devices as needed via, for example, a dedicated line and used online.

このように構成されたプラズマ処理装置100では、600℃以下、好ましくは500℃以下の低温で下地膜等へのダメージフリーでサーマルバジェットの少ないプラズマ処理を行うことができる。また、プラズマ処理装置100は、プラズマの均一性に優れていることから、大口径のウエハWに対しても、その面内で処理の均一性を実現できる。   In the plasma processing apparatus 100 configured as described above, plasma processing with less thermal budget can be performed at a low temperature of 600 ° C. or lower, preferably 500 ° C. or lower, free of damage to the underlying film or the like. In addition, since the plasma processing apparatus 100 is excellent in plasma uniformity, it is possible to achieve processing uniformity within a plane even for a large-diameter wafer W.

図5に、プロセスモジュール101b,101cとして適用可能な枚葉CVD成膜装置300の概略の構成例を示した。この枚葉CVD成膜装置300は、気密に構成された略円筒状の処理容器301を有している。処理容器301の中には被処理体であるウエハWを水平に支持するためのサセプタ303が配備されている。サセプタ303は、円筒状の支持部材305により支持されている。また、サセプタ303には,ヒータ307が埋め込まれている。このヒータ307はヒータ電源309から給電されることにより、ウエハWを所定の温度に加熱する。   FIG. 5 shows a schematic configuration example of a single wafer CVD film forming apparatus 300 applicable as the process modules 101b and 101c. The single wafer CVD film forming apparatus 300 includes a substantially cylindrical processing container 301 that is airtight. In the processing container 301, a susceptor 303 for horizontally supporting a wafer W as an object to be processed is provided. The susceptor 303 is supported by a cylindrical support member 305. A heater 307 is embedded in the susceptor 303. The heater 307 is supplied with power from the heater power source 309 to heat the wafer W to a predetermined temperature.

処理容器301の開閉天壁301aには、シャワーヘッド311が設けられている。このシャワーヘッド311は、内部にガス拡散空間311aを有している。また、シャワーヘッド311の下面には、ガス拡散空間311aに連通する多数のガス吐出孔313が形成されている。また、シャワーヘッド311の中央部には、ガス拡散空間311aに連通するガス供給配管315が接続されている。このガス供給配管315は、マスフローコントローラ(MFC)317と、その前後に配備されたバルブ318a,318bを介して、例えばジクロルシラン、一酸化二窒素(NO)などの成膜原料ガスや処理容器301内の雰囲気置換をするためのパージガスなどを供給するガス供給源319に接続されている。そして、ガス供給源319から、ガス供給配管315、マスフローコントローラ317を介して、前記成膜原料ガスなどがシャワーヘッド311へ供給される。 A shower head 311 is provided on the open / close top wall 301 a of the processing container 301. The shower head 311 has a gas diffusion space 311a inside. In addition, a large number of gas discharge holes 313 communicating with the gas diffusion space 311 a are formed on the lower surface of the shower head 311. A gas supply pipe 315 communicating with the gas diffusion space 311a is connected to the center of the shower head 311. This gas supply pipe 315 is provided with a film forming source gas such as dichlorosilane, dinitrogen monoxide (N 2 O), or a processing container via a mass flow controller (MFC) 317 and valves 318a and 318b arranged before and after the mass flow controller (MFC) 317. It is connected to a gas supply source 319 for supplying a purge gas for replacing the atmosphere in 301. Then, the film forming source gas and the like are supplied from the gas supply source 319 to the shower head 311 via the gas supply pipe 315 and the mass flow controller 317.

処理容器301の底壁301bには、排気孔331が形成されており、この排気孔331には排気管333を介して排気装置335が接続されている。そしてこの排気装置335を作動させることにより処理容器301内を所定の真空度まで減圧できるように構成されている。なお、シャワーヘッド311に、図示しない高周波電源から高周波電力を供給することにより、シャワーヘッド311を介して処理容器301内に供給された原料ガスをプラズマ化して成膜することもできる。   An exhaust hole 331 is formed in the bottom wall 301 b of the processing container 301, and an exhaust device 335 is connected to the exhaust hole 331 through an exhaust pipe 333. The exhaust device 335 is operated so that the inside of the processing vessel 301 can be depressurized to a predetermined vacuum level. Note that, by supplying high frequency power from a high frequency power source (not shown) to the shower head 311, the source gas supplied into the processing container 301 through the shower head 311 can be converted into plasma to form a film.

また、処理容器301の側壁301cには、ウエハWを搬入、搬出するための搬入出口337が設けられており、この搬入出口337を介してウエハWの搬入出が行われる。搬入出口337は、ゲートバルブG1によって開閉される。   Further, a loading / unloading port 337 for loading / unloading the wafer W is provided on the side wall 301 c of the processing container 301, and the wafer W is loaded / unloaded through the loading / unloading port 337. The loading / unloading port 337 is opened and closed by the gate valve G1.

以上のような構成の枚葉CVD成膜装置300では、サセプタ303にウエハWを載置した状態で、ヒータ307によりウエハWを加熱しつつ、シャワーヘッド311からウエハWへ向けて原料ガスを供給することにより、ウエハWの表面に例えばSiO膜の薄膜をCVD法により成膜することができる。 In the single wafer CVD film forming apparatus 300 configured as described above, the source gas is supplied from the shower head 311 toward the wafer W while the wafer W is heated by the heater 307 while the wafer W is placed on the susceptor 303. As a result, a thin film of, for example, a SiO 2 film can be formed on the surface of the wafer W by the CVD method.

以上の構成を有する枚葉CVD成膜装置300も、制御部50(図4参照)によって制御される。なお、CVD成膜装置としては、枚葉式に限らず、バッチ式のLP(Low Pressure)CVD成膜装置を使用することも可能である。   The single wafer CVD film forming apparatus 300 having the above configuration is also controlled by the control unit 50 (see FIG. 4). The CVD film forming apparatus is not limited to a single wafer type, and a batch type LP (Low Pressure) CVD film forming apparatus can also be used.

次に、基板処理システム200において行われる、プラズマ酸化処理、プラズマ改質処理を含む絶縁膜の形成方法について図6および図7を参照しながら説明する。図6は、シリコンをプラズマ酸化処理して第1の絶縁膜を形成する工程と、この第1の絶縁膜の上に第2の絶縁膜を成膜する工程と、この第2の絶縁膜を改質する工程と、を含む絶縁膜の形成方法の流れを示すフロー図であり、図7は、その主要な工程を説明する図面である。   Next, a method for forming an insulating film including a plasma oxidation process and a plasma modification process performed in the substrate processing system 200 will be described with reference to FIGS. FIG. 6 shows a step of plasma-oxidizing silicon to form a first insulating film, a step of forming a second insulating film on the first insulating film, and a step of forming the second insulating film. FIG. 7 is a flow diagram showing a flow of a method for forming an insulating film including a step of modifying, and FIG.

本実施の形態の絶縁膜の形成方法は、例えば図6に示したステップS1からステップS7の手順により実施される。まず、図6のステップS1では、真空側搬送室103内の搬送装置109により、処理対象のウエハWを前記の手順でプラズマ処理装置100(プロセスモジュール101a)に搬入する。次に、ステップS2では、図7(a)に示したように、ウエハWの表面に露出したシリコン層201に対してプラズマ酸化処理を行う。このプラズマ酸化処理によって、図7(b)に示したように、シリコン層201の表面を酸化して所定膜厚で第1の絶縁膜としての酸化珪素膜202を形成する。プラズマ酸化処理は、以下に示す手順および条件で実施される。   The insulating film forming method of the present embodiment is performed by the procedure from step S1 to step S7 shown in FIG. 6, for example. First, in step S1 of FIG. 6, the wafer W to be processed is loaded into the plasma processing apparatus 100 (process module 101a) by the transfer apparatus 109 in the vacuum-side transfer chamber 103 according to the above procedure. Next, in step S2, a plasma oxidation process is performed on the silicon layer 201 exposed on the surface of the wafer W as shown in FIG. By this plasma oxidation treatment, as shown in FIG. 7B, the surface of the silicon layer 201 is oxidized to form a silicon oxide film 202 as a first insulating film with a predetermined thickness. The plasma oxidation treatment is performed according to the following procedure and conditions.

[プラズマ酸化処理の手順]
まず、プラズマ処理装置100のチャンバ1内を減圧排気しながら、ガス供給機構18の不活性ガス供給源19aおよび酸素含有ガス供給源19bから、希ガスおよび酸素含有ガスを所定の流量でそれぞれガス導入部15を介してチャンバ1内に導入する。このようにして、チャンバ1内を所定の圧力に調節する。
[Plasma oxidation treatment procedure]
First, while evacuating the chamber 1 of the plasma processing apparatus 100 under reduced pressure, the inert gas supply source 19a and the oxygen-containing gas supply source 19b of the gas supply mechanism 18 are respectively introduced with a rare gas and an oxygen-containing gas at a predetermined flow rate. It is introduced into the chamber 1 through the part 15. In this way, the inside of the chamber 1 is adjusted to a predetermined pressure.

次に、マイクロ波発生装置39で発生させた所定周波数例えば2.45GHzのマイクロ波を、マッチング回路38を介して導波管37に導く。導波管37に導かれたマイクロ波は、矩形導波管37bおよび同軸導波管37aを順次通過し、内導体41を介して平面アンテナ31に供給される。つまり、マイクロ波は、矩形導波管37b内ではTEモードで伝搬し、このTEモードのマイクロ波はモード変換器40でTEMモードに変換されて、同軸導波管37a内を平面アンテナ31に向けて伝搬されていく。そして、マイクロ波は、平面アンテナ31に貫通形成されたスロット状のマイクロ波放射孔32から透過板28を介してチャンバ1内におけるウエハWの上方空間に放射される。この際のマイクロ波出力は、例えば200mm径以上のウエハWを処理する場合には、2000W以上5000W以下の範囲内から目的に応じて選択することができる。   Next, a microwave having a predetermined frequency, for example, 2.45 GHz, generated by the microwave generator 39 is guided to the waveguide 37 via the matching circuit 38. The microwave guided to the waveguide 37 sequentially passes through the rectangular waveguide 37 b and the coaxial waveguide 37 a and is supplied to the planar antenna 31 through the inner conductor 41. That is, the microwave propagates in the TE mode in the rectangular waveguide 37b, and the TE mode microwave is converted into the TEM mode by the mode converter 40, and the inside of the coaxial waveguide 37a is directed to the planar antenna 31. Will be propagated. Then, the microwave is radiated from the slot-shaped microwave radiation hole 32 formed through the planar antenna 31 to the space above the wafer W in the chamber 1 through the transmission plate 28. The microwave output at this time can be selected according to the purpose from the range of 2000 W or more and 5000 W or less, for example, when processing a wafer W having a diameter of 200 mm or more.

平面アンテナ31から透過板28を経てチャンバ1に放射されたマイクロ波により、チャンバ1内で電磁界が形成され、不活性ガスおよび酸素含有ガスがそれぞれプラズマ化する。このマイクロ波励起プラズマは、マイクロ波が平面アンテナ31の多数のマイクロ波放射孔32から放射されることにより、略1×1010〜5×1012/cmの高密度で、かつウエハW近傍では、略1.1eV以下の低電子温度プラズマとなる。このようにして形成されるマイクロ波励起高密度プラズマは、プラズマ中の活性種として例えばO()ラジカルやO イオンが主体のプラズマであり、該プラズマの作用によりウエハW表面のシリコン層201が酸化されてイオン等によるプラズマダメージが少ない酸化珪素膜202が形成される。 An electromagnetic field is formed in the chamber 1 by the microwave radiated from the planar antenna 31 through the transmission plate 28 to the chamber 1, and the inert gas and the oxygen-containing gas are turned into plasma, respectively. The microwave-excited plasma has a high density of about 1 × 10 10 to 5 × 10 12 / cm 3 and a vicinity of the wafer W when microwaves are radiated from a large number of microwave radiation holes 32 of the planar antenna 31. Then, it becomes a low electron temperature plasma of about 1.1 eV or less. The microwave-excited high-density plasma formed in this way is a plasma mainly composed of, for example, O ( 1 D 2 ) radicals and O 2 + ions as active species in the plasma. The silicon layer 201 is oxidized to form a silicon oxide film 202 with little plasma damage caused by ions or the like.

[プラズマ酸化処理条件]
プラズマ酸化処理の処理ガスとしては、希ガスと酸素含有ガスとを含むガスを用いることが好ましい。希ガスとしてはArガスを、酸素含有ガスとしてはOガスを用いることが好ましい。この場合、全処理ガスに対するOガスの体積流量比率(Oガス流量/全処理ガス流量の百分率)は、プラズマ中の活性種としてO イオンおよびO()ラジカルを優勢にする観点から、0.1%以上30%以下の範囲内とすることが好ましく、0.5%以上3%以下の範囲内とすることがより好ましい。例えば200mm径以上のウエハWを処理する場合には、Arガスの流量は50mL/min(sccm)以上5000mL/min(sccm)以下の範囲内、Oガスの流量は0.05mL/min(sccm)以上1000mL/min(sccm)以下の範囲内から、上記流量比になるように設定することができる。
[Plasma oxidation treatment conditions]
As a processing gas for the plasma oxidation treatment, it is preferable to use a gas containing a rare gas and an oxygen-containing gas. It is preferable to use Ar gas as the rare gas and O 2 gas as the oxygen-containing gas. In this case, the volumetric flow ratio of O 2 gas to the total process gas (O 2 gas flow rate / total process gas flow rate percentage of) is, O 2 + ions and O (1 D 2) radicals and predominantly as the active species in the plasma In view of this, it is preferable to be within the range of 0.1% to 30%, and more preferably within the range of 0.5% to 3%. For example, when processing a wafer W having a diameter of 200 mm or more, the flow rate of Ar gas is in the range of 50 mL / min (sccm) to 5000 mL / min (sccm), and the flow rate of O 2 gas is 0.05 mL / min (sccm). ) The flow rate ratio can be set within the range of 1000 mL / min (sccm) or less.

また、処理圧力は、プラズマ中の活性種としてO イオンおよびO()ラジカルを優勢にする観点から、6.7Pa以上267Pa以下の範囲内が好ましく、6.7Pa以上67Pa以下の範囲内がより好ましい。 The treatment pressure is preferably in the range of 6.7 Pa to 267 Pa, and preferably 6.7 Pa to 67 Pa from the viewpoint of predominating O 2 + ions and O ( 1 D 2 ) radicals as active species in the plasma. Within the range is more preferable.

また、マイクロ波のパワー密度は、プラズマ中の活性種としてのO イオンおよびO()ラジカルを効率よく発生させる観点から、0.5W/cm以上3W/cm以下の範囲内とすることが可能であり、0.51W/cm以上2.56W/cm以下の範囲内とすることが好ましい。なお、マイクロ波のパワー密度は、透過板28の面積1cmあたりに供給されるマイクロ波パワーを意味する(以下、同様である)。例えば200mm径以上のウエハWを処理する場合には、マイクロ波パワーを1000W以上5000W以下の範囲内とすることが好ましい。 The microwave power density is in the range of 0.5 W / cm 2 or more and 3 W / cm 2 or less from the viewpoint of efficiently generating O 2 + ions and O ( 1 D 2 ) radicals as active species in the plasma. It is possible to be within the range of 0.51 W / cm 2 or more and 2.56 W / cm 2 or less. The microwave power density means the microwave power supplied per 1 cm 2 area of the transmission plate 28 (the same applies hereinafter). For example, when processing a wafer W having a diameter of 200 mm or more, it is preferable that the microwave power is in the range of 1000 W to 5000 W.

また、ウエハWの加熱温度は、載置台2の温度として、例えば200℃以上600℃以下の範囲内とすることが好ましく、400℃以上600℃以下の範囲内に設定することがより好ましい。   Further, the heating temperature of the wafer W is preferably set, for example, in the range of 200 ° C. or more and 600 ° C. or less, and more preferably in the range of 400 ° C. or more and 600 ° C. or less as the temperature of the mounting table 2.

プラズマ酸化処理によってウエハWのシリコン層201に形成される酸化珪素膜202の膜厚Tは、シリコン層201と酸化珪素膜202の界面の平坦性を高める観点から、3nm以上10nm以下の範囲内が好ましく、4nm以上8nm以下の範囲内がより好ましい。 Thickness T 1 of the silicon oxide film 202 is formed on the silicon layer 201 of the wafer W by the plasma oxidation treatment, in view of enhancing the flatness of the interface of the silicon layer 201 and the silicon oxide film 202, 3 nm or more 10nm within the following ranges Is preferable, and the range of 4 nm to 8 nm is more preferable.

以上の条件は、制御部50の記憶部53にレシピとして保存されている。そして、プロセスコントローラ51がそのレシピを読み出してプラズマ処理装置100の各構成部例えばガス供給機構18、排気装置24、マイクロ波発生装置39、ヒータ電源5aなどへ制御信号を送出することにより、所望の条件でプラズマ酸化処理が行われる。   The above conditions are stored as a recipe in the storage unit 53 of the control unit 50. The process controller 51 reads the recipe and sends a control signal to each component of the plasma processing apparatus 100, such as the gas supply mechanism 18, the exhaust device 24, the microwave generator 39, the heater power supply 5a, etc. Plasma oxidation treatment is performed under conditions.

次に、ステップS3では、真空側搬送室103内の搬送装置109により、酸化珪素膜が形成されたウエハWを枚葉CVD成膜装置300(プロセスモジュール101bまたは101c)に移送する。この移送は、真空側搬送室103内の搬送装置109によって真空状態のまま実施される。   Next, in step S3, the wafer W on which the silicon oxide film is formed is transferred to the single wafer CVD film forming apparatus 300 (process module 101b or 101c) by the transfer device 109 in the vacuum side transfer chamber 103. This transfer is performed in a vacuum state by the transfer device 109 in the vacuum side transfer chamber 103.

次に、ステップS4では、図7(c)に示したように、ウエハWの表面に形成された酸化珪素膜202の上に、CVD法による成膜処理を行う。これにより、図7(d)に示したように、酸化珪素膜202の上に重ねて第2の絶縁膜としての酸化珪素膜203が形成される。このCVD法として、基板処理システム200を使用する本実施の形態では熱CVD法を用いるが、例えばプラズマCVD法、減圧CVD法、常圧CVD法などの方法で成膜を行うことが可能である。なお、成膜方法は枚葉式でもバッチ式でもよい。   Next, in step S4, as shown in FIG. 7C, a film forming process by a CVD method is performed on the silicon oxide film 202 formed on the surface of the wafer W. As a result, as shown in FIG. 7D, a silicon oxide film 203 as a second insulating film is formed over the silicon oxide film 202. As this CVD method, a thermal CVD method is used in the present embodiment using the substrate processing system 200, but it is possible to form a film by a method such as a plasma CVD method, a low pressure CVD method, or an atmospheric pressure CVD method. . The film forming method may be a single wafer type or a batch type.

CVD法による成膜処理によってウエハWの酸化珪素膜202の上に積層形成される酸化珪素膜203の膜厚Tは、膜厚方向に対する改質効果を高くする観点から、3nm以上10nm以下の範囲内が好ましく、4nm以上8nm以下の範囲内がより好ましい。 Thickness T 2 of the silicon oxide film 203 is stacked on the silicon oxide film 202 of the wafer W by a film forming process by the CVD method, from the viewpoint of increasing the modification effect with respect to the film thickness direction, 3 nm or more 10nm following Within the range, the range of 4 nm or more and 8 nm or less is more preferable.

次に、ステップS5では、酸化珪素膜202および酸化珪素膜203が形成されたウエハWをプラズマ改質処理装置としてのプラズマ処理装置100(プロセスモジュール101d)に移送する。この移送は、真空側搬送室103内の搬送装置109によって真空状態のまま実施される。次に、ステップS6では、図7(e)に示したように、酸化珪素膜203に対してプラズマ改質処理を実施する。プラズマ処理装置100を用いて行われるプラズマ改質処理の手順は、上記プラズマ酸化処理の手順に準ずるので、ここでは説明を省略する。ステップS6のプラズマ改質処理の条件は、以下のとおりである。   Next, in step S5, the wafer W on which the silicon oxide film 202 and the silicon oxide film 203 are formed is transferred to a plasma processing apparatus 100 (process module 101d) as a plasma modification processing apparatus. This transfer is performed in a vacuum state by the transfer device 109 in the vacuum side transfer chamber 103. Next, in step S6, as shown in FIG. 7E, a plasma reforming process is performed on the silicon oxide film 203. Since the procedure of the plasma modification process performed using the plasma processing apparatus 100 is in accordance with the procedure of the plasma oxidation process, description thereof is omitted here. The conditions for the plasma reforming process in step S6 are as follows.

[プラズマ改質処理条件]
プラズマ改質処理の処理ガスとしては、希ガスと酸素含有ガスとを含むガスを用いることが好ましい。希ガスとしてはArガスを、酸素含有ガスとしてはOガスを、それぞれ使用することが好ましい。このとき、全処理ガスに対するOガスの体積流量比率(Oガス流量/全処理ガス流量の百分率)は、プラズマ中の活性種としてO イオンおよびO()ラジカルを優勢にする観点から、0.1%以上30%以下の範囲内とすることが好ましく、0.1%以上5%以下の範囲内とすることがより好ましい。例えば200mm径以上のウエハWを処理する場合には、Arガスの流量は500mL/min(sccm)以上5000mL/min(sccm)以下の範囲内、Oガスの流量は0.5mL/min(sccm)以上1000mL/min(sccm)以下の範囲内から、上記流量比になるように設定することができる。
[Plasma reforming treatment conditions]
As a processing gas for the plasma reforming treatment, it is preferable to use a gas containing a rare gas and an oxygen-containing gas. It is preferable to use Ar gas as the rare gas and O 2 gas as the oxygen-containing gas. In this case, the volumetric flow ratio of O 2 gas to the total process gas (O 2 gas flow rate / total process gas flow rate percentage of) is, O 2 + ions and O (1 D 2) radicals and predominantly as the active species in the plasma In view of the above, it is preferable to be in the range of 0.1% to 30%, and more preferable to be in the range of 0.1% to 5%. For example, when processing a wafer W having a diameter of 200 mm or more, the flow rate of Ar gas is in the range of 500 mL / min (sccm) to 5000 mL / min (sccm), and the flow rate of O 2 gas is 0.5 mL / min (sccm). ) The flow rate ratio can be set within the range of 1000 mL / min (sccm) or less.

また、処理圧力は、プラズマ中の活性種としてO イオンおよびO()ラジカルを優勢にする観点から、6.7Pa以上267Pa以下の範囲内が好ましく、6.7Pa以上67Pa以下の範囲内がより好ましい。 The treatment pressure is preferably in the range of 6.7 Pa to 267 Pa, and preferably 6.7 Pa to 67 Pa from the viewpoint of predominating O 2 + ions and O ( 1 D 2 ) radicals as active species in the plasma. Within the range is more preferable.

また、マイクロ波のパワー密度は、プラズマ中の活性種としてのO イオンおよびO()ラジカルを効率よく発生させて、酸化珪素膜203中の欠陥を修復させる観点から、0.51W/cm以上2.56W/cm以下の範囲内とすることが好ましい。例えば200mm径以上のウエハWを処理する場合には、マイクロ波パワーを1000W以上5000W以下の範囲内とすることが好ましい。 Further, the power density of the microwave is 0. from the viewpoint of efficiently generating O 2 + ions and O ( 1 D 2 ) radicals as active species in the plasma and repairing defects in the silicon oxide film 203. It is preferable to be in the range of 51 W / cm 2 or more and 2.56 W / cm 2 or less. For example, when processing a wafer W having a diameter of 200 mm or more, it is preferable that the microwave power is in the range of 1000 W to 5000 W.

また、ウエハWの加熱温度は、載置台2の温度として、例えば200℃以上600℃以下の範囲内とすることが好ましく、400℃以上600℃以下の範囲内に設定することがより好ましい。   Further, the heating temperature of the wafer W is preferably set, for example, in the range of 200 ° C. or more and 600 ° C. or less, and more preferably in the range of 400 ° C. or more and 600 ° C. or less as the temperature of the mounting table 2.

以上の条件は、制御部50の記憶部53にレシピとして保存されている。そして、プロセスコントローラ51がそのレシピを読み出してプラズマ処理装置100の各構成部例えばガス供給機構18、排気装置24、マイクロ波発生装置39、ヒータ電源5aなどへ制御信号を送出することにより、所望の条件でプラズマ改質処理が行われる。   The above conditions are stored as a recipe in the storage unit 53 of the control unit 50. The process controller 51 reads the recipe and sends a control signal to each component of the plasma processing apparatus 100, such as the gas supply mechanism 18, the exhaust device 24, the microwave generator 39, the heater power supply 5a, etc. Plasma reforming treatment is performed under conditions.

ステップS6のプラズマ改質処理が終了した後は、ステップS7で真空搬送室103内の搬送装置109により処理済のウエハWをプラズマ処理装置100(プロセスモジュール101d)から搬出し、前記手順でロードポートLPのウエハカセットCRに収納する。   After the plasma reforming process in step S6 is completed, the processed wafer W is unloaded from the plasma processing apparatus 100 (process module 101d) by the transfer apparatus 109 in the vacuum transfer chamber 103 in step S7, and the load port is processed according to the above procedure. Housed in LP wafer cassette CR.

以上のように、基板処理システム200では、プラズマ酸化処理法によるシリコンの酸化処理と、CVD法による酸化珪素膜203の成膜処理と、酸化珪素膜203の改質処理を真空下で連続的に実施することができる。なお、プロセスモジュール101aおよび101dにおいて、それぞれステップS2のプラズマ酸化処理とステップS6のプラズマ改質処理の両方の処理を行ってもよい。   As described above, in the substrate processing system 200, the silicon oxidation treatment by the plasma oxidation treatment method, the silicon oxide film 203 formation treatment by the CVD method, and the silicon oxide film 203 modification treatment are continuously performed under vacuum. Can be implemented. In the process modules 101a and 101d, both the plasma oxidation process in step S2 and the plasma modification process in step S6 may be performed.

[作用]
次に、基板処理システム200において実施される酸化珪素膜の形成方法の作用機構について、図8を参照しながら説明する。通常のCVD法によってシリコン層201の表面に成膜された酸化珪素膜203は、熱により堆積されたものである。成膜の初期には、まずシリコン表面が熱酸化されてシリコン酸化膜が形成される。しかし、シリコンには面方位があるため、図8(a)に示したように、シリコン層201との界面に微小な凹凸が形成され、ミクロ的に平坦性が悪い。また、酸化珪素膜203とシリコン層201との境界(SiO/Si界面)付近では、多くの欠陥が形成され、界面準位密度が大きくなる。その結果、キャリア(電子やホール)の移動度が低下して例えばトランジスタであればその動作速度が低下したり、リーク電流を増大させてデバイスの電気的性能を低下させたりしてしまう。
[Action]
Next, an operation mechanism of the silicon oxide film forming method performed in the substrate processing system 200 will be described with reference to FIG. A silicon oxide film 203 formed on the surface of the silicon layer 201 by a normal CVD method is deposited by heat. In the initial stage of film formation, the silicon surface is first thermally oxidized to form a silicon oxide film. However, since silicon has a plane orientation, fine irregularities are formed at the interface with the silicon layer 201 as shown in FIG. In addition, many defects are formed near the boundary (SiO 2 / Si interface) between the silicon oxide film 203 and the silicon layer 201, and the interface state density increases. As a result, the mobility of carriers (electrons and holes) decreases and, for example, in the case of a transistor, the operation speed decreases, or the leakage current increases and the electrical performance of the device decreases.

本実施の形態に係る絶縁膜の形成方法では、CVD処理に先立って、まず、シリコン層201の表面にプラズマ処理装置100を用いて、低圧、低酸素分圧でプラズマ酸化処理を施す。このように形成された酸化珪素膜202と、シリコン層201との境界(SiO/Si界面)は、図8(b)に示したように、極めて平坦に形成できる。このため、酸化珪素膜202とシリコン層201との境界(SiO/Si界面)付近では、欠陥が少なくなり、界面準位密度の増加が抑制される。そして、この酸化珪素膜202の上にCVD法によって酸化珪素膜203を所定の厚さで形成する。 In the method for forming an insulating film according to this embodiment, prior to the CVD process, first, a plasma oxidation process is performed on the surface of the silicon layer 201 using a plasma processing apparatus 100 at a low pressure and a low oxygen partial pressure. The boundary (SiO 2 / Si interface) between the silicon oxide film 202 thus formed and the silicon layer 201 can be formed extremely flat as shown in FIG. 8B. For this reason, defects are reduced near the boundary (SiO 2 / Si interface) between the silicon oxide film 202 and the silicon layer 201, and an increase in interface state density is suppressed. Then, a silicon oxide film 203 is formed on the silicon oxide film 202 with a predetermined thickness by a CVD method.

図9は、プラズマ改質処理によって酸化珪素膜内で生じる化学的な変化を模式的に示したものである。また、プラズマ処理装置100を用いて酸素を含む処理ガスのプラズマを生成する場合、処理圧力によってプラズマ中の酸化活性種が変化する。具体的には、プラズマ中のO イオンやO()ラジカルは、低い圧力条件(267Pa以下、好ましくは6.7Pa以上267Pa以下、より好ましくは6.7Pa以上67Pa以下)で増加する。O イオンやO()ラジカルは、改質処理の対象である酸化珪素膜を透過する能力が低い。このため、これらの活性種がプラズマ中で支配的となるプラズマ生成条件でプラズマ改質処理を行うと、図9に示したように、O イオンやO()ラジカルが酸化珪素膜203中に入り込んでSiのダングリングボンドとの結合を形成する。この際、酸化珪素膜203中に含まれていたCVD法における成膜原料由来のCl、H、OHなどの不安定な不純物は、O イオンやO()ラジカルと置換されて膜外へ排出される。このような機構によって、低い圧力条件でプラズマ改質処理を行うことにより、酸化珪素膜203の膜質が緻密になり、不純物やダングリングボンドが少ない良質な膜に改質される。 FIG. 9 schematically shows a chemical change caused in the silicon oxide film by the plasma modification process. Further, when plasma of a processing gas containing oxygen is generated using the plasma processing apparatus 100, the oxidation active species in the plasma changes depending on the processing pressure. Specifically, O 2 + ions and O ( 1 D 2 ) radicals in plasma increase under low pressure conditions (267 Pa or less, preferably 6.7 Pa to 267 Pa, more preferably 6.7 Pa to 67 Pa). To do. O 2 + ions and O ( 1 D 2 ) radicals have low ability to permeate the silicon oxide film that is the target of the modification treatment. For this reason, when the plasma reforming process is performed under the plasma generation conditions in which these active species are dominant in the plasma, as shown in FIG. 9, O 2 + ions and O ( 1 D 2 ) radicals are converted into silicon oxide. It penetrates into the film 203 and forms a bond with Si dangling bonds. At this time, unstable impurities such as Cl, H, and OH derived from the film forming raw material in the CVD method contained in the silicon oxide film 203 are replaced with O 2 + ions or O ( 1 D 2 ) radicals. It is discharged out of the membrane. By such a mechanism, by performing plasma reforming treatment under low pressure conditions, the film quality of the silicon oxide film 203 becomes dense, and the film is modified to a high-quality film with few impurities and dangling bonds.

一方、高い圧力条件(例えば333Pa以上)ではプラズマ中の活性種としてO イオンやO()ラジカルは減少し、替わりにO()ラジカルが主体となる。このO()ラジカルは、酸化珪素膜203を透過する性質を有しているため、O()ラジカルが支配的となるプラズマ生成条件では、O イオンやO()ラジカルが主体のプラズマのような優れた改質効果は得られない。 On the other hand, under high pressure conditions (for example, 333 Pa or more), O 2 + ions and O ( 1 D 2 ) radicals decrease as active species in the plasma, and O ( 3 P 2 ) radicals are mainly used instead. Since this O ( 3 P 2 ) radical has a property of passing through the silicon oxide film 203, O 2 + ions and O ( 1 ) are generated under plasma generation conditions in which the O ( 3 P 2 ) radical is dominant. D 2 ) An excellent reforming effect such as plasma mainly composed of radicals cannot be obtained.

本実施の形態の絶縁膜の形成方法では、上記のような処理圧力によるプラズマ中の活性種の変化に着目し、O イオンやO()ラジカルが支配的となる低い圧力条件(267Pa以下)を選択して酸化珪素膜203に対してプラズマ改質処理を行うことによって、酸化珪素膜203に対して高い改質効果を得ることができた。 In the method for forming an insulating film according to this embodiment, attention is paid to the change of active species in plasma due to the above processing pressure, and low pressure conditions in which O 2 + ions and O ( 1 D 2 ) radicals are dominant. By selecting (267 Pa or less) and performing a plasma modification process on the silicon oxide film 203, a high modification effect on the silicon oxide film 203 could be obtained.

このように、シリコンをプラズマ酸化処理してシリコンとの界面が極めて平坦になるように酸化珪素膜を形成し、この酸化珪素膜の上にCVD法により絶縁膜を成膜し、この絶縁膜を、酸素を含むプラズマで改質することにより、SiO/Si界面での界面準位密度が小さく、かつ緻密で不純物やダングリングボンドが少ない膜質に改善できる。従って、SiO/Si界面を移動するキャリアの移動度の低下が抑制され、デバイスの電気的性能が改善された良質な絶縁膜(酸化珪素膜202および酸化珪素膜203)を形成することができる。この絶縁膜は、例えば、薄膜トランジスタ(TFT)素子のゲート絶縁膜等としての用途に用いられ、特に、5nm以上1000nm以下の範囲内、好ましくは8nm以上100nm以下の範囲内で有利に利用できる。 In this way, silicon is plasma-oxidized to form a silicon oxide film so that the interface with silicon is extremely flat, and an insulating film is formed on the silicon oxide film by a CVD method. By reforming with plasma containing oxygen, it is possible to improve the film quality so that the interface state density at the SiO 2 / Si interface is small and dense and has few impurities and dangling bonds. Accordingly, it is possible to form high-quality insulating films (silicon oxide film 202 and silicon oxide film 203) in which the decrease in the mobility of carriers moving through the SiO 2 / Si interface is suppressed and the electrical performance of the device is improved. . This insulating film is used, for example, as a gate insulating film of a thin film transistor (TFT) element, and can be advantageously used particularly in the range of 5 nm to 1000 nm, preferably in the range of 8 nm to 100 nm.

図10は、本実施の形態にかかる絶縁膜の形成方法を適用可能なTFT素子400の概略構成を示す断面図である。ガラス基板401上には、部分的に例えばポリシリコンからなるゲート電極402が形成されている。ゲート電極402の表面には、酸化珪素膜402aの薄膜が形成されている。そして、酸化珪素膜402aを含むゲート電極402およびガラス基板401の表面を覆うように二酸化珪素(SiO)からなるゲート絶縁膜403が形成されている。ゲート絶縁膜403上にはトランジスタを形成するためのSi系膜としてa−Si(アモルファスシリコン)膜404が形成されており、かつゲート電極402の上部にはチャネル部405が形成されている。a−Si膜404の上には、高融点金属材料例えばモリブデン、タングステンなどを含むソース電極406およびドレイン電極407が形成されている。ソース電極406およびドレイン電極407の上には、窒化ケイ素(Si)からなるパッシベーション膜408が形成され、TFT素子400の表面保護がなされている。 FIG. 10 is a cross-sectional view showing a schematic configuration of a TFT element 400 to which the insulating film forming method according to the present embodiment can be applied. On the glass substrate 401, a gate electrode 402 made of, for example, polysilicon is partially formed. A thin film of a silicon oxide film 402 a is formed on the surface of the gate electrode 402. A gate insulating film 403 made of silicon dioxide (SiO 2 ) is formed so as to cover the surface of the gate electrode 402 including the silicon oxide film 402 a and the glass substrate 401. An a-Si (amorphous silicon) film 404 is formed on the gate insulating film 403 as an Si-based film for forming a transistor, and a channel portion 405 is formed on the gate electrode 402. A source electrode 406 and a drain electrode 407 containing a refractory metal material such as molybdenum or tungsten are formed on the a-Si film 404. A passivation film 408 made of silicon nitride (Si 3 N 4 ) is formed on the source electrode 406 and the drain electrode 407 to protect the surface of the TFT element 400.

図10に示したような構成のTFT素子400に本実施形態の絶縁膜の形成方法を適用する場合には、ガラス基板401上にポリシリコンからなるゲート電極402をパターン形成した後、その表面を、プラズマ処理装置100を用いてプラズマ酸化処理し、ゲート電極402の表面部分に酸化珪素膜402aを形成しておく。次に、ゲート電極402およびガラス基板401の表面を覆うように、CVD法によりゲート絶縁膜403を形成する。さらに、プラズマ処理装置100を用いてゲート絶縁膜403をプラズマ改質処理することにより、緻密で不純物の少ない酸化珪素膜に改質する。以上の処理は、図6のステップS1〜ステップS7の手順に従って実施することができる。その後、常法に従い、成膜とエッチングを繰り返し、a−Si膜404の成膜とパターン形成、ソース電極406およびドレイン電極407の成膜とパターン形成、パッシベーション膜408の成膜ならびにITO電極(図示省略)の形成を行うことにより、TFT素子400を形成することができる。   In the case of applying the insulating film forming method of the present embodiment to the TFT element 400 having the configuration as shown in FIG. 10, after patterning the gate electrode 402 made of polysilicon on the glass substrate 401, the surface is formed. Plasma oxidation is performed using the plasma processing apparatus 100 to form a silicon oxide film 402 a on the surface portion of the gate electrode 402. Next, a gate insulating film 403 is formed by a CVD method so as to cover the surfaces of the gate electrode 402 and the glass substrate 401. Further, the gate insulating film 403 is subjected to plasma modification treatment by using the plasma processing apparatus 100, whereby the silicon oxide film is modified to be dense and low in impurities. The above processing can be performed according to the procedure of step S1 to step S7 in FIG. Thereafter, the film formation and etching are repeated in accordance with a conventional method, and the film formation and pattern formation of the a-Si film 404, the film formation and pattern formation of the source electrode 406 and the drain electrode 407, the film formation of the passivation film 408, and the ITO electrode (illustrated). The TFT element 400 can be formed by forming (omitted).

図10に例示したTFT素子400では、ゲート電極402の表面部分を、プラズマ処理装置100を用いてプラズマ酸化処理し、酸化珪素膜402aを形成しておくことにより、ゲート絶縁膜403が形成された後の絶縁膜(酸化珪素膜402aおよびゲート絶縁膜403)とゲート電極402(ポリシリコン)の界面を極めて平坦にすることができる。このため、絶縁膜(酸化珪素膜402aおよびゲート絶縁膜403)とゲート電極402との境界(SiO/ポリシリコン界面)付近は、欠陥が少なくなり、界面準位密度の増加が抑制される。したがって、界面を移動するキャリアの移動度の低下が抑制され、TFT素子400の電気的性能を改善することができる。 In the TFT element 400 illustrated in FIG. 10, the surface portion of the gate electrode 402 is subjected to plasma oxidation using the plasma processing apparatus 100 to form the silicon oxide film 402 a, thereby forming the gate insulating film 403. The interface between the subsequent insulating film (silicon oxide film 402a and gate insulating film 403) and the gate electrode 402 (polysilicon) can be made extremely flat. Therefore, defects near the boundary (SiO 2 / polysilicon interface) between the insulating film (silicon oxide film 402a and gate insulating film 403) and the gate electrode 402 are reduced, and an increase in interface state density is suppressed. Therefore, a decrease in mobility of carriers moving on the interface is suppressed, and the electrical performance of the TFT element 400 can be improved.

また、プラズマ処理装置100を用いて低い圧力条件でプラズマ改質処理を行うことにより、ゲート絶縁膜403の膜質が緻密になり、不純物やダングリングボンドが少ない良質な膜に改質することができる。なお、ゲート絶縁膜403は、プラズマCVD法によって成膜することが好ましい。   In addition, by performing plasma modification treatment under low pressure conditions using the plasma treatment apparatus 100, the film quality of the gate insulating film 403 becomes dense, and the film can be modified to a high-quality film with few impurities and dangling bonds. . Note that the gate insulating film 403 is preferably formed by a plasma CVD method.

次に、本発明の基礎となった実験データについて説明する。熱CVD法により成膜された酸化珪素膜に対して、図2に示したプラズマ処理装置100を用い、以下の条件1〜条件4でプラズマ改質処理を行った。改質後の酸化珪素膜について、膜厚の増加量、屈折率の増加量、0.125%の希フッ酸処理(30秒間)によるウエットエッチングレートを調べた。また、改質後の酸化珪素膜をゲート絶縁膜として用いてMOSキャパシタを製造し、その電気的な特性としてリーク電流密度(Jg;−10MV/cm)、絶縁膜経時破壊(TDDB;63%)、電子トラップの変化量(Δvge;11秒)について調べた。なお、比較のため、改質を行わない場合、アニールによって改質を行った場合、および熱酸化膜についても上記と同様の測定を行った。その結果を表1に示した。   Next, experimental data on which the present invention is based will be described. Plasma modification processing was performed on the silicon oxide film formed by the thermal CVD method under the following conditions 1 to 4 using the plasma processing apparatus 100 shown in FIG. With respect to the silicon oxide film after modification, the amount of increase in film thickness, the amount of increase in refractive index, and the wet etching rate by 0.125% dilute hydrofluoric acid treatment (30 seconds) were examined. Further, a MOS capacitor is manufactured using the modified silicon oxide film as a gate insulating film, and its electrical characteristics include leakage current density (Jg; −10 MV / cm), insulating film breakdown over time (TDDB; 63%) The amount of change of the electron trap (Δvge; 11 seconds) was examined. For comparison, the same measurement as described above was performed for the case where the modification was not performed, the case where the modification was performed by annealing, and the thermal oxide film. The results are shown in Table 1.

[改質条件1]
Arガス流量;1000mL/min(sccm)
ガス流量;300mL/min(sccm)
流量比(O/Ar+O);0.23
処理圧力;6.7Pa
載置台2の温度;500℃
マイクロ波パワー;4000W
マイクロ波パワー密度;2.05W/cm(透過板面積1cmあたり)
[Reforming condition 1]
Ar gas flow rate: 1000 mL / min (sccm)
O 2 gas flow rate; 300 mL / min (sccm)
Flow rate ratio (O 2 / Ar + O 2 ); 0.23
Processing pressure: 6.7 Pa
Temperature of mounting table 2; 500 ° C
Microwave power: 4000W
Microwave power density: 2.05 W / cm 2 (per transmission plate area 1 cm 2 )

[改質条件2]
Arガス流量;1980mL/min(sccm)
ガス流量;20mL/min(sccm)
流量比(O/Ar+O);0.01
処理圧力;200Pa
載置台2の温度;500℃
マイクロ波パワー;4000W
マイクロ波パワー密度;2.05W/cm(透過板面積1cmあたり)
[Reforming condition 2]
Ar gas flow rate: 1980 mL / min (sccm)
O 2 gas flow rate: 20 mL / min (sccm)
Flow rate ratio (O 2 / Ar + O 2 ); 0.01
Processing pressure: 200 Pa
Temperature of mounting table 2; 500 ° C
Microwave power: 4000W
Microwave power density: 2.05 W / cm 2 (per transmission plate area 1 cm 2 )

[改質条件3]
Arガス流量;1200mL/min(sccm)
ガス流量;400mL/min(sccm)
流量比(O/Ar+O);0.25
処理圧力;667Pa
載置台2の温度;500℃
マイクロ波パワー;4000W
マイクロ波パワー密度;2.05W/cm(透過板面積1cmあたり)
[Reforming condition 3]
Ar gas flow rate: 1200 mL / min (sccm)
O 2 gas flow rate: 400 mL / min (sccm)
Flow rate ratio (O 2 / Ar + O 2 ); 0.25
Processing pressure: 667 Pa
Temperature of mounting table 2; 500 ° C
Microwave power: 4000W
Microwave power density: 2.05 W / cm 2 (per transmission plate area 1 cm 2 )

[改質条件4]
Arガス流量;1200mL/min(sccm)
ガス流量;370mL/min(sccm)
ガス流量;30mL/min(sccm)
流量比(O/Ar+O+H);0.23
流量比(H/Ar+O+H);0.019
処理圧力;667Pa
載置台2の温度;500℃
マイクロ波パワー;4000W
マイクロ波パワー密度;2.05W/cm(透過板面積1cmあたり)
[Reforming condition 4]
Ar gas flow rate: 1200 mL / min (sccm)
O 2 gas flow rate: 370 mL / min (sccm)
H 2 gas flow rate: 30 mL / min (sccm)
Flow rate ratio (O 2 / Ar + O 2 + H 2 ); 0.23
Flow rate ratio (H 2 / Ar + O 2 + H 2 ); 0.019
Processing pressure: 667 Pa
Temperature of mounting table 2; 500 ° C
Microwave power: 4000W
Microwave power density: 2.05 W / cm 2 (per transmission plate area 1 cm 2 )

[アニール改質処理条件]
雰囲気;N/O=10/0.1L/min
温度;900℃
圧力;133Pa
[Annealing treatment conditions]
Atmosphere; N 2 / O 2 = 10 / 0.1 L / min
Temperature: 900 ° C
Pressure: 133Pa

[熱酸化膜形成条件]
雰囲気;H/O=450/900mL/min(sccm)
温度;950℃
圧力;15000Pa
[Thermal oxide film formation conditions]
Atmosphere; H 2 / O 2 = 450/900 mL / min (sccm)
Temperature: 950 ° C
Pressure: 15000Pa

[熱CVD成膜条件]
SiHClガス流量;75mL/min(sccm)
Oガス流量;150mL/min(sccm)
処理圧力;48Pa
処理温度;780℃
[Thermal CVD deposition conditions]
SiH 2 Cl 2 gas flow rate; 75 mL / min (sccm)
N 2 O gas flow rate; 150 mL / min (sccm)
Processing pressure: 48Pa
Processing temperature: 780 ° C

Figure 0005374748
Figure 0005374748

表1に示した物理分析の結果から、200Pa以下の低い条件1および条件2でプラズマ改質処理を行った場合には、屈折率が増加し、ウエットエッチングレートが減少している。これらのデータは、プラズマ改質処理によって酸化珪素膜の膜質が改善され、膜密度が上昇したことを示している。また、条件1、条件2のウエットエッチングレートをアニールによる改質処理と比較すると、条件1と条件2の方が熱アニール改質に比べてエッチングレートが少なく、改質効果がより高いことが示された。   From the results of physical analysis shown in Table 1, when the plasma modification treatment is performed under conditions 1 and 2 as low as 200 Pa or less, the refractive index increases and the wet etching rate decreases. These data indicate that the film quality of the silicon oxide film is improved by the plasma modification treatment, and the film density is increased. In addition, when the wet etching rates of conditions 1 and 2 are compared with the modification treatment by annealing, it is shown that conditions 1 and 2 have a lower etching rate and higher modification effect than thermal annealing modification. It was done.

また、条件4でプラズマ改質処理を行った場合には、屈折率の変化は見られず、ウエットエッチングレートもアニールによる改質処理とほぼ同等であった。つまり、膜質の改善効果については、条件4のプラズマ改質処理は、アニールによる改質処理と同様の結果であった。しかし、条件4でプラズマ改質処理を行った場合には、酸化珪素膜の膜厚の増加が顕著に見られた。これは、CVD法により成膜された酸化珪素膜と下地のシリコンとの界面がプラズマ中のO()ラジカルによって酸化され、増膜したものと考えられた。 In addition, when the plasma modification treatment was performed under condition 4, no change in refractive index was observed, and the wet etching rate was almost the same as the modification treatment by annealing. In other words, with respect to the effect of improving the film quality, the plasma modification treatment under condition 4 was the same result as the modification treatment by annealing. However, when the plasma modification treatment was performed under condition 4, the increase in the thickness of the silicon oxide film was noticeable. This was thought to be because the interface between the silicon oxide film formed by the CVD method and the underlying silicon was oxidized by O ( 3 P 2 ) radicals in the plasma to increase the film thickness.

以上の結果から、処理圧力が267Pa以下例えば6.7Pa以上267Pa以下のプラズマ改質処理では、CVD法により成膜された酸化珪素膜の膜質の改善効果がアニールによる改質処理よりも高いことが示された。一方、処理圧力が667Paと高い圧力条件でのプラズマ改質処理の場合には、CVD法により成膜された酸化珪素膜の膜質の改善効果はアニールによる改質処理と同等であるが、増膜作用があることが判明した。   From the above results, in the plasma reforming process at a processing pressure of 267 Pa or less, for example, 6.7 Pa or more and 267 Pa or less, the effect of improving the quality of the silicon oxide film formed by the CVD method is higher than the reforming process by annealing. Indicated. On the other hand, in the case of the plasma reforming process under a high pressure condition of 667 Pa, the effect of improving the quality of the silicon oxide film formed by the CVD method is equivalent to the reforming process by annealing. It was found to have an effect.

Figure 0005374748
Figure 0005374748

表2に示した電気的特性評価の結果では、処理圧力が200Pa以下と低い条件1および条件2でプラズマ改質処理を行った場合には、リーク電流密度(Jg)が処理圧力が667Paと高い条件3や、アニールによる改質処理に比べて大きく改善した。   According to the results of the electrical characteristic evaluation shown in Table 2, when the plasma reforming process is performed under conditions 1 and 2 where the processing pressure is as low as 200 Pa or less, the leakage current density (Jg) is as high as 667 Pa. Compared to Condition 3 and the modification process by annealing, the process was greatly improved.

図11に、条件1〜条件3のプラズマ改質処理の処理圧力とリーク電流との関係を示した。ここでは、アニール改質処理と熱酸化膜のリーク電流についても併せて掲載した。この図11から、処理圧力が267Pa以下例えば6.7Pa以上267Paであれば、リーク電流を2.1×10−4[A/cm]以下に抑えることが可能であることが読み取れる。従って、リーク電流特性の改善を目的とする場合には、プラズマ改質処理の処理圧力を267Pa以下にすることが好ましい。 FIG. 11 shows the relationship between the processing pressure and the leakage current in the plasma reforming processing under conditions 1 to 3. Here, the annealing modification treatment and the leakage current of the thermal oxide film are also shown. From FIG. 11, it can be seen that when the processing pressure is 267 Pa or less, for example, 6.7 Pa or more and 267 Pa, the leakage current can be suppressed to 2.1 × 10 −4 [A / cm 2 ] or less. Therefore, when the purpose is to improve the leakage current characteristics, it is preferable to set the processing pressure of the plasma reforming process to 267 Pa or less.

絶縁膜経時破壊(TDDB)は、条件1〜条件3でプラズマ改質処理を行った場合の方が、アニールによる改質処理に比べて大幅に改善されていた。特に、条件2によるプラズマ改質処理の場合には、熱酸化膜を超える非常に優れた信頼性を示した。   The dielectric breakdown over time (TDDB) was significantly improved when the plasma modification treatment was performed under conditions 1 to 3 as compared to the modification treatment by annealing. In particular, in the case of the plasma reforming treatment under condition 2, very excellent reliability exceeding the thermal oxide film was shown.

図12に、条件1〜条件3のプラズマ改質処理の処理圧力とTDDBとの関係を示した。ここでは、アニール改質処理と熱酸化膜のリーク電流についても併せて掲載した。この図12から、処理圧力が533Pa以下であれば、TDDBを33[C/cm]以上にできることがわかる。従って、TDDB特性の改善を目的とする場合には、プラズマ改質処理の処理圧力を533Pa以下例えば6.7Pa以上533Pa以下にすることが好ましく、400Pa以下例えば6.7Pa以上400Pa以下がより好ましく、267Pa以下例えば6.7Pa以上267Pa以下が望ましい。 FIG. 12 shows the relationship between the processing pressure of the plasma reforming treatment under conditions 1 to 3 and TDDB. Here, the annealing modification treatment and the leakage current of the thermal oxide film are also shown. From FIG. 12, it can be seen that if the treatment pressure is 533 Pa or less, the TDDB can be 33 [C / cm 2 ] or more. Therefore, when the purpose is to improve the TDDB characteristics, it is preferable to set the processing pressure of the plasma reforming treatment to 533 Pa or less, such as 6.7 Pa to 533 Pa, more preferably 400 Pa or less, such as 6.7 Pa to 400 Pa, 267 Pa or less, for example, 6.7 Pa or more and 267 Pa or less is desirable.

また、図13に、条件1〜条件3におけるO/(Ar+O)比とTDDBとの関係を示した。プラズマ改質処理では、図13に示したように、O/(Ar+O)比を0.23以下とすることにより、TDDB特性を効果的に改善でき、特にO/(Ar+O)比を0.1以下とすることで、熱酸化膜を超える高いTDDB特性が得られることが判明した。 FIG. 13 shows the relationship between the O 2 / (Ar + O 2 ) ratio and TDDB under conditions 1 to 3. In the plasma reforming treatment, as shown in FIG. 13, by setting the O 2 / (Ar + O 2 ) ratio to 0.23 or less, the TDDB characteristics can be effectively improved, and in particular, the O 2 / (Ar + O 2 ) ratio. It was found that by setting the ratio to 0.1 or less, high TDDB characteristics exceeding the thermal oxide film can be obtained.

電子トラップの変化量(Δvge)については、条件1および条件2でプラズマ改質処理を行った場合には、アニールによる改質処理に比べてほぼ半減しており、大きく改善した。条件3でプラズマ改質処理を行った場合にも、アニールによる改質処理に比べて若干電子トラップの変化量が減少しており改善された。また、プラズマ改質処理では、表2に示したように、O/(Ar+O)比を0.23以下とすることにより、Δvge特性を効果的に改善できることが判明した。 Regarding the amount of change (Δvge) of the electron trap, when the plasma reforming process was performed under conditions 1 and 2, it was almost halved compared to the reforming process by annealing, which was greatly improved. Even when the plasma reforming process was performed under condition 3, the amount of change in the electron trap was slightly reduced compared with the reforming process by annealing. Further, in the plasma reforming treatment, as shown in Table 2, it was found that the Δvge characteristic can be effectively improved by setting the O 2 / (Ar + O 2 ) ratio to 0.23 or less.

以上の結果から、267Pa以下の低い処理圧力で、かつ、O/Ar+O比が0.23以下の条件でプラズマ改質処理を行うことにより、酸化珪素膜を熱酸化膜と同等のレベルまで、欠陥が少なく、緻密で良質な膜質に改善できることが示された。また、このようにして改質された酸化珪素膜を用いることにより、デバイスの電気的特性を改善できることも確認された。 From the above results, the silicon oxide film is brought to a level equivalent to that of the thermal oxide film by performing the plasma reforming process at a low processing pressure of 267 Pa or less and an O 2 / Ar + O 2 ratio of 0.23 or less. It has been shown that the film quality can be improved to a dense and high-quality film with few defects. It was also confirmed that the electrical characteristics of the device can be improved by using the silicon oxide film thus modified.

次に、プラズマ改質処理によって、CVD法により成膜された酸化珪素膜中に残留する塩素(原料のSiHCl由来)の量がどのように変化するか検討を行った。酸化珪素膜中の残留塩素量は、TXRF(全反射蛍光X線;Total reflection X−ray Fluorescence)分析によって測定した。その結果を表3に示した。 Next, it was examined how the amount of chlorine (derived from the raw material SiH 2 Cl 2 ) remaining in the silicon oxide film formed by the CVD method changes due to the plasma modification treatment. The amount of residual chlorine in the silicon oxide film was measured by TXRF (total reflection X-ray Fluorescence) analysis. The results are shown in Table 3.

Figure 0005374748
Figure 0005374748

表3から、プラズマ改質処理を実施した場合には、改質処理を行なわない場合に比べて残留塩素量が少なく、酸化珪素膜中の不純物を除去できることが示された。なお、プラズマ改質処理の後に、熱アニール処理を行うことも可能である。プラズマ改質処理に熱アニール処理を組み合わせることにより、残留塩素量を9.60×1011[atoms/cm]まで低下させることができた。 Table 3 shows that when the plasma reforming process is performed, the amount of residual chlorine is smaller than when the reforming process is not performed, and impurities in the silicon oxide film can be removed. Note that a thermal annealing process may be performed after the plasma modification process. By combining the plasma reforming treatment with the thermal annealing treatment, the amount of residual chlorine could be reduced to 9.60 × 10 11 [atoms / cm 2 ].

次に、プラズマ処理装置100を用い、下記表4に示した条件(条件5〜条件7)でシリコン基板をプラズマ酸化処理して酸化珪素膜を形成した。形成された酸化珪素膜を剥離して、該酸化珪素膜とシリコン基板のシリコンとの界面(SiO/Si界面)の状態を原子間力顕微鏡(AFM)で計測し、平坦度のRMSを算出した。その結果を表4および図14に示した。また、参照のため、シリコン基板をWVG法で熱酸化処理した酸化珪素膜についても、同様に平坦度のRMSを算出し、表4および図14に示した。 Next, using the plasma processing apparatus 100, the silicon substrate was subjected to plasma oxidation treatment under the conditions shown in Table 4 below (conditions 5 to 7) to form a silicon oxide film. The formed silicon oxide film is peeled off, and the state of the interface (SiO 2 / Si interface) between the silicon oxide film and silicon of the silicon substrate is measured with an atomic force microscope (AFM) to calculate the flatness RMS. did. The results are shown in Table 4 and FIG. For reference, the RMS of the flatness was similarly calculated for the silicon oxide film obtained by thermally oxidizing the silicon substrate by the WVG method, and the results are shown in Table 4 and FIG.

Figure 0005374748
Figure 0005374748

上記表4および図14示した結果から、プラズマ処理装置100を用いて、133Pa以下の低い処理圧力でプラズマ酸化処理を行った条件5、条件6では、いずれも平坦度のRMSが0.13nm以下であり、酸化珪素膜とシリコンとの界面(SiO/Si界面)の状態を極めて平坦に形成できることが確認された。これに対し、熱酸化処理や、667Paの高い圧力条件のプラズマ酸化処理で形成された酸化珪素膜では、いずれも平坦度のRMSが0.2nmを超えており、酸化珪素膜とシリコンとの界面(SiO/Si界面)をあまり平坦化することはできなかった。 From the results shown in Table 4 and FIG. 14, the RMS of the flatness is 0.13 nm or less in both conditions 5 and 6 in which the plasma oxidation processing is performed at a low processing pressure of 133 Pa or less using the plasma processing apparatus 100. It was confirmed that the state of the interface (SiO 2 / Si interface) between the silicon oxide film and silicon can be formed extremely flat. On the other hand, in the silicon oxide film formed by thermal oxidation treatment or plasma oxidation treatment under a high pressure condition of 667 Pa, the RMS of flatness exceeds 0.2 nm, and the interface between the silicon oxide film and silicon The (SiO 2 / Si interface) could not be flattened much.

平坦度のRMSは、0.1nm〜0.2nm程度の範囲で変化することにより、高集積化が進むデバイスの性能に大きな影響を与える。良好なデバイス性能を維持するためには、平坦度のRMSが0.13nm以下例えば0.05〜0.13nm以下であることが好ましい。本実施の形態の絶縁膜の形成方法では、プラズマ処理装置100を用いてプラズマ酸化処理を行うことにより、上記平坦度の要求を満たすことが可能である。   The RMS of the flatness changes greatly in the range of about 0.1 nm to 0.2 nm, and thus greatly affects the performance of devices that are highly integrated. In order to maintain good device performance, the RMS of flatness is preferably 0.13 nm or less, for example 0.05 to 0.13 nm or less. In the method for forming an insulating film in this embodiment, the flatness requirement can be satisfied by performing plasma oxidation using the plasma processing apparatus 100.

上記結果から、酸化珪素膜とシリコンとの界面(SiO/Si界面)の平坦度のRMSを0.13nm以下にするために、プラズマ酸化処理の処理圧力を、267Pa以下例えば6.7Pa以上267Pa以下とすることが好ましく、133Pa以下例えば6.7Pa以上133Pa以下とすることがより好ましく、67Pa以下例えば6.7Pa以上67Pa以下とすることが望ましい。 From the above results, in order to set the RMS of the flatness of the interface between the silicon oxide film and silicon (SiO 2 / Si interface) to 0.13 nm or less, the processing pressure of the plasma oxidation treatment is 267 Pa or less, for example, 6.7 Pa or more and 267 Pa. It is preferable that the pressure be not more than 133 Pa, for example, 6.7 Pa to 133 Pa, more preferably 67 Pa or less, for example, 6.7 Pa to 67 Pa.

また、プラズマ酸化処理における酸素分圧は、5Pa以下例えば0.1Pa以上5Pa以下が好ましく、2Pa以下例えば0.1Pa以上2Pa以下がより好ましい。   Further, the oxygen partial pressure in the plasma oxidation treatment is preferably 5 Pa or less, for example, 0.1 Pa or more and 5 Pa or less, and more preferably 2 Pa or less, for example 0.1 Pa or more and 2 Pa or less.

また、条件5と条件6との比較から、プラズマ酸化処理においては、処理ガス中に水素を添加することがより好ましいことも判明した。この場合、酸素ガス流量に対する水素ガス流量の比率は、25%以上例えば25%以上75%以下が好ましく、50%以上75%以下がより好ましい。   Further, it was found from the comparison between the condition 5 and the condition 6 that it is more preferable to add hydrogen to the process gas in the plasma oxidation process. In this case, the ratio of the hydrogen gas flow rate to the oxygen gas flow rate is preferably 25% or more, for example, 25% or more and 75% or less, and more preferably 50% or more and 75% or less.

以上のように、本実施の形態の絶縁膜の形成方法では、シリコンと絶縁膜としての酸化珪素膜との界面が、デバイス製造に用いられるシリコン基板の平坦度に比べて極めて平坦になるように酸化珪素膜を形成し、その上にCVD法で絶縁膜を形成し、その絶縁膜をプラズマ改質処理することによって、絶縁膜を形成するので、例えば界面準位密度を抑制する必要性が高く、かつ緻密かつ良質な絶縁膜が必要となる上記アプリケーション(例えばTFT素子のゲート絶縁膜の形成)に好ましく利用できる。   As described above, in the method for forming an insulating film of the present embodiment, the interface between silicon and a silicon oxide film as an insulating film is extremely flat compared to the flatness of a silicon substrate used for device manufacturing. A silicon oxide film is formed, an insulating film is formed thereon by a CVD method, and the insulating film is formed by plasma-modifying the insulating film. Therefore, for example, it is highly necessary to suppress the interface state density. In addition, the present invention can be preferably used for the above-described application (for example, forming a gate insulating film of a TFT element) that requires a dense and high-quality insulating film.

[第2の実施の形態]
次に、図15および図16を参照しながら本発明の第2の実施の形態に係る絶縁膜の形成方法について説明する。図15は、第2の実施の形態に係る絶縁膜の形成方法の手順の一例を示すフロー図であり、図16は、その主要な工程を説明する図面である。本実施の形態では、プラズマ酸化処理の後に、CVD法による絶縁膜の形成と、プラズマ改質処理とを複数回繰り返し行い、緻密で良質な絶縁膜を厚膜に形成できるようにした。
[Second Embodiment]
Next, a method for forming an insulating film according to the second embodiment of the present invention will be described with reference to FIGS. FIG. 15 is a flowchart showing an example of the procedure of the insulating film forming method according to the second embodiment, and FIG. 16 is a diagram for explaining the main steps. In this embodiment mode, after the plasma oxidation treatment, the formation of the insulating film by the CVD method and the plasma modification treatment are repeated a plurality of times so that a dense and high-quality insulating film can be formed in a thick film.

図15において、まずステップS11では、真空側搬送室103内の搬送装置109により、処理対象のウエハWを前記の手順でプラズマ処理装置100(プロセスモジュール101a)に搬入する。次に、ステップS12では、図16(a)に示したように、ウエハWの表面に露出したシリコン層201に対してプラズマ酸化処理を行う。このプラズマ酸化処理によって、図16(b)に示したように、シリコン層201のシリコンをその表面から酸化して所定膜厚で第1の絶縁膜としての酸化珪素膜202を形成する。以上のステップS11、ステップS12の処理は、第1の実施の形態のステップS1〜ステップS2の処理(図6参照)と同様であるため、詳細な説明を省略する。   In FIG. 15, first, in step S <b> 11, the wafer W to be processed is loaded into the plasma processing apparatus 100 (process module 101 a) by the transfer apparatus 109 in the vacuum-side transfer chamber 103 according to the above procedure. Next, in step S12, a plasma oxidation process is performed on the silicon layer 201 exposed on the surface of the wafer W as shown in FIG. By this plasma oxidation treatment, as shown in FIG. 16B, silicon of the silicon layer 201 is oxidized from the surface to form a silicon oxide film 202 as a first insulating film with a predetermined thickness. The processes in steps S11 and S12 are the same as the processes in steps S1 to S2 (see FIG. 6) in the first embodiment, and thus detailed description thereof is omitted.

次に、ステップS13では、真空側搬送室103内の搬送装置109により、酸化珪素膜が形成されたウエハWを枚葉CVD成膜装置300(プロセスモジュール101bまたは101c)に移送する。この移送は、真空側搬送室103内の搬送装置109によって真空状態のまま実施される。   Next, in step S13, the wafer W on which the silicon oxide film is formed is transferred to the single wafer CVD film forming apparatus 300 (process module 101b or 101c) by the transfer device 109 in the vacuum side transfer chamber 103. This transfer is performed in a vacuum state by the transfer device 109 in the vacuum side transfer chamber 103.

次に、ステップS14では、図16(c)に示したように、ウエハWの表面に形成された酸化珪素膜202の上に、CVD法による成膜処理を行う。これにより、図16(d)に示したように、酸化珪素膜202の上に重ねて第2の絶縁膜としての酸化珪素膜203が形成される。このCVD法として、基板処理システム200を使用する本実施の形態ではCVD法を用いるが、例えば熱CVD法、減圧CVD法、常圧CVD法などの方法で成膜を行うことが可能である。   Next, in step S14, as shown in FIG. 16C, a film forming process by a CVD method is performed on the silicon oxide film 202 formed on the surface of the wafer W. As a result, as shown in FIG. 16D, a silicon oxide film 203 as a second insulating film is formed on the silicon oxide film 202. As this CVD method, the CVD method is used in this embodiment using the substrate processing system 200, but it is possible to form a film by a method such as a thermal CVD method, a low pressure CVD method, or an atmospheric pressure CVD method.

次に、ステップS15では、酸化珪素膜202および酸化珪素膜203が形成されたウエハWをプラズマ改質処理装置としてのプラズマ処理装置100(プロセスモジュール101d)に移送する。この移送は、真空側搬送室103内の搬送装置109によって真空状態のまま実施される。次に、ステップS16では、図16(e)に示したように、酸化珪素膜203に対してプラズマ改質処理を実施する。本実施の形態では、上記ステップS13〜ステップS16の処理を、必要に応じて複数回繰り返し実施する。すなわち、図16(f)および図16(g)に示したように、第2の絶縁膜としての酸化珪素膜203の上に、CVD法によってさらに第2の絶縁膜としての酸化珪素膜204を積層形成し、次いで、図16(h)に示したように、最上層の酸化珪素膜204にプラズマ改質処理を施す。ステップS13〜ステップS16の処理は、第2の絶縁膜(酸化珪素膜203,204…)の合計膜厚Tが所定の厚みになるまで繰り返し実施される。なお、ステップS13〜ステップS16の処理は、第1の実施の形態のステップS3〜ステップS6の処理(図6参照)と同様に行うことができるため、ここでは詳細な説明を省略する。 Next, in step S15, the wafer W on which the silicon oxide film 202 and the silicon oxide film 203 are formed is transferred to a plasma processing apparatus 100 (process module 101d) as a plasma modification processing apparatus. This transfer is performed in a vacuum state by the transfer device 109 in the vacuum side transfer chamber 103. Next, in step S <b> 16, as shown in FIG. 16E, plasma modification processing is performed on the silicon oxide film 203. In the present embodiment, the processes in steps S13 to S16 are repeated a plurality of times as necessary. That is, as shown in FIGS. 16F and 16G, a silicon oxide film 204 as a second insulating film is further formed on the silicon oxide film 203 as the second insulating film by a CVD method. Then, as shown in FIG. 16 (h), the uppermost silicon oxide film 204 is subjected to plasma modification treatment as shown in FIG. Processing of step S13~ step S16, the total thickness T 3 of the second insulating film (a silicon oxide film 203, 204 ...) are repeatedly performed until the predetermined thickness. In addition, since the process of step S13-step S16 can be performed similarly to the process (refer FIG. 6) of step S3-step S6 of 1st Embodiment, detailed description is abbreviate | omitted here.

以上のように、ステップS13からステップS16の処理を繰り返した後は、ステップS17で真空搬送室103内の搬送装置109により処理済のウエハWをプラズマ処理装置100(プロセスモジュール101d)から搬出し、前記手順でロードポートLPのウエハカセットCRに収納する。   As described above, after repeating the processing from step S13 to step S16, the wafer W processed by the transfer device 109 in the vacuum transfer chamber 103 is unloaded from the plasma processing apparatus 100 (process module 101d) in step S17. The wafer is stored in the wafer cassette CR of the load port LP in the above procedure.

本実施の形態の絶縁膜の形成方法では、このステップS13〜ステップS16の繰り返しにより、緻密で良質な絶縁膜(酸化珪素膜)の膜厚Tを、所望の厚みに形成することができる。すなわち、1回のCVD法によって、仮に10nm〜1000nmの厚い酸化珪素膜を形成できたとしても、そのままでは緻密で良質な膜質に改質することは困難である。その理由は、前記のとおりO イオンやO()ラジカルは、改質処理の対象である酸化珪素膜を透過する能力が低いため、プラズマ改質が可能な酸化珪素膜の膜厚(表面からの深さ)には限界があるためである。このため、本実施の形態の絶縁膜の形成方法では、絶縁膜としてのCVD法による酸化珪素膜の堆積と、プラズマ改質処理を繰り返し実施することによって、所望の膜厚Tで、緻密で良質な膜質の絶縁膜を形成できる。 In the method of forming the insulating film of the present embodiment, by repeating the steps S13~ step S16, the thickness T 3 of the dense high-quality insulating film (silicon oxide film) can be formed to a desired thickness. That is, even if a thick silicon oxide film having a thickness of 10 nm to 1000 nm can be formed by a single CVD method, it is difficult to improve the film quality to a dense and high quality as it is. The reason for this is that, as described above, O 2 + ions and O ( 1 D 2 ) radicals have a low ability to permeate the silicon oxide film that is the object of the modification treatment, and therefore, a silicon oxide film that can be plasma-modified. This is because the thickness (depth from the surface) is limited. Therefore, in the method for forming the insulating film of the present embodiment, the deposition of the silicon oxide film by the CVD method as the insulating film, by repeatedly performing the plasma modification treatment, with the desired thickness T 3, a dense A high quality insulating film can be formed.

また、複数回のCVD法による成膜処理に先立ち、ステップS12で下地のシリコン層をプラズマ酸化処理しておくことによって、シリコンと酸化珪素膜との界面を平坦化することができる。これにより、固定電荷の蓄積による界面準位密度の上昇を防止し、キャリアの移動度を確保してデバイスの電気的特性を改善することができる。   In addition, by performing plasma oxidation treatment on the underlying silicon layer in step S12 prior to film formation by a plurality of CVD methods, the interface between the silicon and the silicon oxide film can be planarized. Accordingly, an increase in interface state density due to accumulation of fixed charges can be prevented, carrier mobility can be secured, and electrical characteristics of the device can be improved.

本実施の形態におけるその他の構成、作用および効果は、第1の実施の形態と同様である。なお、プロセスモジュール101aおよび101dにおいて、それぞれステップS12のプラズマ酸化処理とステップS16のプラズマ改質処理の両方の処理を行ってもよい。   Other configurations, operations, and effects in the present embodiment are the same as those in the first embodiment. In the process modules 101a and 101d, both the plasma oxidation process in step S12 and the plasma modification process in step S16 may be performed.

以上、本発明の実施の形態を述べたが、本発明は上記実施の形態に制約されることはなく、種々の変形が可能である。例えば、上記実施例では、プラズマ改質処理の対象となる絶縁膜として熱CVD法により形成された酸化珪素膜(SiO膜)を挙げたが、熱CVD法による酸化珪素膜に限らず、他のCVD法、例えばプラズマCVD法により形成された酸化珪素膜を対象とすることが可能である。この場合、膜質があまり良好でない(例えば膜質が疎な)酸化珪素膜ほど高い改質効果が得られる。 Although the embodiment of the present invention has been described above, the present invention is not limited to the above embodiment, and various modifications can be made. For example, in the above embodiment, the silicon oxide film (SiO film 2 ) formed by the thermal CVD method is used as the insulating film to be subjected to the plasma reforming process. However, the insulating film is not limited to the silicon oxide film formed by the thermal CVD method. It is possible to target a silicon oxide film formed by a CVD method such as a plasma CVD method. In this case, a higher reforming effect can be obtained for a silicon oxide film having a poor film quality (for example, a poor film quality).

また、プラズマ改質処理の対象となる絶縁膜としては、酸化珪素膜に限らず、例えばジルコニウム、タンタル、チタン、バリウム、ストロンチウム、アルミニウム、ハフニウム等の金属の酸化物を含む高誘電率金属酸化膜(Hi−k膜)に対してもプラズマ改質処理を適用可能である。この場合、シリコン表面にCVD法で高誘電率金属酸化膜を形成しただけでは、平坦な界面を形成できないので、成膜の前にプラズマ酸化処理を行い、極めて平坦な界面を形成しておき、その上に高誘電率金属酸化膜を形成することが有効である。   Further, the insulating film to be subjected to the plasma reforming treatment is not limited to the silicon oxide film, but a high dielectric constant metal oxide film containing an oxide of metal such as zirconium, tantalum, titanium, barium, strontium, aluminum, hafnium, etc. The plasma modification process can also be applied to the (Hi-k film). In this case, since a flat interface cannot be formed only by forming a high dielectric constant metal oxide film on the silicon surface by CVD, plasma oxidation is performed before film formation to form an extremely flat interface. It is effective to form a high dielectric constant metal oxide film thereon.

基板処理システムの概略構成を示す平面図である。It is a top view which shows schematic structure of a substrate processing system. 本発明の絶縁膜の形成方法の実施に適したプラズマ処理装置の一例を示す概略断面図である。It is a schematic sectional drawing which shows an example of the plasma processing apparatus suitable for implementation of the formation method of the insulating film of this invention. 平面アンテナの構造を示す図面である。It is drawing which shows the structure of a planar antenna. 制御部の構成を示す説明図である。It is explanatory drawing which shows the structure of a control part. 本発明の絶縁膜の形成方法の実施に適した枚葉CVD成膜処理装置の一例を示す概略断面図である。It is a schematic sectional drawing which shows an example of the single wafer CVD film-forming processing apparatus suitable for implementation of the formation method of the insulating film of this invention. 本発明の第1の実施の形態に係る絶縁膜の形成方法の手順の概略を示す説明図である。It is explanatory drawing which shows the outline of the procedure of the formation method of the insulating film which concerns on the 1st Embodiment of this invention. 本発明の第1の実施の形態に係る絶縁膜の形成方法の主要な工程を説明する説明図である。It is explanatory drawing explaining the main processes of the formation method of the insulating film which concerns on the 1st Embodiment of this invention. プラズマ酸化処理におけるSi/SiO界面の平坦化の機構を模式的に説明する図面である。The mechanism of flattening of the Si / SiO 2 interface in the plasma oxidation process is a diagram illustrating schematically. プラズマ改質処理における改質機構を模式的に説明する説明図である。It is explanatory drawing which illustrates typically the modification | reformation mechanism in a plasma modification process. 本発明の第1の実施の形態に係る絶縁膜の形成方法を適用可能なTFT素子の概略構成を示す断面図である。It is sectional drawing which shows schematic structure of the TFT element which can apply the formation method of the insulating film which concerns on the 1st Embodiment of this invention. プラズマ改質処理の圧力と、MOSキャパシタのリーク電流特性との関係を示すグラフ図面である。It is a graph which shows the relationship between the pressure of a plasma modification process, and the leakage current characteristic of a MOS capacitor. プラズマ改質処理の圧力と、MOSキャパシタのTDDB特性との関係を示すグラフ図面である。It is a graph which shows the relationship between the pressure of a plasma modification process, and the TDDB characteristic of a MOS capacitor. プラズマ改質処理におけるO/(Ar+O)比とTDDBとの関係を示すグラフ図面である。Is a graph showing the relationship between the O 2 / (Ar + O 2 ) ratio and TDDB in plasma modification process. 原子間力顕微鏡で測定したSiO/Si界面の平坦度RMSの結果を示すグラフ図面である。Is a graph showing the results of the flatness RMS of SiO 2 / Si interface as measured by atomic force microscopy. 本発明の第2の実施の形態に係る絶縁膜の形成方法の手順の概略を示す説明図である。It is explanatory drawing which shows the outline of the procedure of the formation method of the insulating film which concerns on the 2nd Embodiment of this invention. 本発明の第2の実施の形態に係る絶縁膜の形成方法の主要な工程を説明する説明図である。It is explanatory drawing explaining the main processes of the formation method of the insulating film which concerns on the 2nd Embodiment of this invention.

符号の説明Explanation of symbols

1…チャンバ(処理室)、2…載置台、3…支持部材、5…ヒータ、12…排気管、15…ガス導入部、16…搬入出口、18…ガス供給機構、19a…不活性ガス供給源、19b…酸素含有ガス供給源、19c…水素ガス供給源、24…排気装置、28…透過板、29…シール部材、31…平面アンテナ、32…マイクロ波放射孔、37…導波管、37a…同軸導波管、37b…矩形導波管、39…マイクロ波発生装置、50…制御部、51…プロセスコントローラ、52…ユーザーインターフェース、53…記憶部、100…プラズマ処理装置、200…基板処理システム、300…枚葉CVD成膜装置、W…半導体ウエハ(基板)   DESCRIPTION OF SYMBOLS 1 ... Chamber (processing chamber), 2 ... Mounting stand, 3 ... Support member, 5 ... Heater, 12 ... Exhaust pipe, 15 ... Gas introduction part, 16 ... Carry-in / out port, 18 ... Gas supply mechanism, 19a ... Inert gas supply 19b ... oxygen-containing gas supply source, 19c ... hydrogen gas supply source, 24 ... exhaust device, 28 ... transmission plate, 29 ... sealing member, 31 ... planar antenna, 32 ... microwave radiation hole, 37 ... waveguide, 37 ... Coaxial waveguide, 37b ... Rectangular waveguide, 39 ... Microwave generator, 50 ... Control unit, 51 ... Process controller, 52 ... User interface, 53 ... Storage unit, 100 ... Plasma processing device, 200 ... Substrate Processing system, 300 ... Single wafer CVD film forming apparatus, W ... Semiconductor wafer (substrate)

Claims (15)

被処理体の表面に露出したシリコンを希ガスと酸素ガスを含む処理ガスのプラズマによりプラズマ酸化処理して第1の絶縁膜としての酸化珪素膜を形成するプラズマ酸化処理工程と、
前記酸化珪素膜の上にCVD法によって第2の絶縁膜を形成するCVD工程と、
前記第2の絶縁膜に対し、希ガスと酸素ガスを含む処理ガスのプラズマを用いて改質処理を行うプラズマ改質処理工程と、
を備え、
前記プラズマ酸化処理工程は、複数の孔を有する平面アンテナにより処理室内にマイクロ波を導入するプラズマ処理装置において、処理圧力が6.7Pa以上267Pa以下の範囲内、かつ、前記処理ガスの全流量に対する前記酸素ガスの流量比率が0.1%以上30%以下の範囲内で行われ、プラズマ中の活性種として、O()ラジカルに比べ、O イオン及びO()ラジカルの濃度が相対的に高いプラズマを用い
前記プラズマ改質処理工程は、複数の孔を有する平面アンテナにより処理室内にマイクロ波を導入するプラズマ処理装置において、処理圧力が6.7Pa以上67Pa以下の範囲内、前記処理ガスの全流量に対する前記酸素ガスの流量比率が0.1%以上30%以下の範囲内、かつ、マイクロ波のパワー密度が0.51W/cm 以上2.56W/cm 以下の範囲内で行われ、プラズマ中の活性種として、O( )ラジカルに比べ、O イオン及びO( )ラジカルの濃度が相対的に高いプラズマを用いることを特徴とする絶縁膜の形成方法。
A plasma oxidation process for forming a silicon oxide film as a first insulating film by plasma oxidizing the silicon exposed on the surface of the object to be processed with plasma of a processing gas containing a rare gas and an oxygen gas;
A CVD step of forming a second insulating film on the silicon oxide film by a CVD method;
A plasma reforming process for performing a reforming process on the second insulating film using plasma of a processing gas containing a rare gas and an oxygen gas;
With
In the plasma oxidation processing step, in a plasma processing apparatus that introduces microwaves into a processing chamber using a planar antenna having a plurality of holes, the processing pressure is in a range of 6.7 Pa to 267 Pa and the total flow rate of the processing gas The flow rate ratio of the oxygen gas is within a range of 0.1% to 30%, and the active species in the plasma are O 2 + ions and O ( 1 D 2 ) as compared with O ( 3 P 2 ) radicals. Using a plasma with a relatively high concentration of radicals ,
In the plasma reforming process, in the plasma processing apparatus in which microwaves are introduced into the processing chamber by a planar antenna having a plurality of holes, the processing pressure is within a range of 6.7 Pa to 67 Pa and the total flow rate of the processing gas is The oxygen gas flow rate ratio is in the range of 0.1% to 30% and the microwave power density is in the range of 0.51 W / cm 2 to 2.56 W / cm 2 , as the active species, O (3 P 2) than in the radical, O 2 + ions and O (1 D 2) forming method of the insulating film in which the concentration of the radical and wherein Rukoto with relatively high plasma.
前記プラズマ酸化処理工程により形成される前記第1の絶縁膜は、前記シリコンとの界面の平坦度RMSが0.05〜0.13nmの範囲内であり、膜厚が3〜10nmの範囲内であることを特徴とする請求項1に記載の絶縁膜の形成方法。   The first insulating film formed by the plasma oxidation treatment step has a flatness RMS of an interface with the silicon in a range of 0.05 to 0.13 nm and a thickness in a range of 3 to 10 nm. The method for forming an insulating film according to claim 1, wherein: 前記CVD工程により形成される前記第2の絶縁膜は、その膜厚が3〜10nmの範囲内であることを特徴とする請求項1又は2に記載の絶縁膜の形成方法。   3. The method of forming an insulating film according to claim 1, wherein the second insulating film formed by the CVD process has a thickness in a range of 3 to 10 nm. 前記プラズマ酸化処理工程における前記処理ガスの全流量に対する前記酸素ガスの流量比率が0.5%以上3%以下の範囲内であることを特徴とする請求項1から3のいずれか1項に記載の絶縁膜の形成方法。   The flow rate ratio of the oxygen gas with respect to the total flow rate of the processing gas in the plasma oxidation treatment step is in a range of 0.5% to 3%, according to any one of claims 1 to 3. Of forming an insulating film. 前記プラズマ改質処理工程における前記処理ガスの全流量に対する前記酸素ガスの流量比率が0.1%以上5%以下の範囲内であることを特徴とする請求項1から4のいずれか1項に記載の絶縁膜の形成方法。   The flow rate ratio of the oxygen gas with respect to the total flow rate of the processing gas in the plasma reforming treatment step is in a range of 0.1% to 5%, according to any one of claims 1 to 4. The insulating film formation method as described. 前記プラズマ酸化処理工程における前記処理圧力が6.7Pa以上67Pa以下の範囲内であることを特徴とする請求項1から5のいずれか1項に記載の絶縁膜の形成方法。   6. The method for forming an insulating film according to claim 1, wherein the processing pressure in the plasma oxidation processing step is in a range of 6.7 Pa to 67 Pa. 7. 前記第2の絶縁膜を、プラズマCVD法または熱CVD法によって形成することを特徴とする請求項1から請求項のいずれか1項に記載の絶縁膜の形成方法。 Wherein the second insulating film, insulating film forming method according to any one of claims 1 to claim 6, characterized in that formed by a plasma CVD method or a thermal CVD method. 前記CVD工程と、前記プラズマ改質処理工程とを、前記第2の絶縁膜の合計の厚さがあらかじめ決定した所定の厚さに達するまで、複数回繰り返し行うことを特徴とする請求項1から請求項のいずれか1項に記載の絶縁膜の形成方法。 2. The CVD process and the plasma reforming process are repeated a plurality of times until the total thickness of the second insulating film reaches a predetermined thickness determined in advance. The method for forming an insulating film according to claim 7 . 前記プラズマ酸化処理工程および前記プラズマ改質処理工程における処理温度が、200℃以上600℃以下の範囲内であることを特徴とする請求項1から請求項のいずれか1項に記載の絶縁膜の形成方法。 Insulating film as claimed in any one of claims 8, wherein the plasma oxidation treatment step and the treatment temperature in the plasma modification treatment step, characterized in that it is in the range of 200 ° C. or higher 600 ° C. or less Forming method. 前記プラズマ酸化処理工程と前記プラズマ改質処理工程を同一チャンバ内で行うことを特徴とする請求項1から請求項のいずれか1項に記載の絶縁膜の形成方法。 Method of forming a dielectric film according to any one of claims 1 to claim 9, characterized in that the plasma oxidation process and the plasma modification process in the same chamber. 前記第2の絶縁膜が、原料ガスとしてジクロルシランとNOを用いるCVD法によって堆積させられた酸化珪素膜であることを特徴とする請求項1から請求項10のいずれか1項に記載の絶縁膜の形成方法。 Said second insulating film, claim 1, characterized in that a silicon oxide film which is deposited by CVD method using dichlorosilane and N 2 O as material gases according to any one of claims 10 A method for forming an insulating film. 前記プラズマ酸化処理工程では、前記処理ガス中に水素を含み、前記酸素ガスの流量に対する前記水素ガスの流量比率が25%以上75%以下の範囲内である請求項1から請求項11のいずれか1項に記載の絶縁膜の形成方法。  12. The plasma oxidation treatment step according to claim 1, wherein the processing gas contains hydrogen, and a flow rate ratio of the hydrogen gas to a flow rate of the oxygen gas is in a range of 25% to 75%. 2. A method for forming an insulating film according to item 1. コンピュータ上で動作する制御プログラムが記憶されたコンピュータ読み取り可能な記憶媒体であって、
前記制御プログラムは、実行時に、被処理体に対して所定の処理を行うための複数の処理チャンバを有する処理システムにおいて、被処理体の表面に露出したシリコンを希ガスと酸素ガスを含む処理ガスのプラズマによりプラズマ酸化処理して第1の絶縁膜としての酸化珪素膜を形成するプラズマ酸化処理工程と、前記酸化珪素膜の上にCVD法によって第2の絶縁膜を形成するCVD工程と、前記第2の絶縁膜に対し、希ガスと酸素ガスを含む処理ガスのプラズマを用いて改質処理を行うプラズマ改質処理工程と、を備えた絶縁膜の形成方法が行なわれるように、コンピュータに前記処理システムを制御させるものであり、
前記プラズマ酸化処理工程は、複数の孔を有する平面アンテナにより処理室内にマイクロ波を導入するプラズマ処理装置において、処理圧力が6.7Pa以上267Pa以下の範囲内、かつ、前記処理ガスの全流量に対する前記酸素ガスの流量比率が0.1%以上30%以下の範囲内で行われ、プラズマ中の活性種として、O()ラジカルに比べ、O イオン及びO()ラジカルの濃度が相対的に高いプラズマを用い
前記プラズマ改質処理工程は、複数の孔を有する平面アンテナにより処理室内にマイクロ波を導入するプラズマ処理装置において、処理圧力が6.7Pa以上67Pa以下の範囲内、前記処理ガスの全流量に対する前記酸素ガスの流量比率が0.1%以上30%以下の範囲内、かつ、マイクロ波のパワー密度が0.51W/cm 以上2.56W/cm 以下の範囲内で行われ、プラズマ中の活性種として、O( )ラジカルに比べ、O イオン及びO( )ラジカルの濃度が相対的に高いプラズマを用いて行われるものである、ことを特徴とするコンピュータ読み取り可能な記憶媒体。
A computer-readable storage medium storing a control program that runs on a computer,
In the processing system having a plurality of processing chambers for performing predetermined processing on the object to be processed at the time of execution, the control program converts the silicon exposed on the surface of the object to be processed into a processing gas containing a rare gas and an oxygen gas. A plasma oxidation process for forming a silicon oxide film as a first insulating film by performing a plasma oxidation process using a plasma; a CVD process for forming a second insulating film on the silicon oxide film by a CVD method; And a plasma reforming process for performing a reforming process on the second insulating film using a plasma of a processing gas containing a rare gas and an oxygen gas. Controlling the processing system;
In the plasma oxidation processing step, in a plasma processing apparatus that introduces microwaves into a processing chamber using a planar antenna having a plurality of holes, the processing pressure is in a range of 6.7 Pa to 267 Pa and the total flow rate of the processing gas The flow rate ratio of the oxygen gas is within a range of 0.1% to 30%, and the active species in the plasma are O 2 + ions and O ( 1 D 2 ) as compared with O ( 3 P 2 ) radicals. Using a plasma with a relatively high concentration of radicals ,
In the plasma reforming process, in the plasma processing apparatus in which microwaves are introduced into the processing chamber by a planar antenna having a plurality of holes, the processing pressure is within a range of 6.7 Pa to 67 Pa and the total flow rate of the processing gas is The oxygen gas flow rate ratio is in the range of 0.1% to 30% and the microwave power density is in the range of 0.51 W / cm 2 to 2.56 W / cm 2 , Computer reading characterized in that the active species is a plasma having a relatively high concentration of O 2 + ions and O ( 1 D 2 ) radicals compared to O ( 3 P 2 ) radicals. Possible storage medium.
被処理体に対して第1の処理を行う第1の処理チャンバと、被処理体に対して前記第1の処理とは異なる第2の処理を行う第2の処理チャンバと、被処理体に対して前記第2の処理とは異なる第3の処理を行う第3の処理チャンバと、を有する処理システムであって、
第1の処理チャンバ内で、前記第1の処理として、被処理体の表面に露出したシリコンを希ガスと酸素ガスを含む処理ガスのプラズマによりプラズマ酸化処理して第1の絶縁膜としての酸化珪素膜を形成し、次に、第2の処理チャンバにおいて、前記第2の処理として前記酸化珪素膜の上にCVD法によって第2の絶縁膜を形成し、次に、第3の処理チャンバにおいて、前記第3の処理として、前記第2の絶縁膜に対し希ガスと酸素ガスを含む処理ガスのプラズマを用いてプラズマ改質処理を行うように各処理チャンバを制御する制御部を備え、前記制御部は、さらに前記プラズマ酸化処理が、複数の孔を有する平面アンテナにより処理室内にマイクロ波を導入するプラズマ処理装置において、処理圧力が6.7Pa以上267Pa以下の範囲内であり、かつ、前記処理ガスの全流量に対する前記酸素ガスの流量比率が0.1%以上30%以下の範囲内で行われ、使用されるプラズマが、プラズマ中の活性種として、O()ラジカルに比べ、O イオン及びO()ラジカルの濃度が相対的に高いプラズマとなるように制御するとともに、
前記プラズマ改質処理が、複数の孔を有する平面アンテナにより処理室内にマイクロ波を導入するプラズマ処理装置において、処理圧力が6.7Pa以上67Pa以下の範囲内であり、前記処理ガスの全流量に対する前記酸素ガスの流量比率が0.1%以上30%以下の範囲内であり、かつ、マイクロ波のパワー密度が0.51W/cm 以上2.56W/cm 以下の範囲内で行われ、使用されるプラズマが、プラズマ中の活性種として、O( )ラジカルに比べ、O イオン及びO( )ラジカルの濃度が相対的に高いプラズマとなるように制御することを特徴とする処理システム。
A first processing chamber that performs a first process on a target object, a second processing chamber that performs a second process different from the first process on the target object, and a target object And a third processing chamber for performing a third process different from the second process,
In the first processing chamber, as the first processing, the silicon exposed on the surface of the object to be processed is subjected to plasma oxidation treatment with plasma of a processing gas containing a rare gas and oxygen gas to oxidize the first insulating film. A silicon film is formed, and then in the second processing chamber, a second insulating film is formed on the silicon oxide film by the CVD method as the second processing, and then in the third processing chamber. A control unit for controlling each processing chamber so as to perform a plasma reforming process using plasma of a processing gas containing a rare gas and an oxygen gas for the second insulating film as the third processing, control unit further wherein the plasma oxidation treatment, a plurality of the plasma processing apparatus for introducing a microwave into the processing chamber by a planar antenna having a hole, the processing pressure is more than 6.7 Pa 267 Pa following range An inner, and the flow ratio of the oxygen gas to the total flow rate of the processing gas is performed in the range of 30% 0.1% plasma used is, as the active species in the plasma, O ( 3 P 2 ) radicals compared to the radicals, and the concentration of O 2 + ions and O ( 1 D 2 ) radicals is controlled to be relatively high ,
In the plasma processing apparatus in which the plasma reforming process introduces microwaves into the processing chamber using a planar antenna having a plurality of holes, the processing pressure is in a range of 6.7 Pa to 67 Pa, and the total flow rate of the processing gas is the flow ratio of oxygen gas is in the range of 30% 0.1% and the power density of the microwave is performed in the range of 0.51W / cm 2 or more 2.56 W / cm 2 or less, The plasma to be used is controlled so that the active species in the plasma is a plasma having a relatively high concentration of O 2 + ions and O ( 1 D 2 ) radicals as compared to O ( 3 P 2 ) radicals. A processing system characterized by
前記第1の処理チャンバと、前記第3の処理チャンバとが、同一の処理チャンバであることを特徴とする請求項14に記載の処理システム。
The processing system according to claim 14, wherein the first processing chamber and the third processing chamber are the same processing chamber.
JP2008029476A 2008-02-08 2008-02-08 Insulating film forming method, computer-readable storage medium, and processing system Expired - Fee Related JP5374748B2 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2008029476A JP5374748B2 (en) 2008-02-08 2008-02-08 Insulating film forming method, computer-readable storage medium, and processing system
PCT/JP2009/052447 WO2009099254A1 (en) 2008-02-08 2009-02-06 Method for insulating film formation, storage medium from which information is readable with computer, and treatment system
KR1020107017596A KR101248651B1 (en) 2008-02-08 2009-02-06 Method for insulating film formation, storage medium from which information is readable with computer, and treatment system
US12/865,969 US8034179B2 (en) 2008-02-08 2009-02-06 Method for insulating film formation, storage medium from which information is readable with computer, and processing system
TW098103865A TWI445083B (en) 2008-02-08 2009-02-06 Insulation film formation method, the computer can read the memory media and processing system

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2008029476A JP5374748B2 (en) 2008-02-08 2008-02-08 Insulating film forming method, computer-readable storage medium, and processing system

Publications (3)

Publication Number Publication Date
JP2009188348A JP2009188348A (en) 2009-08-20
JP2009188348A5 JP2009188348A5 (en) 2011-03-10
JP5374748B2 true JP5374748B2 (en) 2013-12-25

Family

ID=41071262

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008029476A Expired - Fee Related JP5374748B2 (en) 2008-02-08 2008-02-08 Insulating film forming method, computer-readable storage medium, and processing system

Country Status (1)

Country Link
JP (1) JP5374748B2 (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2468688A4 (en) 2009-08-17 2014-03-26 Asahi Glass Co Ltd Molten glass manufacturing method, glass-melting furnace, glass product manufacturing method, and glass product manufacturing device
JP5663384B2 (en) * 2011-04-19 2015-02-04 三菱電機株式会社 Insulating film manufacturing method
US8999773B2 (en) 2012-04-05 2015-04-07 Semiconductor Energy Laboratory Co., Ltd. Processing method of stacked-layer film and manufacturing method of semiconductor device

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0443642A (en) * 1990-06-11 1992-02-13 G T C:Kk Formation of gate insulating film
KR100833406B1 (en) * 2000-03-13 2008-05-28 다다히로 오미 Flash memory device and method for manufacturing the same, and method for forming dielectric film

Also Published As

Publication number Publication date
JP2009188348A (en) 2009-08-20

Similar Documents

Publication Publication Date Title
KR101248651B1 (en) Method for insulating film formation, storage medium from which information is readable with computer, and treatment system
JP4633729B2 (en) Semiconductor device manufacturing method and plasma oxidation processing method
US8728917B2 (en) Carbon nanotube forming method and pre-treatment method therefor
KR101250057B1 (en) Method for modifying insulating film with plasma
KR100956705B1 (en) Plasma oxidation method and method for manufacturing semiconductor device
JP2012216631A (en) Plasma nitriding method
US20060269694A1 (en) Plasma processing method
JP5166297B2 (en) Method for forming silicon oxide film, method for manufacturing semiconductor memory device, and computer-readable storage medium
TW200807556A (en) Method for forming insulating film and method for manufacturing semiconductor device
JP5339327B2 (en) Plasma nitriding method and semiconductor device manufacturing method
WO2006025363A1 (en) Silicon oxide film forming method, semiconductor device manufacturing method and computer storage medium
TW200836262A (en) Method for forming insulating film and method for manufacturing semiconductor device
JP2007165788A (en) Decarbonization treatment method of metallic film, deposition method, and method for manufacturing semiconductor device
JP2012216632A (en) Plasma processing method and element isolation method
WO2008038787A1 (en) Method for forming silicon oxide film, plasma processing apparatus and storage medium
JP5357487B2 (en) Silicon oxide film forming method, computer-readable storage medium, and plasma oxidation processing apparatus
JP5374748B2 (en) Insulating film forming method, computer-readable storage medium, and processing system
JP5374749B2 (en) Insulating film forming method, computer-readable storage medium, and processing system
KR101270875B1 (en) Method of modifying insulating film
TW201030174A (en) Silicon dioxide film and process for production thereof, computer-readable storage medium, and plasma cvd device
TW201304012A (en) Plasma nitrification method, plasma nitrification apparatus and manufacturing method of semiconductor device
WO2010038888A1 (en) Silicon oxynitride film and process for production thereof, computer-readable storage medium, and plasma cvd device

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110125

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20110125

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110125

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130528

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130718

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130806

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20130903

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130904

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20130903

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees