JP5284944B2 - 電着されたコンタクトを形成する構造体及び方法 - Google Patents

電着されたコンタクトを形成する構造体及び方法 Download PDF

Info

Publication number
JP5284944B2
JP5284944B2 JP2009501763A JP2009501763A JP5284944B2 JP 5284944 B2 JP5284944 B2 JP 5284944B2 JP 2009501763 A JP2009501763 A JP 2009501763A JP 2009501763 A JP2009501763 A JP 2009501763A JP 5284944 B2 JP5284944 B2 JP 5284944B2
Authority
JP
Japan
Prior art keywords
layer
contact
cobalt
nickel
cavity
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2009501763A
Other languages
English (en)
Other versions
JP2009531838A (ja
Inventor
カブラル、シリル、ジュニア
デリジャンニ、ハリクリア
クナール、ランドルフ、エフ
マロートラ、サンドラ、ジー
ロスナーゲル、ステフェン
シャオ、シャオヤン
トポル、アンナ
フェレッケン、フィリップ、エム
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2009531838A publication Critical patent/JP2009531838A/ja
Application granted granted Critical
Publication of JP5284944B2 publication Critical patent/JP5284944B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • H01L23/53252Additional layers associated with noble-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Electroplating Methods And Accessories (AREA)

Description

本発明は、マイクロエレクトロニクスにおけるコンタクト金属(metallurgy)構造体に関し、具体的には、既存のCVDタングステン・プラグ金属化技術と比べて減少したプラグ抵抗を示すことができる構造体に関する。
本開示の革新的なメタラジ構造体は、高アスペクト比及び小さい限界寸法(CD、100nm未満)キャビティ(ビア、バー及びトレンチ)を有するコンタクトを必要とする用途にとって、特に重要である。本開示はまた、コンタクト金属構造体を製造する方法にも関する。特に、本開示に用いられる金属化(メタラジ)により、CVD W以外のより低い抵抗率の材料でキャビティを電気化学的に充填することによって、コンタクト構造体の抵抗を減少させることが可能になる。充填材料は、同様に低い抵抗値を示すめっき可能な金属の群から選択され、それらは、これらに限られるものではないが、銅(Cu)、ロジウム(Rh)、ルテニウム(Ru)、イリジウム(Ir)、モリブデン(Mo)、銀(Ag)、金(Au)、コバルト(Co)、ニッケル(Ni)、カドミウム(Cd)、及び亜鉛(Zn)、並びにこれらの合金を含んでいる。これらの中でも、Cu、Rh及びRuが、最も有望なものであることが判明している。
CMOSベースのマイクロエレクトロニクス製品の継続的スケーリング(scaling)を可能にする際、相互接続及びデバイス技術の分野における長年にわたる進歩が重要な役割を果たしてきた。特に、スケーリングにより、デバイス密度の改善及び回路性能の向上が可能になった。しかしながら、高性能CMOSデバイスのスケーリングにおいて、ナノメートル・レジームにおける寄生抵抗の増大は、主要な課題である。直列抵抗のコンポーネントは、真性デバイスの電流供給能力の低下に拍車をかけ、このことは、デバイス性能に直接影響を与える。幾何学的形状の減少は相互接続ラインの抵抗率の増加をもたらすので、集積回路を小型化し続けることにより、前工程(FEOL)レベルだけでなく、中間工程(MOL)及び後工程(BEOL)レベルにおける寄生抵抗の増加にもつながる。
ITRSロードマップの予測モデルにおいて、種々のデバイス・コンポーネントの抵抗の増加は、主要なパラメータであった。65nm技術ノードまで、MOL相互接続すなわちコンタクト・レベルの製造は、寄生抵抗の主要な原因(contributor)ではなかったが、この技術ノードを超えると、寄生抵抗がより一層問題になることが、予測により示される。コンタクト・レベル抵抗のスケーリングの問題の総合的な図が、図1に示される。簡単な予測レベルは、ビア抵抗が、デバイス世代ごとに1/k増加するはずであることを示し、ここで、kは、スケーリング係数である。材料の制限が原因で、抵抗の増加は、加速している。従って、45nmの技術ノードにおいて、W金属化(メタラジ)を用いて構築されたコンタクト・レベルの総プラグ抵抗を低減させるために、ライナ厚のスケーリングに基づいた革新的なプロセス解決法を実施する必要があった。名目上の抵抗値の増加に加えて、プロセスのばらつき(3シグマの値)も増加してきた。コンタクト・プラグの直径が50nm未満に縮小するとき、プラグ(直径、高さ、側壁角)の最終的な限界寸法(CD)のどのような変化も、大きな抵抗のばらつきをもたらす。こうしたばらつきは、45nm技術ノードを超えるこれらのビアの歩留まり及び信頼性を制御する能力を明らかに低下させる。
マイクロプロセッサ、ASIC及びDRAMにおいて、現在のところ、タングステンは、デバイスへの局所配線及びコンタクト・レベルのために用いられる。図2は、現在のライナ及びCVDタングステン・プロセスが最適化されていない場合に、コンタクト抵抗の増加が、45nm技術ノードについてどのようにF04インバータの10%の性能遅延をもたらし得るかを示す。より具体的には、曲線Aは、現在のライナ材料及びタングステン充填プロセスに関する技術ノードを用いるコンタクト抵抗の増加を示す。曲線Bは、コンタクト・プラグがもたらす付加的な抵抗がないF04遅延を示す。曲線Cは、実際のコンタクト・プラグを有する実際のF04遅延を示す。後者の2つの曲線の差は、コンタクト・プラグ抵抗によるF04遅延への貢献を示し、これは、技術ノードと共に増加し、プラグ抵抗を低下させるためにさらなる革新的な解決法が実施されない場合には、45nm技術ノードの場合に10%以上に達すると予測される。
CAレベルに関する最も一般的な金属化プロセスは、シリサイドへの電気的接触を改善するためのI−PVD Ti層を堆積させ、腐食性のWの化学的性質から保護するCVD TiNバリアを堆積させ、続いてCVD又はALDパルス式核生成層(「PNL」−ドープされたW層)を堆積させ、続いてCVD W充填物を堆積させることを含む。CA寸法がスケーリングされるについて、以下の問題、すなわち高抵抗性ライナ及び核生成層を縮小できないこと、Wに低い抵抗値及び良好な充填能力を与えるプロセスがないことが重要になる。
米国特許第6,399,258号 米国特許第6,709,562号 米国特許第4,110,176号 米国特許第4,376,685号 米国特許第4,975,159号 米国特許第3,770,598号 米国特許第3,328,273号
従って、プラグを充填するために低抵抗性材料を選択することによって、減少したコンタクト抵抗を示すコンタクト金属構造体を提供すること、及び、こうした構造体に関する中央の継ぎ目(シーム)又はボイドを最小にすることが望ましい。
本開示は、こうした構造体についての必要な物理的特性を維持しながら、減少したコンタクト抵抗を示すことができるコンタクト金属構造体を提供する。
より具体的には、本開示は、シリサイド又はゲルマニウム化物層と、シリサイド又はゲルマニウム化物層上に載っているキャビティ(例えば、ビア、バー、及び/又はトレンチ)を有するパターン形成された誘電体層と、誘電体層の上部に配置され、キャビティの底部においてシリサイド又はゲルマニウム化物層に接触する、Tiを含むコンタクト層と、キャビティ内及びコンタクト層の上部に配置された拡散バリア層と、キャビティ内及び拡散バリア層の上部に配置された随意的なシード層と、シード層の上部及びキャビティ内の金属充填層とを含むコンタクト金属構造体に関する。金属充填層は、電着による、銅、ロジウム、ルテニウム、イリジウム、モリブデン、金、銀、ニッケル、コバルト、カドミウム、及び亜鉛、並びにこれらの合金から選択される少なくとも1つの部材を含む。これらの金属の合金の例は、Wのような他の金属、及び/又は、P、B、S、Cl、O、C、N、F、Br及びIを含む軽ドープ元素との合金を含む。
本開示の別の態様は、コンタクト金属構造体の製造方法に関する。この方法は、それぞれシリコン又はヒ化ゲルマニウム基板の上部に配置されたシリサイド又はゲルマニウム化物層を形成するステップと、シリサイド又はゲルマニウム化物層の上部に載っているキャビティを有するパターン形成された誘電体層を形成するステップと、キャビティの底部及び誘電体層の上部に配置された、Tiを含むコンタクト層を準備するステップと、キャビティ内及びコンタクト層の上部に配置された拡散バリア層を堆積させるステップと、キャビティ内及び拡散バリア層の上部にシード層を随意的に堆積させるステップと、銅、ロジウム、ルテニウム、イリジウム、モリブデン、金、銀、ニッケル、コバルト、カドミウム、及び亜鉛、並びにこれらの合金から成る群から選択される少なくとも1つの部材である金属を電気めっきし、キャビティ及びシード層の上部を充填するステップとを含む。これらの金属の合金の例は、Wのような他の金属、及び/又は、P、B、S、Cl、O、N、F、Br及びIの軽ドープ元素との合金を含む。
本開示はまた、上記のプロセスによって得られるコンタクト金属構造体にも関する。
本発明の別の態様は、コンタクト金属構造体を製造する方法に関する。この方法は、それぞれシリコン又はヒ化ゲルマニウム基板の上部に配置されたシリサイド又はゲルマニウム化物層を形成するステップと、シリサイド又はゲルマニウム化物層の上部に載っているキャビティを有するパターン形成された誘電体層を形成するステップと、キャビティの底部及び誘電体層の上部に配置された、Tiを含むコンタクト層を準備するステップと、キャビティ内及びコンタクト層の上部に配置された、ルテニウム、白金、ロジウム、イリジウムのようなめっき可能な金属である拡散バリア層を堆積させるステップと、銅、ロジウム、ルテニウム、イリジウム、モリブデン、銀、金、コバルト、ニッケル、カドミウム、及び亜鉛、並びにこれらの合金から成る群から選択される少なくとも1つの部材である金属を電気めっきし、キャビティ及びシード層の上部を充填するステップとを含む。
本開示の別の態様は、上記のプロセスによって得られる構造体に関する。
本開示の別の態様は、コンタクト金属構造体を製造する方法に関する。この方法は、それぞれシリコン又はヒ化ゲルマニウム基板の上部に配置されたシリサイド又はゲルマニウム化物層を形成するステップと、シリサイド又はゲルマニウム化物層の上部に載っているキャビティを有するパターン形成された誘電体層を形成するステップと、キャビティの底部及び誘電体層の上部に配置された、Tiを含むコンタクト層を準備するステップと、ビア内及びコンタクト層の上部にシード層を堆積させるステップであって、シード層は、導電性であり、かつ、めっき可能であり、コンタクト層を通して、シリコン及びRu、Rh、Pt、Ir、Pd、W等のような誘電体の中に拡散しない、ステップと、ロジウム、ルテニウム、及びインジウム、並びにこれらの合金から成る群から選択される少なくとも1つの部材である金属を電気めっきし、ビア及びシード層の上部を充填するステップとを含む。
本開示の別の態様は、上記の方法によって得られる構造体に関する。
本開示はまた、上記のコンタクト金属構造体を用いるマイクロエレクトロニック・デバイス及びその製造方法にも関する。
本開示のさらに他の目的及び利点は、単に最良の形態を例示する目的で、好ましい実施形態だけが示され説明される、以下の詳細な説明から、当業者には容易に明らかになるであろう。理解されるように、本開示は、他の異なる実施形態が可能であり、その幾つかの詳細は、本開示から逸脱することなく、種々の明らかな点の変更が可能である。従って、説明は、本質的に例示的なものであり、制限するものとしてみなされるべきではない。
本開示の理解を容易にするために、本開示の1つのプロセス・シーケンスに従った種々の段階における構造体を概略的に示す図3の(A)〜(F)を参照する。
図3の(A)は、前工程(FEOL)デバイス2を有する、シリコン又はゲルマニウム基板のような半導体基板1を示す。
次に、金属を堆積させることなどによって、前工程デバイスの選択された部分の上に金属シリサイド又はゲルマニウム化物層3が形成される。金属シリサイドを形成するための典型的な金属の例は、コバルト(Co)、ニッケル(Ni)、白金(Pt)、ルテニウム(Ru)、イリジウム(Ir)、パラジウム(Pd)、オスミウム(Os)、及びロジウム(Rh)であり、コバルト(Co)及びニッケル(Ni)が、最も典型的である。さらに、合金、特にコバルト及び/又はニッケルと白金のような合金化金属との合金を用いることができる。具体的な例は、ニッケル−白金合金である。金属は、基板上のシリコンの露出された領域と高温で反応して、シリサイド又は露出されたゲルマニウムを形成し、金属ゲルマニウム化物を形成する。シリサイド又はゲルマニウム化物は、基板上に存在する酸化物及び窒化物の分離領域の上に形成されない。選択的化学エッチングを用いて、シリサイド又はゲルマニウム化物を「自己整合」させ、望ましくない未反応の金属を除去することができる。付加的なアニール・ステップを行って、シリサイド又はゲルマニウム化物のコンタクトの抵抗率を低減させることができる。シリサイド又はゲルマニウム化物層3は、典型的には、約5ナノメートルから40ナノメートルまで、より典型的には、約15ナノメートルから25ナノメートルまでといった、薄いものである。
次に、約0.2ミクロンから約1ミクロンまでの誘電体層4の比較的厚いブランケット層が堆積され、次いで、リソグラフィ及びRIE(反応性イオン・エッチング)によってパターン形成され、キャビティ(例えば、ビア、バー、及び/又はトレンチ)5を形成する。
誘電体層4の例は、二酸化シリコン(SiO)、リン酸シリケート・ガラス(PSG)、ホウ素がドープされたPSG(BPSG)、ドープされていないシリケート・ガラス(USG)、又はテトラエチルオルソシリケート(TEOS)であり、より典型的には、SILK(R)(Dow Chemical社から入手可能)、SiCH(商品名BLOK(R)のもとでAMAT社から入手可能)、SiCOH(商品名Coral(R)のもとでNovellus社から、商品名Black Diamond(R)のもとでAMAT社から、商品名Auora(R)のもとでASM社から入手可能)SiCHN(商品名N Blok(R)のもとでIBM社から入手可能)、CVD炭素ドープ酸化物、多孔性CVD炭素ドープ酸化物、多孔性及び非多孔性の有機シリケート、多孔性及び非多孔性の有機スピン・オン・ポリマーのような、3.9未満の誘電率を有する低k誘電体である。
キャビティ5は、典型的には、少なくとも約3といった高アスペクト比を有する。
キャビティ5は、シリサイド又はゲルマニウム化物領域の上に載っている。
次に、コンタクト層6が、シリサイド又はゲルマニウム化物層3に接触するようにシリサイド又はゲルマニウム化物層3上に、キャビティ5の側壁上に、及び誘電体層4の上部に堆積される。
コンタクト層6は、典型的には、チタン、又はチタンと窒化チタンのスタックである。チタン層は、典型的には、約50オングストロームから約300オングストロームまで、より典型的には、約75オングストロームから約200オングストロームまでの厚さである。存在する場合には、窒化チタン層は、10オングストロームから100オングストロームまでの間である。チタンは、PVD又はIPVD法によって堆積させることができ、TiNは、CVDによって堆積される。
次に、図3の(C)に示されるように、バリア層7が、コンタクト層6上、キャビティ5の底部、キャビティ5の側部上に堆積される。バリア層7は、金属充填材料、誘電体層4及びFEOLデバイス2の間の拡散バリアとして働く。
典型的なバリア層は、TaN、Ta、W、WN、Ti、TiNのような種々の金属及び/又はそれらの窒化物、並びに、Ru、Rh、Ir、Pt、Pd、Mo、Re及びこれらの合金のような貴金属及び/又は高融点金属である。所望であれば、複数の異なる材料を用いることができる。シリコン及び酸化シリコン内に速く拡散する、Cu、Ag、Au、Ni、Co、Cd及びZnを用いる場合、より典型的な材料はTaであり、さらにより典型的には、アルファ相のTaである。バリア層7は、典型的には、約10オングストロームから200オングストロームまでの厚さであり、より典型的には、約30オングストロームから約100オングストロームまでの厚さである。バリア層を堆積させるためのプロセスはよく知られており、本明細書に詳細に説明する必要はない。一例として、Taは、特許文献1に開示される技術などによって堆積させることができる。
バリア層7は、CVD(化学気相堆積)、ALD(原子層堆積)、或いは物理気相堆積(PVD)又はイオン化物理気相堆積(IPVD)のようなスパッタリングによって堆積させることができる。堆積の一例は、商品名「Endura」のもとでApplied Materials社から入手可能なような、HCM(Hollow Cathode Magnetron)マグネトロン・スパッタリング・システムを用いるものである。
典型的には、スパッタ装置は、DCマグネトロン源の構成を使用し、約99.9%以上の純度を有するタンタル源として使用する。プロセスの実行において、約50立方センチメートル毎秒(sccm)から約130立方センチメートル毎秒(sccm)までの流量の、アルゴンのような不活性ガスが、タンタルが堆積されるウェハと共に、ターゲットを含むプロセス・キャビティ内に注入される。不活性ガスを注入する前のプロセス・キャビティは、例えば低温ポンプを用いて、少なくとも1.0×10−6トールの真空レベルまで前もって排気される。不活性スパッタ・ガスを流すのと同時に、約20立方センチメートル毎秒から約60立方センチメートル毎秒までの流量で、付加的な窒素ガス流も開始される。プロセス・キャビティは、両方のガスで充填され、約1百万トールから約1千万トールまでの有効圧力を達成する。本開示の目的のためにプラズマを生成するために典型的に用いられる電力は、0.4ワット/cmから4.8ワット/cmまでの間であり、より典型的には、1.6ワット/cmから2.4ワット/cmまでである。この電力レベルを達成するためのターゲット電圧及び電流の如何なる組み合わせを用いることも可能である。堆積される材料は、高配向のアルファ相タンタル材料である。堆積速度は、典型的には、毎分約1000Åから約2000Åまでである。
図3の(D)に示されるように、随意的なシード層8を、キャビティ5内、バリア層7の上部、並びにビア5の底部及び側壁上に堆積させることができる。シード層8は随意的なものであり、バリア層に依存している。バリア層が導電性であり、バリア層を上に直接電気めっきできる場合、シード層は不要である。典型的なシード層は、銅、ロジウム、白金、イリジウム及びルテニウムである。シード層8は、PVD、CVD、原子層堆積、及び無電解堆積技術などによって堆積させることができる。
用いられるとき、シード層7は、典型的には、約20オングストロームから約600オングストロームまでの厚さであり、より典型的には、約20オングストロームから約500オングストロームまでの厚さである。
次に、図3の(E)に示されるように、金属充填物を電気めっきして、コンタクト構造体を充填する。金属充填層は、典型的には、Cu、Rh、Ru、Ir、Mo、Au、Ag、Ni、Co、Cd、Zn及びこれらの合金であり、より典型的には、Cu、Rh及びRuである。合金の例は、タングステンのような金属、及び/又は、B、P、Cl、S、O、N、F、C、Br及びIのような軽ドープ元素との合金を含む。典型的には、合金化材料の量は、約15原子%までである。
銅及び銅合金を電着させるための、本開示に適した典型的な方法は、特許文献2に見出すことができる。電気めっきは、いわゆる超充填(super filling)電気めっき技術を用いる。
サブミクロンのキャビティを充填するのに好ましい超充填を達成するために、粗い表面上に平坦な堆積物を生成するのに用いられる添加剤を組み込む溶液からの銅めっきを用いることができる。幾つかの市販の添加剤は、キャリア(carrier)のためのC−2001、光沢剤(brightener)のためのB−2001、促進剤(accelerator)のためのA−2001、抑制剤(suppressor)のためのS−2001、及びレベラー(leveler)のためのL−2001の商品目のもとで、マサチューセッツ州Marlboro所在のShipley Company社から入手可能である。適切な添加剤系は、コネチカット州New Haven所在のEnthone−OMI,Inc.社から市販されているものであり、Via Form系として知られている。別の適切な添加剤系は、ニューヨーク州Freeport所在のLeaRonal,Inc.社から市販されているものであり、Copper Gleam 2001系として知られている。製造業者は、これらの添加剤を、Copper Gleam 2001 Carrier、Copper Gleam 2001−HTL、及びCopper Gleam 2001 Levellerと呼んでいる。別の適切な添加剤系は、ペンシルバニア州、State Park所在のAtotech USA,Inc.社から市販されているものであり、Cupracid HS系として知られている。製造業者は、この系の添加剤を、Cupracid Brightener及びCupracid HS Basic Levellerと呼んでいる。
本開示の浴(バス)に添加することができる具体的な添加剤の例が、幾つかの特許に記載されている。特許文献3は、水性酸性銅めっき浴から、光沢があり延性が高く、低応力で良好な平坦性の銅堆積物を与える反応生成物として形成された、ポリアルカノール第四級アンモニウム塩などのめっき浴添加剤の使用を記述している。特許文献4は、水性酸性浴から、光沢があり平坦な銅電解堆積物を与える反応生成物として形成された、アルキル化ポリアルキレンイミンなどのめっき浴添加剤を記述している。特許文献5は、堆積された銅の光沢及び延性を最適化する量の、少なくとも1つの置換アルコキシル化ラクタムをアミド基含有化合物として含む有機添加剤の組み合わせを水性酸性浴に添加することを記述している。
特許文献5において、表Iは、本開示の浴に添加することができる幾らかのアルコキシル化ラクタムを列挙している。表IIは、本開示の浴に添加することができる、3−メルカプトプロパン−1−スルホン酸などの水溶性基を有する幾らかの含硫黄化合物を列挙している。表IIIは、本開示の浴に界面活性剤として添加することができるポリエチレングリコールなどの有機化合物を列挙している。
特許文献6は、ポリエチレンイミン及びアルキル化剤から第四級窒素を生成する、光沢を与える量の反応生成物、少なくとも1個のスルホン基を有する有機硫黄化物、及びポリプロピレングリコールなどのポリエーテル化合物を溶解して含む、延性及び光沢のある銅を得るための浴を記述している。
特許文献7は、R1及びRが同じか又は異なり、1−6の炭素原子を含有するポリメチレン基又はアルキン基であり、Xは水素又はスルホン基であり、nは、S2からSまでの整数である場合の式XR1−(Sn)−R−SOHの有機硫黄化合物を含む、良好な平坦特性を有する光沢のある低応力の堆積物を得るための硫酸銅及びフッ化ホウ酸塩の浴を記述している。さらに、これらの浴は、ポリエーテル化合物、ビシナル硫黄原子を有する有機スルフィド、及びフェナジン染料を含むことができる。特許文献7において、表Iは、本開示の浴に添加することができる幾らかのポリスルフィド化合物を列挙している。表IIは、本開示の浴に添加することができる幾らかのポリエーテルを列挙している。
添加剤は、種々の目的を達成するために浴に添加することができる。浴は、銅塩及び鉱酸(無機酸)を含むことができる。膜厚又はランダムに配向された粒子に対して大きい粒子サイズを含む特定の膜微細構造を導体内に生じさせるように、添加剤を含有させることができる。また、C、O、N、S及びClからなる群から選択される原子を含む分子断片を導体材料中に組み込み、これにより純銅に比べてエレクトロマイグレーション耐性を大きくするように、添加剤を浴に添加することもできる。さらに、膜厚又はランダムに配向された粒子に対して大きい粒子サイズを含む特定の膜微細構造を導体内に生じさせ、これによりエレクトロマイグレーション挙動が非電気めっき銅に比べて改善されるように、添加剤を浴に添加することもできる。
0.1Mから0.4Mまでの範囲の硫酸銅、10体積%から20体積%までの範囲の硫酸、10ppmから300ppmまでの範囲の塩化物、0.1体積%から1体積%までの範囲のLeaRonal添加剤Copper Gleam 2001 Carrier、0.1体積%から1体積%までの範囲のCopper Gleam 2001 HTL、及び0.1体積%から1体積%までの範囲のCopper Gleam 2001 Levellerを含む溶液から、同様の超充填結果を得ることができる。最終的に、上述の範囲の硫酸銅、硫酸、塩化物、並びに、0.5体積%から3体積%までの範囲のAtotech添加剤Cupracid Brightener、0.01体積%から0.5体積%までの範囲のCupracid HS Basic Levellerを含む溶液からも、同様の超充填結果を得ることができる。
別の例において、Rhは、Enthone OMI社から商品名「Rhodex 100」のもとで市販されている浴から電着される。この浴は、約5g/lのRhと、30ml/lの硫酸と、商品名「Rhodex 100 stress reducer」のもとでの100ml/lのスルファミン酸ベースの応力低減剤添加剤とを含む。ロジウムは、典型的には、約30%より大きい電流効率で、2mA/cmから20mA/cmまでの範囲の電流密度で堆積される。堆積応力を制御し、実際の電流効率を保持するために、堆積速度を電流密度の範囲に制御することが好ましい。堆積温度は、15℃から60℃までの間である。低温を用いる場合、低い抵抗率が得られる。50℃における電着されたRhの200nmの厚さの薄膜は、約45マイクロオーム−cmの抵抗率を有するように測定され、窒素又はフォーミングガス(水素及び窒素の混合物)中で200℃より高い温度でアニールされたとき、抵抗率は、約10マイクロオーム−cmまで減少した。20℃において電着されたRhの200nmの厚さの薄膜が、約20マイクロオーム−cmの抵抗率を有するように測定され、200℃より高い温度でアニールされたとき、抵抗率は、約6.5マイクロオーム−cmまで減少した。比較すると、CVD W薄膜の抵抗率は、通常、13マイクロオーム−cmより高い。
Technic Inc.社からの「Tecni−Rhodium」のような他の市販の浴から、Rhを堆積させることも可能である。Rhめっき溶液は、Rh(SO(2−10g/lのRh)、10ppm−10%のCl、10−50ml/lの硫酸、及び30−80ml/lのスルファミン酸を用いて作製することもできる。
ロジウム、ルテニウム及びイリジウムはシリコン内に拡散しないので、金属充填物9が、ロジウム、ルテニウム、又はイリジウムの少なくとも1つの部材であるとき、拡散バリア層7は必要とされない。
更に別の例において、ルテニウムが、金属充填物9である。典型的なルテニウム電気めっきプロセスは、ニトロシル硫酸ルテニウム塩から調整された約2−5g/lのルテニウムと、約20g/lのHSOとを含む溶液を用いる。典型的な堆積温度は、約15%から約30%までの電流効率で、約50℃から約70℃までであり、より典型的には、約60℃から約70℃までである。電流密度は、典型的には、約5mA/cmから約100約5mA/cmまでであり、より典型的には、約10mA/cmである。ワーク・ピース(ウェハ)は、典型的には、約50rpmから約120rpmで回転され、約90rpmが好ましい。時間は、所望の厚さによって変わるが、典型的には、約1000秒より短い。
別のルテニウム電気めっきの例においては、Enthone OMI社から商品名「Ruthenex SP」のもとで入手可能な市販の浴が、約4g/lのRuから約12g/lのRuを含むように改変される。さらに、「Ruthenex SP」浴は、相対的に大量のMg塩と、相対的に少量のNiとを含む。MgはRu金属と共に堆積し、応力低減剤として働く。
次に、図3の(F)に示されるように、例えば化学機械研磨(CMP)によって、構造体を平坦化し、余分な表面部分(overburden)を除去することができる。
本開示による幾つかのコンタクト構造体の例は、Ti/TiN/TaN/Ta/Cuシード/めっきCu、Ti/TaN/Ta/Cuシード/めっき銅、Ti/TaN/Ta/Ruシード/めっき銅、Ti/TiN/TaN/Ruシード/めっきRh、Ti/TiN/TaN/Ruシード/めっきRu、Ti/Ruシード/めっきRh、及びTi/Ruシード/めっきRuである。本開示によるコンタクト構造体は、Ti/TiN/CVD Wで作製された既存のコンタクト構造体より低い抵抗を示す。
本開示をさらに説明するために、以下の限定されない例を提示する。
約20nmの厚さのシリサイド・コンタクト層と、パターン形成されたBPSG誘電体と、100オングストロームTi/40オングストロームTiNコンタクト層と、20オングストロームTaN/40オングストロームTaバリア層と、約400オングストロームの厚さの銅シード層と、銅金属充填物とを含む構造体を有する、300mmのシリコン・ウェハである。銅充填物は、EC3003(40g/lのCuSO、10−100g/lのHSO、50ppmのCl)、15ml/lのA−3001(促進剤)、及び2ml/lのS−3001(抑制剤)、並びに1.5ml/lのL−3001(レベラー)(Shipley社)を含む組成と、90rpmにおいて、2秒間6.5mA/cm、30秒間9.8mA/cm、58秒間24.5mA/cmのめっき電流波形とを用いて電着される。図5は、この構造体のSEMである。見られるように、如何なるボイド又はシームもなしに、高アスペクト比のビアが充填される。Cuは、Wよりずっと低い抵抗率を有し、Cuめっきもボイドを排除するので、電気めっき法を用いてCuで充填されたコンタクト・プラグは、Wコンタクト・プラグと比べて全体的に低いコンタクト・プラグ抵抗を与えることができる。図6は、タングステンで充填されたビアと比較して、銅で充填された上記の構造体より25%低いコンタクト抵抗を示す実験データを示すチャートである。これらの例において、Tiは、シリサイドへのコンタクト層である。TiN、TaN、及びTaは、銅拡散に対するバリア層である。
約20nmの厚さのコバルト・シリサイド層と、65nm×250nmの寸法のパターン形成されたビアを有するUSG誘電体と、約50オングストロームの厚さのPVD TaNバリア層と、約80オングストロームの厚さのALD又はCVDルテニウム・シード層と、電気めっきされたロジウム金属充填物とを含む構造体を有する、300mmのシリコン・ウェハである。ロジウム充填物は、約10分間10rpmの回転速度を用いて、約20℃、約4.5mA/cmの電流密度で、Enthome−OMI社から商品名Rhodex100のもとで入手可能な溶液(50ml/lのRhodex100(5g/lのRh金属濃度)及び30ml/lの硫酸、並びに100ml/lのRhodex100応力低減剤)を用いて電気めっきされる。
図7は、この構造体のSEMである。示されるように、如何なるボイド又は中央のシームもなしに、高アスペクト比のビアが充填される。これらの高アスペクト比プラグのボイドがない充填物と組み合わされたロジウムの低い抵抗率は、Wコンタクト・プラグより全体的に低いコンタクト・プラグ抵抗をもたらし得る。
125nm×560nmの寸法のビアを有するパターン形成されたUSG誘電体と、原子層堆積(ALD)されたTaNバリア層と、ALDルテニウム・シード層と、電気めっきされたルテニウム金属充填物とを含む構造体である。ルテニウム充填物は、約10分間10mA/cmの電流密度を用いる65℃の温度で、5.5g/lのルテニウム・ニトロシル硫酸及び5.5ml/lの硫酸の組成を用いて電気めっきされる。
図8は、この構造体のSEMである。示されるように、高アスペクト比のビアが、CVD Wプロセスにおいてもたらされる全体のボイドより良好なスリムな中央のシームで充填される。Ruの電気めっきもまた、Wコンタクト・プラグより全体的に低いコンタクト・プラグ抵抗をもたらすことができる。
発明の上述の説明は、本発明を例示し、説明するものである。さらに、本開示は、本発明の好ましい実施形態のみを示し説明するものであるが、上述のように、本発明は、他の様々な組み合わせ、修正、及び環境において用いることができ、本明細書において示された本発明の概念の範囲内で、上述の教示及び/又は関連技術の技能若しくは知識に応じた変更又は修正が可能であることを理解されたい。上述の実施形態は、さらに、本発明の実施について知られている最良の形態を説明し、当業者が、こうした実施形態又は他の実施形態で、及び本発明の特定の用途又は使用によって必要となる様々な修正を施して、本発明を利用できるようにすることを意図している。従って、この説明は、本発明を本明細書に開示された形態に制限することを意図するものではない。また、添付の特許請求の範囲は、代替的な実施形態を含むものと解釈することが意図される。
技術ノード及びスケーリング係数kの関数としてCA抵抗スケーリングを示す。 本ライナを伸張することによる計算されたコンタクト抵抗の増加と、125nm技術に関する10%のF04インバータ遅延をもたらし得るCVDタングステン・プロセスとを示すグラフである。 本開示の方法による、種々の製造段階における構造体を概略的に示す。 電気めっきしてプラグ構造体を充填するための、バルク抵抗率に基づいた金属の選択を示す。Ag、Cu、Au、Rh、Ir、Mo、Co、Zn、Ru、Cd、Ni、Cd、及びZnは、5.3μΩ−cmのバルクW抵抗率よりも低いか又はこれに匹敵するバルク抵抗率を有する。 銅ダマシン・プロセスによって充填された、ボイド又は中央シームのない、高アスペクト比のコンタクト・ビアを示すSEM画像である。 タングステンで充填されたビアと比べると、図5に示されるような銅で充填されたコンタクトからのより低いコンタクト抵抗を示す実験データを示す図である。 めっきされたロジウムで充填され、ボイドがないコンタクト・ビアを示すSEM画像である。この金属化構造体のスタックは、めっきによる、Ti/TiN、TaN/ALD Ruシード/Rh充填物から構成される。 めっきされたルテニウムで充填された、非常にスリムな中央シームだけを示す高アスペクト比のコンタクト・ビアを示すSEM画像である。この金属化構造体スタックは、めっきによる、ALD TaN/ALD Ruシード/Rh充填物から構成される。

Claims (5)

  1. コンタクト金属構造体であって、
    基板上に配置された少なくとも3のアスペクト比を有するキャビティを有するパターン形成された、リン酸シリケート・ガラス(PSG)、ホウ素がドープされたPSG(BPSG)、ドープされていないシリケート・ガラス(USG)、又はテトラエチルオルソシリケート(TEOS)の誘電体層と、
    前記キャビティの底部に配置された、白金、パラジウム、オスミウム、コバルト白金、コバルト・ルテニウム、コバルト・ロジウム、コバルト・イリジウム、コバルト・パラジウム、コバルト・オスミウム、ニッケル白金、ニッケル・ルテニウム、ニッケル・ロジウム、ニッケル・イリジウム、ニッケル・パラジウム、又はニッケル・オスミウムのシリサイド層と、
    前記底部において前記シリサイド層に接触する前記キャビティ内及び前記誘電体の上部に配置された、TiとTiNのスタックからなるコンタクト層と、
    前記キャビティ内及び前記コンタクト層の上部に配置された、ルテニウム、白金、イリジウム、又はロジウムからなる拡散バリア層と、
    前記キャビティ内及び前記拡散バリア層の上部にあり、ロジウム、ルテニウム、またはこれらの合金から成る群の少なくとも1つの部材から選択される金属充填層と、を含むコンタクト金属構造体。
  2. 前記シリサイド層は5〜40ナノメートルの厚さを有し、前記拡散バリア層は10〜200オングストロームの厚さを有する、請求項1に記載のコンタクト金属構造体。
  3. 基板上に配置された少なくとも3のアスペクト比を有するキャビティを有するパターン形成された、リン酸シリケート・ガラス(PSG)、ホウ素がドープされたPSG(BPSG)、ドープされていないシリケート・ガラス(USG)、又はテトラエチルオルソシリケート(TEOS)からなる誘電体層を形成するステップと、
    前記キャビティの底部に配置された、白金、パラジウム、オスミウム、コバルト白金、コバルト・ルテニウム、コバルト・ロジウム、コバルト・イリジウム、コバルト・パラジウム、コバルト・オスミウム、ニッケル白金、ニッケル・ルテニウム、ニッケル・ロジウム、ニッケル・イリジウム、ニッケル・パラジウム、又はニッケル・オスミウムのシリサイド層を形成するステップと、
    前記キャビティの底部において前記シリサイド層に接触するキャビティ内及び前記誘電体層の上部に配置された、TiとTiNのスタックからなるコンタクト層を形成するステップと、
    前記キャビティ内及び前記コンタクト層の上部に配置された、ルテニウム、白金、イリジウム、又はロジウムからなる拡散バリア層を堆積させるステップと、
    前記キャビティ内及び前記拡散バリア層の上部に、ロジウム、ルテニウム、またはこれらの合金から成る群から選択される少なくとも1つの部材の金属充填層を電気めっきするステップと、を含む方法。
  4. 前記金属充填層の電気めっき後の前記基板の表面をCMPによって平坦化するステップをさらに含む、請求項に記載の方法。
  5. 前記シリサイド層は5〜40ナノメートルの厚さを有し、前記拡散バリア層は10〜200オングストロームの厚さを有する、請求項3に記載の方法
JP2009501763A 2006-03-24 2007-03-26 電着されたコンタクトを形成する構造体及び方法 Expired - Fee Related JP5284944B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/308,433 US7405154B2 (en) 2006-03-24 2006-03-24 Structure and method of forming electrodeposited contacts
US11/308,433 2006-03-24
PCT/US2007/064946 WO2007112361A2 (en) 2006-03-24 2007-03-26 Structure and method of forming electrodeposited contacts

Publications (2)

Publication Number Publication Date
JP2009531838A JP2009531838A (ja) 2009-09-03
JP5284944B2 true JP5284944B2 (ja) 2013-09-11

Family

ID=38532497

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009501763A Expired - Fee Related JP5284944B2 (ja) 2006-03-24 2007-03-26 電着されたコンタクトを形成する構造体及び方法

Country Status (5)

Country Link
US (3) US7405154B2 (ja)
JP (1) JP5284944B2 (ja)
CN (1) CN101395720A (ja)
TW (1) TW200802706A (ja)
WO (1) WO2007112361A2 (ja)

Families Citing this family (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7982284B2 (en) 2006-06-28 2011-07-19 Infineon Technologies Ag Semiconductor component including an isolation structure and a contact to the substrate
US7407875B2 (en) * 2006-09-06 2008-08-05 International Business Machines Corporation Low resistance contact structure and fabrication thereof
DE102006056620B4 (de) * 2006-11-30 2010-04-08 Advanced Micro Devices, Inc., Sunnyvale Halbleiterstruktur und Verfahren zu ihrer Herstellung
FR2914783A1 (fr) * 2007-04-03 2008-10-10 St Microelectronics Sa Procede de fabrication d'un dispositif a gradient de concentration et dispositif correspondant.
US8372744B2 (en) * 2007-04-20 2013-02-12 International Business Machines Corporation Fabricating a contact rhodium structure by electroplating and electroplating composition
JP2009010037A (ja) * 2007-06-26 2009-01-15 Panasonic Corp 半導体装置及びその製造方法
JP2009064803A (ja) * 2007-09-04 2009-03-26 Renesas Technology Corp 半導体装置
KR100924865B1 (ko) * 2007-12-27 2009-11-02 주식회사 동부하이텍 반도체 소자의 금속배선 형성방법
JP5371783B2 (ja) * 2008-01-23 2013-12-18 Jx日鉱日石金属株式会社 バリア層上にルテニウム電気めっき層を有するulsi微細配線部材
US7843067B2 (en) * 2008-03-24 2010-11-30 International Business Machines Corporation Method and structure of integrated rhodium contacts with copper interconnects
AU2009260794A1 (en) * 2008-06-18 2009-12-23 Massachusetts Institute Of Technology Catalytic materials, electrodes, and systems for water electrolysis and other electrochemical techniques
KR101088813B1 (ko) * 2008-07-25 2011-12-01 주식회사 하이닉스반도체 반도체 소자의 금속배선 및 그 형성방법
US7928569B2 (en) * 2008-08-14 2011-04-19 International Business Machines Corporation Redundant barrier structure for interconnect and wiring applications, design structure and method of manufacture
JP2010186877A (ja) * 2009-02-12 2010-08-26 Renesas Electronics Corp 半導体装置およびその製造方法
US8336204B2 (en) * 2009-07-27 2012-12-25 International Business Machines Corporation Formation of alloy liner by reaction of diffusion barrier and seed layer for interconnect application
EP2470690A2 (en) * 2009-08-27 2012-07-04 Sun Catalytix Corporation Compositions, electrodes, methods and systems for water electrolysis and other electrochemical techniques
US20110097589A1 (en) * 2009-10-28 2011-04-28 General Electric Company Article for high temperature service
US8691687B2 (en) * 2010-01-07 2014-04-08 International Business Machines Corporation Superfilled metal contact vias for semiconductor devices
US8492899B2 (en) * 2010-10-14 2013-07-23 International Business Machines Corporation Method to electrodeposit nickel on silicon for forming controllable nickel silicide
US20120097547A1 (en) * 2010-10-25 2012-04-26 Universiteit Gent Method for Copper Electrodeposition
US8779589B2 (en) * 2010-12-20 2014-07-15 Intel Corporation Liner layers for metal interconnects
US8518819B2 (en) * 2011-03-16 2013-08-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device contact structures and methods for making the same
US9337363B2 (en) 2011-05-11 2016-05-10 International Business Machines Corporation Low resistance, low reflection, and low cost contact grids for photovoltaic cells
US8435887B2 (en) * 2011-06-02 2013-05-07 International Business Machines Corporation Copper interconnect formation
US8518818B2 (en) 2011-09-16 2013-08-27 Taiwan Semiconductor Manufacturing Co., Ltd. Reverse damascene process
US8648465B2 (en) * 2011-09-28 2014-02-11 International Business Machines Corporation Semiconductor interconnect structure having enhanced performance and reliability
US8659156B2 (en) * 2011-10-18 2014-02-25 International Business Machines Corporation Interconnect structure with an electromigration and stress migration enhancement liner
US9252050B2 (en) 2012-09-11 2016-02-02 International Business Machines Corporation Method to improve semiconductor surfaces and polishing
US8609531B1 (en) 2013-03-06 2013-12-17 Globalfoundries Inc. Methods of selectively forming ruthenium liner layer
US9171801B2 (en) 2013-05-09 2015-10-27 Globalfoundries U.S. 2 Llc E-fuse with hybrid metallization
US9305879B2 (en) 2013-05-09 2016-04-05 Globalfoundries Inc. E-fuse with hybrid metallization
US9536830B2 (en) 2013-05-09 2017-01-03 Globalfoundries Inc. High performance refractory metal / copper interconnects to eliminate electromigration
US9601431B2 (en) 2014-02-05 2017-03-21 Applied Materials, Inc. Dielectric/metal barrier integration to prevent copper diffusion
JP6667215B2 (ja) * 2014-07-24 2020-03-18 キヤノン株式会社 X線遮蔽格子、構造体、トールボット干渉計、x線遮蔽格子の製造方法
KR20180015124A (ko) * 2015-06-03 2018-02-12 인텔 코포레이션 전도성 커넥터들의 형성에서의 귀금속들의 이용
US10304773B2 (en) 2015-10-21 2019-05-28 International Business Machines Corporation Low resistance contact structures including a copper fill for trench structures
US9960240B2 (en) * 2015-10-21 2018-05-01 International Business Machines Corporation Low resistance contact structures for trench structures
US9449884B1 (en) 2015-12-15 2016-09-20 International Business Machines Corporation Semiconductor device with trench epitaxy and contact
US9640482B1 (en) * 2016-04-13 2017-05-02 United Microelectronics Corp. Semiconductor device with a contact plug and method of fabricating the same
US9842770B1 (en) 2016-06-22 2017-12-12 International Business Machines Corporation Reflow enhancement layer for metallization structures
JP6785130B2 (ja) * 2016-07-06 2020-11-18 東京エレクトロン株式会社 ルテニウム配線およびその製造方法
US10522467B2 (en) * 2016-07-06 2019-12-31 Tokyo Electron Limited Ruthenium wiring and manufacturing method thereof
KR20180068595A (ko) 2016-12-14 2018-06-22 삼성전자주식회사 반도체 장치
US10577692B2 (en) 2017-01-05 2020-03-03 International Business Machines Corporation Pretreatment of iron-based substrates for electroless plating
US10763207B2 (en) 2017-11-21 2020-09-01 Samsung Electronics Co., Ltd. Interconnects having long grains and methods of manufacturing the same
WO2021153112A1 (ja) * 2020-01-31 2021-08-05 富士フイルム株式会社 金属充填微細構造体の製造方法

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6709562B1 (en) * 1995-12-29 2004-03-23 International Business Machines Corporation Method of making electroplated interconnection structures on integrated circuit chips
JP3409831B2 (ja) * 1997-02-14 2003-05-26 日本電信電話株式会社 半導体装置の配線構造の製造方法
US7510961B2 (en) * 1997-02-14 2009-03-31 Micron Technology, Inc. Utilization of energy absorbing layer to improve metal flow and fill in a novel interconnect structure
US6188120B1 (en) * 1997-02-24 2001-02-13 International Business Machines Corporation Method and materials for through-mask electroplating and selective base removal
KR100247933B1 (ko) * 1997-08-22 2000-03-15 윤종용 버티드 콘택을 갖는 반도체 소자 및 그 제조방법
KR100295054B1 (ko) * 1998-09-16 2001-08-07 윤종용 다층금속배선을갖는반도체소자및그제조방법
US7405149B1 (en) * 1998-12-21 2008-07-29 Megica Corporation Post passivation method for semiconductor chip or wafer
JP3594894B2 (ja) * 2000-02-01 2004-12-02 新光電気工業株式会社 ビアフィリングめっき方法
US6331486B1 (en) * 2000-03-06 2001-12-18 International Business Machines Corporation Method and structure for reduction of contact resistance of metal silicides using a metal-germanium alloy
JP2001308029A (ja) * 2000-04-20 2001-11-02 Kobe Steel Ltd 金属配線膜の形成方法
US6316831B1 (en) * 2000-05-05 2001-11-13 Aptos Corporation Microelectronic fabrication having formed therein terminal electrode structure providing enhanced barrier properties
JP2002075994A (ja) * 2000-08-24 2002-03-15 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
US6534863B2 (en) * 2001-02-09 2003-03-18 International Business Machines Corporation Common ball-limiting metallurgy for I/O sites
US6518610B2 (en) * 2001-02-20 2003-02-11 Micron Technology, Inc. Rhodium-rich oxygen barriers
CN1280452C (zh) * 2001-05-09 2006-10-18 荏原优莱特科技股份有限公司 铜镀液、用其镀覆基板的方法以及基板处理单元
JP2003059861A (ja) * 2001-08-09 2003-02-28 Tokyo Electron Ltd 成膜方法および成膜装置
US6645567B2 (en) * 2001-12-19 2003-11-11 Intel Corporation Electroless plating bath composition and method of using
US6635498B2 (en) * 2001-12-20 2003-10-21 Texas Instruments Incorporated Method of patterning a FeRAM capacitor with a sidewall during bottom electrode etch
JP2003309082A (ja) * 2002-04-15 2003-10-31 Nec Corp 半導体装置の構造
US6787912B2 (en) * 2002-04-26 2004-09-07 International Business Machines Corporation Barrier material for copper structures
US6884736B2 (en) * 2002-10-07 2005-04-26 Taiwan Semiconductor Manufacturing Co, Ltd. Method of forming contact plug on silicide structure
US7129165B2 (en) * 2003-02-04 2006-10-31 Asm Nutool, Inc. Method and structure to improve reliability of copper interconnects
US6852627B2 (en) * 2003-03-05 2005-02-08 Micron Technology, Inc. Conductive through wafer vias
US20070111519A1 (en) * 2003-10-15 2007-05-17 Applied Materials, Inc. Integrated electroless deposition system
US6933577B2 (en) * 2003-10-24 2005-08-23 International Business Machines Corporation High performance FET with laterally thin extension
KR100735938B1 (ko) * 2004-04-09 2007-07-06 동경 엘렉트론 주식회사 Ti막 및 TiN막의 성막 방법, 접촉 구조체 및 컴퓨터 판독 가능한 기억 매체
US7462561B2 (en) * 2005-01-27 2008-12-09 David Lu Contact structure formed using supercritical cleaning fluid and ALCVD
US7514353B2 (en) * 2005-03-18 2009-04-07 Applied Materials, Inc. Contact metallization scheme using a barrier layer over a silicide layer
US7602003B2 (en) * 2005-04-27 2009-10-13 United Microelectronics Corp. Semiconductor device structure for reducing hot carrier effect of MOS transistor
US20070108063A1 (en) * 2005-09-28 2007-05-17 Ebara Corporation Layer forming method, layer forming apparatus, workpiece processing apparatus, interconnect forming method, and substrate interconnect structure
US20070099422A1 (en) * 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition

Also Published As

Publication number Publication date
WO2007112361A3 (en) 2008-04-10
JP2009531838A (ja) 2009-09-03
US20090014878A1 (en) 2009-01-15
US7405154B2 (en) 2008-07-29
WO2007112361A2 (en) 2007-10-04
TW200802706A (en) 2008-01-01
CN101395720A (zh) 2009-03-25
US7851357B2 (en) 2010-12-14
US20110084393A1 (en) 2011-04-14
US8089157B2 (en) 2012-01-03
US20070222066A1 (en) 2007-09-27

Similar Documents

Publication Publication Date Title
JP5284944B2 (ja) 電着されたコンタクトを形成する構造体及び方法
US11990368B2 (en) Doped selective metal caps to improve copper electromigration with ruthenium liner
US7425506B1 (en) Methods of providing an adhesion layer for adhesion of barrier and/or seed layers to dielectric films
US6812143B2 (en) Process of forming copper structures
US6333560B1 (en) Process and structure for an interlock and high performance multilevel structures for chip interconnects and packaging technologies
US7960832B2 (en) Integrated circuit arrangement with layer stack
US10008449B2 (en) Self-forming barrier for subtractive copper
US8941240B2 (en) Fabricating a contact rhodium structure by electroplating and electroplating composition
US20070298607A1 (en) Method for copper damascence fill for forming an interconnect
WO2004053202A1 (en) Methods for forming copper interconnect structures by co-plating of noble metals and structures formed thereby
US20070298605A1 (en) Method for forming planarizing copper in a low-k dielectric
US20060091551A1 (en) Differentially metal doped copper damascenes
US6998337B1 (en) Thermal annealing for Cu seed layer enhancement
KR101076927B1 (ko) 반도체 소자의 구리 배선 구조 및 그 형성방법
US7843067B2 (en) Method and structure of integrated rhodium contacts with copper interconnects
TW201405643A (zh) 用於特徵塡充的半導體重流處理

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20091225

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120807

RD12 Notification of acceptance of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7432

Effective date: 20120926

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120927

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20120926

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121127

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130218

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130326

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130402

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130507

RD14 Notification of resignation of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7434

Effective date: 20130507

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130530

R150 Certificate of patent or registration of utility model

Ref document number: 5284944

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees