JP5247440B2 - エッチングマスクスタックを用いたマルチマスクプロセス - Google Patents

エッチングマスクスタックを用いたマルチマスクプロセス Download PDF

Info

Publication number
JP5247440B2
JP5247440B2 JP2008519292A JP2008519292A JP5247440B2 JP 5247440 B2 JP5247440 B2 JP 5247440B2 JP 2008519292 A JP2008519292 A JP 2008519292A JP 2008519292 A JP2008519292 A JP 2008519292A JP 5247440 B2 JP5247440 B2 JP 5247440B2
Authority
JP
Japan
Prior art keywords
mask
layer
etch
additional
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2008519292A
Other languages
English (en)
Other versions
JP2008547236A5 (ja
JP2008547236A (ja
Inventor
サジャディ・エス.エム.・レザ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2008547236A publication Critical patent/JP2008547236A/ja
Publication of JP2008547236A5 publication Critical patent/JP2008547236A5/ja
Application granted granted Critical
Publication of JP5247440B2 publication Critical patent/JP5247440B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0331Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers for lift-off processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Description

本発明は、半導体デバイスの形成に関するものである。
半導体ウエハ処理中は、周知のパターン形成プロセスおよびエッチングプロセスを使用して、ウエハ内に半導体デバイスの特徴が定められる。これらのプロセスでは、ウエハ上にフォトレジスト(PR)材料が堆積され、次いで、レチクルによるフィルタリングを経た光に暴露される。レチクルは、一般に、光の伝搬を遮る代表的な幾何的特徴のパターンを有するガラス板である。
レチクルを通過した光は、フォトレジスト材料の表面に接触する。光は、現像剤によってフォトレジスト材料の一部を除去可能にするために、フォトレジスト材料の化学組成を変化させる。ポジ型フォトレジスト材料の場合は、露光された領域が除去され、ネガ型フォトレジスト材料の場合は、露光されなかった領域が除去される。その後、ウエハは、フォトレジスト材料によって保護されなくなった場所から下位の層を除去するべくエッチングされることにより、ウエハ内に所望の特徴を定める。
様々な世代のフォトレジストが知られている。深紫外線(DUV)フォトレジストは、248nmの光によって露光される。理解を容易にするため、図1Aは、基板104の上の層108の概略断面図であって、このエッチングされるべき層108の上にARL(反射防止層)110を、その上にパターン形成されたフォトレジスト層112を設けることによってスタック100を形成した状態を示している。フォトレジストのパターンは、限界寸法(CD)を有し、これは、最小の特徴の幅116であってよい。波長に依存する光学特性ゆえに、フォトレジストは、暴露させる光の波長が長いほど、理論的な最小限界寸法が大きくなる。
次いで、図1Bに示されるように、フォトレジストパターンを通して特徴120がエッチングされてよい。理想を言えば、特徴のCD(特徴の幅)は、フォトレジスト112内の特徴のCD116に等しい。実際には、特徴116のCDは、切子面の形成、フォトレジストの腐食、またはアンダカットが原因で、フォトレジスト112のCDより大きくなる可能性がある。特徴は、また、先細になる可能性もあり、この場合の特徴は、少なくともフォトレジストのCDと同程度のCDを有する一方で、その底近くでは先細り、細めの幅を有する。このような先細りは、特徴の信頼度を低下させる可能性がある。
より小さいCDの特徴を提供するため、より短い波長の光を使用して特徴を形成する試みがなされている。193nmのフォトレジストは、193nmの光によって露光される。位相シフトレチクルおよびその他の技術を使用すれば、193nmのフォトレジストを使用して、CDが90〜100nmのフォトレジストパターンが形成されうる。これは、CDが90〜100nmの特徴を提供できると考えられる。157nmのフォトレジストは、157nmの光によって露光される。位相シフトレチクルおよびその他の技術を使用すれば、CDが90nm以下のフォトレジストパターンが形成されうる。これは、CDが90nm以下の特徴を提供できると考えられる。
より短い波長のフォトレジストの使用は、より長い波長を使用するフォトレジストと比べて更に追加の問題を生じる。理論的限界に近いCDを得るためには、リソグラフィ装置がいっそう精密でなければならず、これは、より高価なリソグラフィ機器を必要とすると考えられる。現在のところ、193nmフォトレジストおよび157nmフォトレジストは、より長い波長のフォトレジストと比べて選択性が高くなく、プラズマエッチング条件下で容易に変形する可能性がある。
メモリデバイスの形成時等の導電層のエッチングでは、性能を低減させることなくデバイス密度を増大させることが望まれている。
図2Aは、導電線の作成用の、パターン形成されたフォトレジスト層の断面図であって、従来技術にしたがった、線間の間隔が近すぎる場合を示している。ウエハ等の基板204の上には、障壁層206が配されてよい。障壁層206の上には、金属層またはポリシリコン層等の誘電体層208が形成される。誘電体層208の上には、DARC層等の反射防止層(ARL)210が形成される。ARL210の上には、パターン形成されたフォトレジスト層212aが形成される。この例では、パターン形成されたフォトレジスト層212aは、図に示されるように、線幅「L」として定められる幅を有する。間隔222は、図に示されるように、幅「S」を有する。ピッチ長「P」は、図に示されるように、線幅と間隔幅との和、すなわちP=L+Sとして定められる。ピッチ長を低減させることが望まれている。
ピッチ長を低減させる方法の1つは、間隔幅を低減させることによる。図2Bは、導電線または誘電体溝線の作成用の、パターン形成されたフォトレジスト層の断面図であって、従来技術にしたがった、線間の間隔が近すぎる場合を示している。ウエハ等の基板204の上には、障壁層206が配されてよい。障壁層206の上には、金属層、ポリシリコン層、または誘電体層等の、導電層または誘電体層208が形成される。層208の上には、DARC層等の反射防止層(ARL)210が形成される。ARL210の上には、パターン形成されたフォトレジスト層212が形成される。この例では、パターン形成されたフォトレジスト層212bは、パターン線214bを形成し、これらのパターン線間の間隔内には、フォトレジスト残留物218が形成されている。小さい場所から残留物を除去することは難しいので、フォトレジスト残留物218の存在は、パターン線214b間の間隔を小さくし過ぎたことに起因する。このため、提供可能な導電線の密度が限られてしまう。
上記を実現するため、そして本発明の目的にしたがって、基板の上のエッチング層内にエッチング特徴を形成するための方法が提供される。少なくとも1枚の層で形成されるエッチングマスクスタックが、エッチング層の上に形成される。幅を伴う複数の間隔を定める第1のマスクが、エッチングマスクスタックの上に形成される。第1のマスクによって定められる間隔の幅を低減させる側壁層が、第1のマスクの上に形成される。第1のマスクによって定められる間隔の幅より小さい幅を有する第1組の特徴が、側壁層を通して、少なくとも部分的にエッチングマスクスタック内へとエッチングされる。マスクおよび側壁層は、除去される。追加の特徴の工程が実施される。該工程は、幅を伴う複数の間隔を定める追加のマスクを、エッチングマスクスタックの上に形成する工程と、追加のマスクによって定められる間隔の幅を低減させる側壁層を、追加のマスクの上に形成する工程と、追加のマスクによって定められる間隔の幅より小さい幅を有する第2組の特徴を、側壁層を通して、少なくとも部分的にエッチングマスクスタック内へとエッチングする工程と、マスクおよび側壁層を除去する工程と、を含む。エッチングマスクスタック内の第1組の特徴および第2組の特徴を通して、複数の特徴がエッチング層内にエッチングされる。
本発明のもう1つの発現形態では、エッチング層内に特徴を形成するための方法が提供される。少なくとも1枚の層で形成されるエッチングマスクスタックが、エッチング層の上に形成される。幅を伴う複数の間隔を定める第1のマスクが、エッチングマスクスタックの上に形成される。複数の間隔は、限界寸法およびピッチを有する。第1のマスクによって定められる間隔の幅を低減させる側壁層が、第1のマスクの上に形成される。幅および限界寸法を有する第1組の特徴が、第1のエッチング化学剤を使用して、側壁層を通して、少なくとも部分的にエッチングマスクスタック内へとエッチングされる。特徴の幅は、第1のマスク内の間隔の幅の少なくとも50%未満であり、特徴の限界寸法は、第1のマスク内の間隔の限界寸法の少なくとも50%未満であり、エッチング層は、エッチングされない。マスクおよび側壁層は、除去される。追加の特徴の工程が実施される。該工程は、幅を伴う複数の間隔を定める追加のマスクを、エッチングマスクスタックの上に形成する工程であって、複数の間隔は、限界寸法およびピッチを有する、工程と、追加のマスクによって定められる間隔の幅を低減させる側壁層を、追加のマスクの上に形成する工程と、幅および限界寸法を有する追加の特徴を、側壁層を通して、少なくとも部分的にエッチングマスクスタック内へとエッチングする工程であって、追加の特徴の幅は、追加のマスク内の間隔の幅の少なくとも50%未満であり、追加の特徴の限界寸法は、追加のマスク内の間隔の限界寸法の少なくとも50%未満であり、特徴および追加の特徴は、第1のマスク内の間隔のピッチおよび追加のマスク内の間隔のピッチの少なくとも50%未満のピッチを有し、エッチング層は、エッチングされない、工程と、マスクおよび側壁層を除去する工程と、を含む。第1のエッチング化学剤と異なる第2のエッチング化学剤を使用して、エッチングマスクスタックの第1組の特徴および追加の特徴を通して、複数の特徴がエッチング層内にエッチングされる。
本発明のこれらの特徴およびその他の特徴が、本発明の詳細な説明において、添付の図面と関連させて、以下で、より詳細に説明される。
添付の図面では、限定ではなく例示を目的として本発明が図示されている。図中、類似の参照符号は、類似の要素を示すものとする。
添付の図面に示されるようないくつかの好ましい実施形態に基づいて、本発明が詳細に説明される。以下の説明では、本発明の完全な理解を可能にするために、多くの詳細が特定されている。しかしながら、当業者ならば明らかなように、本発明は、これらの一部または全部の詳細を特定しなくても実施可能である。また、本発明が不必要に不明瞭になるのを避けるため、周知のプロセス工程および/構造の詳細な説明は省略される。
旧技術のフォトレジストプロセスを使用して小さい限界寸法(CD)の特徴を提供するため、マルチマスク&エッチングのプロセスを使用する次世代のフォトレジストマスクプロセスが開発された。
次世代のマスクプロセスの理解を容易にするため、図3は、本発明の1つの実施形態で使用可能なプロセスのハイレベルな流れ図である。エッチング層の上に、エッチングマスクスタックが形成される(ステップ304)。図4Aは、上に障壁層406を配された、ウエハ等の基板404の断面図である。障壁層406の上に、導電性金属層またはポリシリコン層または誘電体層等のエッチング層408が形成される。エッチング層408の上に、エッチングマスクスタック410が形成される。エッチングマスクスタック410は、1枚または2枚以上の層であってよい。
次いで、エッチングマスクスタック内に、第1の特徴が形成される(ステップ308)。図5は、エッチングマスクステップ内に第1の特徴を形成するステップの、より詳細な流れ図である。エッチングマスクスタック410の上に、パターン形成された第1のフォトレジスト層412が形成される(ステップ504)。この例では、パターン形成された線414は、図に示されるように、線幅「Lp」として定められる幅を有する。フォトレジスト層内の間隔422は、図に示されるように、幅「Sp」を有する。パターン形成されたフォトレジスト層のピッチ長「Pp」は、図に示されるように、線幅と間隔幅との和、すなわちPp=Lp+Spとして定められる。これらの幅は、パターン形成されたフォトレジスト層を形成するために使用されるリソグラフィ技術の解像力によって決定される。ピッチ長を低減させることが望まれている。CDを低減させるため、パターン形成されたフォトレジスト層の上に、側壁層が形成される(ステップ508)。図6は、CDを低減させるために、パターン形成されたフォトレジスト層の上に側壁層を形成するステップ(ステップ508)の、より詳細な流れ図であり、該ステップは、ガスの調整を使用する。この実施形態において、CDを低減させるために、パターン形成されたフォトレジスト層の上に側壁層を形成するステップ(ステップ508)は、堆積の段階604と、輪郭成形の段階608とを含む。堆積の段階は、プラズマを形成するために、第1のガス化学剤を使用する。これは、パターン形成されたフォトレジスト層の側壁の上に、側壁層を堆積させる。輪郭成形の段階608は、プラズマを形成するために、第1のガス化学剤と異なる第2のガス化学剤を使用する。これは、略垂直の側壁を形成するために、堆積物の輪郭を成形する。
図4Bは、側壁の上に側壁層420を堆積された状態の、パターン形成された第1のフォトレジスト層412の断面図である。側壁層420は、パターン形成されたフォトレジスト層の間隔内に側壁層特徴424を形成し、側壁層特徴424は、パターン形成された第1のフォトレジスト層の間隔CDより小さい低減された間隔CDを有する。堆積されたパターン形成された第1のフォトレジスト層の低減された間隔CDは、パターン形成された第1のフォトレジスト層の特徴の間隔CDの少なくとも50%未満であることが好ましい。また、側壁層は、図に示されるように極めて正角の、略垂直の側壁428を有することも望まれている。略垂直の側壁の一例は、特徴の底部に対し、底部から頂部にかけて88〜90度の角度をなす側壁である。正角の側壁は、特徴の頂部から底部にかけてほぼ同じ厚さの堆積層を有する。非正角の側壁は、切子面状またはパン塊状の構造に形成される可能性があり、これは、非略垂直の側壁を提供する。先細の側壁(切子面状の構造に起因する)またはパン塊状の側壁は、堆積層のCDを増大させ、パターン形成されたフォトレジスト層のエッチングを不十分にする可能性がある。好ましくは、側壁上の堆積物は、パターン形成された第1のフォトレジスト層の特徴の底部上の堆積より厚い。より好ましくは、パターン形成された第1のフォトレジスト層の特徴の底部の上には、層が堆積されない。
次いで、側壁層の間隔を通してエッチングスタックマスク410内へと第1組の特徴がエッチングされる(ステップ512)。図4Cは、エッチングマスクスタック内へとエッチングされた第1組の特徴432を示している。この例では、エッチングマスクスタック内にエッチングされた第1組の特徴432は、堆積層特徴の間隔CDに等しいCD幅を有する。実際は、第1組の特徴432の特徴のCDは、堆積層420特徴のCDより僅かに大きい可能性がある。しかしながら、堆積層の特徴のCDは、フォトレジスト412のCDより大幅に小さいので、エッチングマスクスタック410内の特徴のCDは、依然として、フォトレジスト412のCDより小さい。もし、堆積層のCDが、フォトレジストのCDより僅かに小さいに過ぎない場合、または堆積層が、切子面状またはパン塊状に形成された場合は、エッチングマスクスタックのCDは、フォトレジストのCDより小さくならない可能性がある。また、切子面状またはパン塊状に形成された堆積層は、エッチングされるべき層内に、切子面状または不ぞろいな形状の特徴を生じさせる。また、フォトレジスト特徴の底部上の堆積物を最小限にすることも望まれている。この例では、エッチングされるべき層408内にエッチングされる特徴のCDは、フォトレジスト特徴のCDの少なくとも50%未満である。また、この例では、特徴432は、部分的にエッチングマスクスタック410内へとエッチングされる。他の実施形態では、特徴432は、完全にエッチングマスクスタック410内へとエッチングされてよい。
次いで、パターン形成されたフォトレジスト層および堆積された層は、剥離される(ステップ516)。これは、単一のステップによってなされても良いし、あるいは、堆積層除去のステップおよびフォトレジスト剥離のステップの2つの別々のステップによってなされても良い。剥離プロセスには、アッシングが使用されてよい。図4Dは、堆積層およびフォトレジスト層を除去された後の基板400を示している。
追加のエッチングマスクスタック特徴が形成される(ステップ316)。図7は、エッチングマスクステップ内に追加の特徴を形成するステップの、より詳細な流れ図である。エッチングマスクスタック410の上に、パターン形成された追加のフォトレジスト層442が形成される(ステップ704)。パターン形成された追加のフォトレジスト層442は、パターン形成された第1のフォトレジスト層と同じピッチおよび同じCDを有すると考えられる。CDを低減させるため、パターン形成された追加のフォトレジスト層の上に、側壁層が形成される(ステップ708)。側壁層は、パターン形成された第1のフォトレジスト層の上に側壁層を形成するのと同じプロセスを使用して形成されてよい。図4Fは、側壁の上に側壁層450を堆積された状態の、パターン形成された追加のフォトレジスト層442の概略断面図である。側壁層450は、パターン形成されたフォトレジスト層の間隔内に、側壁層特徴454を形成し、側壁層特徴454は、パターン形成された追加のフォトレジスト層の間隔CDより小さい低減された間隔CDを有する。好ましくは、側壁層特徴の低減された間隔は、パターン形成された第2のフォトレジスト層特徴の間隔CDの少なくとも50%未満である。また、側壁層450は、図に示されるように極めて正角の、略垂直の側壁を有することも望まれている。略垂直の壁の一例は、特徴の底部に対し、底部から頂部にかけて88〜90度の角度をなす側壁である。好ましくは、側壁上の堆積物は、フォトレジスト特徴の底部上の堆積より厚い。より好ましくは、フォトレジスト特徴の底部の上には、層が堆積されない。
図4Gに示されるように、エッチングマスクスタック内に特徴がエッチングされ(ステップ712)、第1組のエッチング特徴432間に第2組のエッチング特徴452が形成される。次いで、図4Hに示されるように、パターン形成されたフォトレジスト層および堆積された層は、剥離される(ステップ716)。追加のエッチングマスク特徴の形成(ステップ316)は、完了する。
追加の特徴が形成されるべきか否かについて、チェックがなされる(ステップ320)。この例では、2組の特徴のみが形成されるので、プロセスは、エッチング層内へと特徴をエッチングするステップ(ステップ324)に進む。これは、エッチングマスクとしてエッチングマスクスタック410を使用することによって達成される。
次いで、図4Iに示されるように、エッチング層408をエッチングするために、エッチングマスクスタック410がエッチングマスクとして使用される(ステップ324)。好ましくは、このプロセスは、エッチングスタックマスク内に特徴をエッチングするために使用されるエッチング化学剤とは異なるエッチング化学剤を使用する。また、好ましくは、エッチング化学剤は、エッチングスタックマスク410に対してエッチング層408を選択的にエッチングする。
次いで、図4Jに示されるように、エッチングマスクスタックが除去される(ステップ328)。1つの実施形態では、エッチングマスクスタックは、エッチング層のエッチングの最中に除去される。もう1つの実施形態では、エッチングマスクスタックは、エッチング層が完全にエッチングされた後に、別個のステップで除去される。エッチング層の線幅は、Lfとして示される。エッチング層内の特徴の間隔幅は、Sfとして示される。特徴のピッチ長は、Pf=Lf+Sfとして示される。特徴のピッチPf、特徴の線幅Lf、および特徴の間隔幅Sfとの比較のために、図4Aにおけるパターン形成されたフォトレジスト層のピッチPp、フォトレジストの線幅Lp、およびフォトレジストの間隔Spを、図4Jに示した。この実施形態では、特徴間の線幅Lfが、パターン形成されたフォトレジスト層の線幅Lpの半分で、特徴の間隔幅Sfが、パターン形成されたフォトレジスト層内の間隔Spの半分であるので、特徴のピッチの長さPfは、パターン形成されたフォトレジスト層のピッチの長さPpの半分である。したがって、このプロセスは、同じフォトレジストリソグラフィプロセスを使用しつつ、2つのマスキングステップを使用して、ピッチ長、線幅、および特徴幅を半分に低減させることにより、エッチング特徴の解像力を2倍にすることができる。この例では、図に示されるように、パターン形成された第1のフォトレジスト層からの第1組のエッチング特徴は、パターン形成された第2のフォトレジスト層からの第2組のエッチング特徴と同じ深さ、またはほぼ同じ深さまでエッチングされる。第1のエッチングマスクスタックの特徴からエッチングされた特徴462と、第2のエッチングマスクスタックの特徴からの特徴466とを交互させることによって、低減されたピッチが提供される。
単層のエッチングマスクスタック
本発明の1つの実施形態では、エッチングマスクスタックは単層である。この実施形態では、単層は、耐剥離性の層である。耐剥離性の層は、非晶質炭素および耐剥離性ポリマの少なくとも一方であることが好ましい。より好ましくは、耐剥離性の層は、非晶質炭素である。本明細書および添付の特許請求の範囲において、非晶質炭素は、ハロゲンフリーのポリマとして定義される。耐剥離性のポリマ、またはエッチングマスクスタックの単層として使用されるその他の材料は、単層が除去することなく湿式または乾式のプロセスによってフォトレジストのパターン形成および剥離がなされるように、フォトレジストと十分に異なることが望まれる。1つの実施形態では、単層を形成する材料は、フォトレジストを剥離させるために使用される酸素による剥離に対して耐性である。もう1つの実施形態では、単層は、フォトレジストプロセスで使用される水素含有ガスまたは水素含有液体に対して耐性である。もう1つの実施形態では、紫外線放射を使用する等によって単層が硬化されるので、単層は、剥離プロセスに対して耐性である。あるいは、単層は、使用される剥離プロセスに対して生来的に耐性であり、硬化を必要としない。このような場合、単層の材料は、水素による剥離プロセスについてはH2またはNH3等の水素含有プラズマに対して耐性であり、あるいは、酸素による剥離プロセスについては酸素含有プラズマに対して耐性である。
多層のエッチングマスクスタック
本発明のもう1つの実施形態では、エッチングマスクスタックは、2枚の層を含む。図8Aは、基板804の上に障壁層806を、その上にエッチング層808を、そしてその上に第1の層810および第2の層811を伴うエッチングマスクスタックを配した断面図である。第2の層811の上に、フォトレジストマスク814が配される。CDを低減させるため、フォトレジストマスクの側壁の上に、側壁層820が配される。第1のエッチング化学剤を使用して、部分的に第2の層811内へと、第1のマスク特徴832がエッチングされるので、図に示されるように、この実施形態では、第1のマスク特徴は第1の層810に達しない。
図8Bに示されるように、フォトレジストマスク814および側壁層820は除去され、第2の層811の上に第2のフォトレジストマスク842が形成される。CDを低減させるため、第2のフォトレジストマスク842の上に、側壁層850が形成される。部分的に第2の層811内へと、第2のマスク特徴852がエッチングされるので、図に示されるように、この実施形態では、第2のマスク特徴は第1の層810に達しない。いずれのエッチングでも、第2の層811がエッチングされるので、このエッチングは、第1のエッチング化学剤を使用してよい。
図8Cに示されるように、第2の層811の上から、フォトレジストマスクおよび側壁層が除去される。図8Dに示されるように、第2のエッチング化学剤は、エッチングマスクスタックの第1の層810をエッチングするために、第2の層811をエッチングマスクとして使用する。このエッチングは、第2の層811に対して第1の層810を選択的にエッチングするので、第2のエッチング化学剤は、第1のエッチング化学剤と異なることが好ましい。1つの実施形態では、第1の層810は、部分的にのみエッチングされる。もう1つのエッチングでは、第1の層810は、図に示されるように、完全にエッチングされ貫通される。
1つの実施形態では、第2の層は除去される。この実施形態では、第2の層は、図8Eに示されるように、第3のエッチング化学剤を使用してエッチング層808内に特徴がエッチングされるまで除去されない。エッチング層808は、エッチングマスクスタックの第1の層810に対して選択的にエッチングされるので、第3のエッチング化学剤は、第2のエッチング化学剤と異なることが好ましい。第1の層810および第2の層811で形成されたエッチングマスクスタックの残りは、次いで、図8Fに示されるように、除去される。もう1つの実施形態では、エッチングマスクスタックの第2の層811は、エッチング層のエッチングの最中に除去される。もう1つの実施形態では、第1の層810および第2の層811の両方が、エッチング層808のエッチングの最中に除去される。
この実施形態では、第2の層811は、剥離保護マスクとして機能する。これは、次世代のパターン形成マスクとして機能する第1の層のフォトレジスト剥離の最中に、保護マスクとして機能する。剥離保護マスク811は、フォトレジストマスクの剥離の最中の損傷から、次世代のパターン形成マスク810を保護する。
単層の剥離保護マスクによって所望の目標を得られるものの、実施形態によっては、エッチングの均一性の制御が課題となる場合がある。剥離保護マスクが優れた均一性を有する場合でない限り、一部の特徴がエッチングマスクスタックの第1の層内へと突き出して、該第1の層を剥離プロセスに暴露させる可能性がある。これは、第1の層を損傷させる恐れがある。この問題を回避するため、二層の剥離保護マスクが使用されてよい。図9Aは、第1の層910、第2の層911、および第3の層913を含むエッチングスタックマスクの下にエッチング層908、その下に障壁層906、そしてその下に基板904を配した断面図である。第3の層913の上に、フォトレジストマスク914が形成されている。フォトレジストマスク914の側壁の上に、側壁層920が形成される。第2の層911に到るまで第3の層913をエッチングするために、第1のエッチング化学剤を使用したエッチングプロセスが用いられる。第2の層911は、図9Bに示されるように、エッチング停止層として使用される。したがって、第1のエッチング化学剤は、第2の層に対して第3の層を選択的にエッチングする。エッチング停止層を用意することによって、エッチングマスクスタックの第1の層910は、剥離プロセスの最中に暴露されないように保護される。この例では、第3の層913は、剥離保護マスクとして機能する。
フォトレジストマスク914および側壁層920は除去され、第3の層913の上に第2のフォトレジストマスク942が形成される。図9Cに示されるように、第2のフォトレジストマスク942の上に、側壁層950が形成される。第1のエッチング化学剤を使用して、エッチング停止層911に到るまで第3の層913の露出部分がエッチングされ、第2組の特徴952が形成される。
フォトレジストマスク942および側壁層950は除去される。図9Dに示されるように、第3の層913に対して第2の層911を選択的にエッチングするために、第1のエッチング化学剤と異なる第2のエッチング化学剤が使用される。エッチングマスクスタックの第1の層910をエッチングするために、第3のエッチング化学剤が使用される。本発明の1つの実施形態では、第3のエッチング化学剤は、第2のエッチング化学剤と同じである。本発明のもう1つの実施形態では、第3のエッチング化学剤は、第2のエッチング化学剤と異なる。
図9Eに示されるように、エッチングマスクスタックの第1の層910を通してエッチング層908内へと特徴をエッチングするために、第4のエッチング化学剤が使用される。好ましい実施形態では、第1の層910に対してエッチング層908を選択的にエッチング可能にするために、第4のエッチング化学剤は、第3のエッチング化学剤と異なる。次いで、図9Fに示されるように、エッチングマスクスタックは剥離される。1つの実施形態では、エッチングマスクスタックの第3の層913、および恐らくは第2の層911は、エッチング層908のエッチング前に除去される。
この三層のエッチングマスクスタックでは、多くの材料の組み合わせを用いることができる。1つの実施形態では、剥離保護マスク913はSiO2であり、エッチング停止マスク911は、SiC、Si23、SiOC、SiON、Ta、TaN、Ti、およびTiNのうちの少なくとも1つ、またはSiO2に対して選択的にエッチング可能な任意の他の材料である。もう1つの実施形態では、剥離保護マスク913はSiCであり、エッチング停止マスク911は、SiO2、Si23、SiOC、SiON、Ta、TaN、Ti、およびTiNのうちの少なくとも1つである。もう1つの実施形態では、剥離保護マスク913はSi23であり、エッチング停止マスク911は、SiO2、SiC、SiOC、SiON、Ta、TaN、Ti、およびTiNのうちの少なくとも1つである。もう1つの実施形態では、剥離保護マスク913はSiONであり、エッチング停止マスク911は、SiO2、SiC、SiOC、Si23、Ta、TaN、Ti、およびTiNのうちの少なくとも1つである。もう1つの実施形態では、剥離保護マスク913はSiOCであり、エッチング停止マスク911は、SiO2、SiC、SiON、Si23、Ta、TaN、Ti、およびTiNのうちの少なくとも1つである。もう1つの実施形態では、剥離保護マスク913は、TiN、Ta、TaN、およびTiのうちの少なくとも1つであり、エッチング停止マスク911は、SiO2、SiC、SiON、およびSi23のうちの少なくとも1つである。SiO2は、フォトレジスト剥離プロセスの最中の損傷に対して極めて耐性であるので、剥離保護マスクは、SiO2であることが最も好ましい。
本発明の他の実施形態は、3組以上のエッチングマスクスタック特徴を使用してよい。例えば、特徴のレイアウトが各レチクルのピッチの3分の1のピッチを有するように、3組のエッチングマスクスタック特徴が使用されてよい。もう1つの例では、特徴のレイアウトが各レチクルのピッチの4分の1のピッチを有するように、4組のエッチングマスクスタック特徴が使用されてよい。このようなマルチマスクプロセスは、2005年2月3日付けで出願されたJeffrey MarksおよびReza Sadjadiによる米国特許出願第11/050,985「Reduction of Feature Critical Dimensions Using Multiple Masks(複数マスクの使用による、特徴限界寸法の低減)」に記載されており、当該文献は、引用によって本明細書に組み込まれるものとする。
エッチングマスクスタックが無いと、異なるフォトレジスト材料または異なるツールによってプロセスシフトが生じる。エッチングマスクスタックは、異なるフォトレジスト材料および異なるツールに起因するプロセスシフトを低減させる。マルチマスクプロセスでは、層は、複数回のフォトレジスト堆積および複数回の剥離を経る。エッチングマスクスタックは、複数回のフォトレジスト堆積および複数回の剥離に起因しうるエッチング層の損傷を低減させる。
以上では、好ましい実施形態の観点から本発明が説明された。しかしながら、変更形態、置換形態、および様々な代替の等価形態が本発明の範囲に含まれる。また、本発明の方法および装置を実現するものとして、多くの代替の方法がある。したがって、添付の特許請求の範囲は、本発明の真の趣旨および範囲に含まれるものとして、このようなあらゆる変更形態、置換形態、および様々な代替の等価形態を含むものと解釈される。
従来技術にしたがってエッチングされたスタックの概略断面図である。 従来技術にしたがってエッチングされたスタックの概略断面図である。 従来技術にしたがって形成された、パターン形成されたフォトレジスト層の概略断面図である。 従来技術にしたがって形成された、パターン形成されたフォトレジスト層の概略断面図である。 本発明の1つの実施形態で使用可能なプロセスのハイレベルな流れ図である。 本発明の1つの実施形態にしたがって処理されたエッチング層の概略断面図である。 本発明の1つの実施形態にしたがって処理されたエッチング層の概略断面図である。 本発明の1つの実施形態にしたがって処理されたエッチング層の概略断面図である。 本発明の1つの実施形態にしたがって処理されたエッチング層の概略断面図である。 本発明の1つの実施形態にしたがって処理されたエッチング層の概略断面図である。 本発明の1つの実施形態にしたがって処理されたエッチング層の概略断面図である。 本発明の1つの実施形態にしたがって処理されたエッチング層の概略断面図である。 本発明の1つの実施形態にしたがって処理されたエッチング層の概略断面図である。 本発明の1つの実施形態にしたがって処理されたエッチング層の概略断面図である。 本発明の1つの実施形態にしたがって処理されたエッチング層の概略断面図である。 エッチングマスクステップ内へと第1の特徴を形成するステップの、より詳細な流れ図である。 パターン形成されたフォトレジスト層の上に側壁を形成するステップの流れ図である。 エッチングマスクステップ内へと追加の特徴を形成するステップの、より詳細な流れ図である。 本発明のもう1つの実施形態にしたがって処理されたエッチング層の概略断面図である。 本発明のもう1つの実施形態にしたがって処理されたエッチング層の概略断面図である。 本発明のもう1つの実施形態にしたがって処理されたエッチング層の概略断面図である。 本発明のもう1つの実施形態にしたがって処理されたエッチング層の概略断面図である。 本発明のもう1つの実施形態にしたがって処理されたエッチング層の概略断面図である。 本発明のもう1つの実施形態にしたがって処理されたエッチング層の概略断面図である。 本発明のもう1つの実施形態にしたがって処理されたエッチング層の概略断面図である。 本発明のもう1つの実施形態にしたがって処理されたエッチング層の概略断面図である。 本発明のもう1つの実施形態にしたがって処理されたエッチング層の概略断面図である。 本発明のもう1つの実施形態にしたがって処理されたエッチング層の概略断面図である。 本発明のもう1つの実施形態にしたがって処理されたエッチング層の概略断面図である。 本発明のもう1つの実施形態にしたがって処理されたエッチング層の概略断面図である。

Claims (21)

  1. 基板の上のエッチング層内にエッチング特徴を形成するための方法であって、
    少なくとも1枚の層で形成されるエッチングマスクスタックを、前記エッチング層の上に形成する工程と、
    第1のピッチおよび幅を伴う複数の間隔を定める第1のマスクを、前記エッチングマスクスタックの上に形成する工程と、
    前記第1のマスクによって定められる前記間隔の幅を低減させる側壁層を、前記第1のマスクの上に形成する工程と、
    前記第1のマスクによって定められる前記間隔の幅より小さい幅を有する第1組の特徴を、前記側壁層を通して、少なくとも部分的に前記エッチングマスクスタック内へとエッチングする工程と、前記第1のマスクの上に側壁層を形成する工程は、少なくとも2つのサイクルを含み、各サイクルは、
    デポジションプラズマを形成して前記第1のマスクの前記側壁の上に堆積物を形成するために、第1のガス化学剤を使用する、第1の堆積の段階と、
    前記第1のマスクの前記側壁の上の前記堆積物の輪郭を成形するために、前記第1の化学剤とは異なる第2のガス化学剤を使用する、輪郭成形の段階と、を含むことと、
    前記マスクおよび前記側壁層を除去する工程と、
    追加の特徴を形成する工程であって、
    幅を伴う複数の間隔を定める追加のマスクを、前記エッチングマスクスタックの上に形成する工程と、
    前記追加のマスクによって定められる前記間隔の幅を低減させる側壁層を、前記追加のマスクの上に形成する工程と、
    前記追加のマスクによって定められる前記間隔の幅より小さい幅を有する第2組の特徴を、前記側壁層を通して、少なくとも部分的に前記エッチングマスクスタック内へとエッチングする工程と、前記第1組の特長および前記第2の組の特徴からなる前記エッチングマスクスタック上のマスク特徴は前記第1のピッチよりも狭い第2のピッチを有することと、
    前記マスクおよび前記側壁層を除去する工程と、
    を含む工程と、
    前記エッチングマスクスタック内の前記第1組の特徴および前記第2組の特徴からなる前記マスク特徴を通して、複数の特徴を前記エッチング層内にエッチングする工程と、
    を備える方法。
  2. 請求項1に記載の方法であって、
    前記エッチングマスクスタックは、前記第1のマスクおよび前記第2のマスクと比べて剥離に対する耐性が強い材料の、耐剥離性の層を含む、方法。
  3. 請求項2に記載の方法であって、
    前記耐剥離性の層は、非晶質炭素、炭化水素、ハイドロフルオロカーボン、およびポリマ材料のうちの少なくとも1つを含む、方法。
  4. 請求項3に記載の方法であって、
    前記エッチングマスクスタックは、更に、前記耐剥離性の層の上に剥離保護層を含む、方法。
  5. 請求項4に記載の方法であって、
    前記エッチングマスクスタックは、更に、前記剥離保護層と前記耐剥離性の層との間にエッチング停止層を含む、方法。
  6. 請求項1ないし5のいずれかに記載の方法であって、更に、
    前記追加の特徴を形成する工程を少なくとも1回繰り返す工程を備える方法。
  7. 請求項1ないし6のいずれかに記載の方法であって、
    前記追加のマスクの上に側壁層を形成する工程は、少なくとも1つのサイクルであり、
    デポジションプラズマを形成して前記追加のマスクの前記側壁の上に堆積物を形成するために、第3のガス化学剤を使用する、堆積の段階と、
    前記追加のマスクの前記側壁の上の前記堆積物の輪郭を成形するために、第4のガス化学剤を使用する、輪郭成形の段階であって、前記第3のガス化学剤は、前記第4のガス化学剤と異なる、段階と、
    を含む、方法。
  8. 請求項7に記載の方法であって、
    前記追加のマスクの上に側壁層を形成する工程は、少なくとも2つのサイクルに渡って実施される、方法。
  9. 請求項1ないし8のいずれかに記載の方法であって、
    前記側壁層を形成する工程は、略垂直の側壁を形成する、方法。
  10. 請求項1ないし9のいずれかに記載の方法であって、
    前記第1のマスクおよび前記追加のマスクは、フォトレジストマスクであり、前記側壁層は、ポリマ材料で形成される、方法。
  11. 請求項1ないし10のいずれかに記載の方法であって、
    前記マスクおよび前記側壁層を除去する工程は、前記マスクおよび前記側壁層をアッシングする工程を含む、方法。
  12. 請求項1ないし11のいずれかに記載の方法であって、
    前記第1組の特徴の前記特徴の幅は、前記第1のマスクによって定められる前記間隔の幅の少なくとも50%未満である、方法。
  13. 請求項1ないし12のいずれかに記載の方法であって、
    前記第1のマスク内の前記間隔は、ピッチ長を有し、前記エッチング層内に形成される前記特徴は、前記第1のマスクによって定められる前記間隔のピッチ長の少なくとも50%未満のピッチ長を有する、方法。
  14. 請求項1ないし13のいずれかに記載の方法であって、
    前記第1組の特徴をエッチングする工程は、前記エッチング層をエッチングしない、方法。
  15. 請求項1ないし14のいずれかに記載の方法であって、更に、
    前記エッチングマスクスタックを除去する工程を備える方法。
  16. 請求項1ないし15のいずれかに記載の方法によって形成される半導体デバイス。
  17. エッチング層内に特徴を形成するための方法であって、
    少なくとも1枚の層で形成されるエッチングマスクスタックを、前記エッチング層の上に形成する工程と、
    幅を伴う複数の間隔を定める第1のマスクを、前記エッチングマスクスタックの上に形成する工程であって、前記複数の間隔は、限界寸法およびピッチを有する、工程と、
    前記第1のマスクによって定められる前記間隔の幅を低減させる側壁層を、前記第1のマスクの上に形成する工程と、前記第1のマスクの上に側壁層を形成する工程は、少なくとも2つのサイクルを含み、各サイクルは、
    デポジションプラズマを形成して前記第1のマスクの前記側壁の上に堆積物を形成するために、第1のガス化学剤を使用する、第1の堆積の段階と、
    前記第1のマスクの前記側壁の上の前記堆積物の輪郭を成形するために、前記第1のガス化学剤とは異なる第2のガス化学剤を使用する、輪郭成形の段階と、を含むことと、
    幅および限界寸法を有する第1組の特徴を、第1のエッチング化学剤を使用して、前記側壁層を通して、少なくとも部分的に前記エッチングマスクスタック内へとエッチングする工程であって、前記特徴の幅は、前記第1のマスク内の前記間隔の幅の少なくとも50%未満であり、前記特徴の限界寸法は、前記第1のマスク内の前記間隔の限界寸法の少なくとも50%未満であり、前記エッチング層は、エッチングされない、工程と、
    前記マスクおよび前記側壁層を除去する工程と、
    追加の特徴の形成を実施する工程であって、
    幅を伴う複数の間隔を定める追加のマスクを、前記エッチングマスクスタックの上に形成することであって、前記複数の間隔は、限界寸法およびピッチを有する、工程と、
    前記追加のマスクによって定められる前記間隔の幅を低減させる側壁層を、前記追加のマスクの上に形成する工程と、
    幅および限界寸法を有する追加の特徴を、前記側壁層を通して、少なくとも部分的に前記エッチングマスクスタック内へとエッチングする工程であって、前記追加の特徴の幅は、前記追加のマスク内の前記間隔の幅の少なくとも50%未満であり、前記追加の特徴の限界寸法は、前記追加のマスク内の前記間隔の限界寸法の少なくとも50%未満であり、前記特徴および前記追加の特徴は、前記第1のマスク内の前記間隔のピッチおよび前記追加のマスク内の前記間隔のピッチの少なくとも50%未満のピッチを有し、前記エッチング層は、エッチングされない、工程と、
    前記マスクおよび前記側壁層を除去する工程と、
    を含む工程と、
    前記第1のエッチング化学剤と異なる第2のエッチング化学剤を使用して、前記エッチングマスクスタックの前記第1組の特徴および前記追加の特徴を通して、複数の特徴を前記エッチング層内にエッチングする工程と、
    を備える方法。
  18. 請求項17に記載の方法であって、
    前記追加のマスクの上に側壁層を形成する工程は、少なくとも2つのサイクルを含み、各サイクルは、
    デポジションプラズマを形成して前記追加のマスクの前記側壁の上に堆積物を形成するために、第3のガス化学剤を使用する、堆積の段階と、
    前記追加のマスクの前記側壁の上の前記堆積物の輪郭を成形するために、第4のガス化学剤を使用する、輪郭成形の段階であって、前記第3のガス化学剤は、前記第4のガス化学剤と異なる、段階と、
    を含む、方法。
  19. 請求項17ないし18のいずれかに記載の方法であって、
    前記第1のマスクは、フォトレジストマスクであり、前記側壁層は、ポリマ材料の層である、方法。
  20. 請求項17ないし19のいずれかに記載の方法であって、
    前記エッチングマスクスタックは、前記第1のマスクおよび前記第2のマスクと比べて剥離に対する耐性が強い材料の、耐剥離性の層を含み、前記方法は、更に、前記エッチングスタックマスクを除去する工程を備える、方法。
  21. 請求項1ないし15および請求項17ないし20のいずれかに記載の方法であって、
    前記追加のマスクは、前記第1組の特徴を埋める、方法。
JP2008519292A 2005-06-28 2006-05-10 エッチングマスクスタックを用いたマルチマスクプロセス Active JP5247440B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/170,273 2005-06-28
US11/170,273 US7271108B2 (en) 2005-06-28 2005-06-28 Multiple mask process with etch mask stack
PCT/US2006/018144 WO2007001647A1 (en) 2005-06-28 2006-05-10 Multiple mask process with etch mask stack

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2012151074A Division JP2012231162A (ja) 2005-06-28 2012-07-05 エッチングマスクスタックを用いたマルチマスクプロセス

Publications (3)

Publication Number Publication Date
JP2008547236A JP2008547236A (ja) 2008-12-25
JP2008547236A5 JP2008547236A5 (ja) 2009-07-09
JP5247440B2 true JP5247440B2 (ja) 2013-07-24

Family

ID=37566371

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2008519292A Active JP5247440B2 (ja) 2005-06-28 2006-05-10 エッチングマスクスタックを用いたマルチマスクプロセス
JP2012151074A Pending JP2012231162A (ja) 2005-06-28 2012-07-05 エッチングマスクスタックを用いたマルチマスクプロセス

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2012151074A Pending JP2012231162A (ja) 2005-06-28 2012-07-05 エッチングマスクスタックを用いたマルチマスクプロセス

Country Status (9)

Country Link
US (1) US7271108B2 (ja)
EP (1) EP1900017A4 (ja)
JP (2) JP5247440B2 (ja)
KR (1) KR101321159B1 (ja)
CN (1) CN101208787B (ja)
IL (1) IL188470A (ja)
MY (1) MY139745A (ja)
TW (1) TWI403827B (ja)
WO (1) WO2007001647A1 (ja)

Families Citing this family (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7449348B1 (en) * 2004-06-02 2008-11-11 Advanced Micro Devices, Inc. Feedback control of imprint mask feature profile using scatterometry and spacer etchback
US7539969B2 (en) * 2005-05-10 2009-05-26 Lam Research Corporation Computer readable mask shrink control processor
US7465525B2 (en) 2005-05-10 2008-12-16 Lam Research Corporation Reticle alignment and overlay for multiple reticle process
US7695632B2 (en) * 2005-05-31 2010-04-13 Lam Research Corporation Critical dimension reduction and roughness control
US7682516B2 (en) * 2005-10-05 2010-03-23 Lam Research Corporation Vertical profile fixing
KR100719168B1 (ko) * 2005-12-28 2007-05-17 주식회사 하이닉스반도체 비정질카본을 이용한 반도체소자의 제조 방법
US8852851B2 (en) 2006-07-10 2014-10-07 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
US7862985B2 (en) * 2006-09-22 2011-01-04 Tokyo Electron Limited Method for double patterning a developable anti-reflective coating
US7811747B2 (en) * 2006-09-22 2010-10-12 Tokyo Electron Limited Method of patterning an anti-reflective coating by partial developing
US20080073321A1 (en) * 2006-09-22 2008-03-27 Tokyo Electron Limited Method of patterning an anti-reflective coating by partial etching
US7858293B2 (en) * 2006-09-22 2010-12-28 Tokyo Electron Limited Method for double imaging a developable anti-reflective coating
US7883835B2 (en) * 2006-09-22 2011-02-08 Tokyo Electron Limited Method for double patterning a thin film
JP2008091720A (ja) * 2006-10-03 2008-04-17 Toshiba Corp 半導体装置の製造方法
KR100866723B1 (ko) * 2006-12-28 2008-11-05 주식회사 하이닉스반도체 반도체 소자 및 그의 미세 패턴 형성 방법
US7767386B2 (en) * 2007-01-15 2010-08-03 Tokyo Electron Limited Method of patterning an organic planarization layer
US7932017B2 (en) * 2007-01-15 2011-04-26 Tokyo Electron Limited Method of double patterning a thin film using a developable anti-reflective coating and a developable organic planarization layer
KR100905157B1 (ko) * 2007-09-18 2009-06-29 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
WO2009085598A2 (en) * 2007-12-21 2009-07-09 Lam Research Corporation Photoresist double patterning
US20090209097A1 (en) * 2008-02-15 2009-08-20 Thomas Schulz Method of forming interconnects
US7989307B2 (en) 2008-05-05 2011-08-02 Micron Technology, Inc. Methods of forming isolated active areas, trenches, and conductive lines in semiconductor structures and semiconductor structures including the same
US10151981B2 (en) 2008-05-22 2018-12-11 Micron Technology, Inc. Methods of forming structures supported by semiconductor substrates
US7772122B2 (en) * 2008-09-18 2010-08-10 Lam Research Corporation Sidewall forming processes
US8247302B2 (en) 2008-12-04 2012-08-21 Micron Technology, Inc. Methods of fabricating substrates
US8796155B2 (en) 2008-12-04 2014-08-05 Micron Technology, Inc. Methods of fabricating substrates
US8252192B2 (en) * 2009-03-26 2012-08-28 Tokyo Electron Limited Method of pattern etching a dielectric film while removing a mask layer
US9330934B2 (en) 2009-05-18 2016-05-03 Micron Technology, Inc. Methods of forming patterns on substrates
US8575032B2 (en) 2011-05-05 2013-11-05 Micron Technology, Inc. Methods of forming a pattern on a substrate
CN102983067B (zh) * 2011-09-07 2015-10-14 中国科学院微电子研究所 混合线条的制造方法
US8962484B2 (en) 2011-12-16 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming pattern for semiconductor device
US9177794B2 (en) 2012-01-13 2015-11-03 Micron Technology, Inc. Methods of patterning substrates
US8629048B1 (en) 2012-07-06 2014-01-14 Micron Technology, Inc. Methods of forming a pattern on a substrate
CN103928392B (zh) * 2013-01-10 2017-05-17 中芯国际集成电路制造(上海)有限公司 局部互连结构的制作方法
US8980758B1 (en) * 2013-09-17 2015-03-17 Applied Materials, Inc. Methods for etching an etching stop layer utilizing a cyclical etching process
CN104465386A (zh) * 2013-09-24 2015-03-25 中芯国际集成电路制造(北京)有限公司 半导体结构的形成方法
US10734238B2 (en) * 2017-11-21 2020-08-04 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for critical dimension control
US10566194B2 (en) * 2018-05-07 2020-02-18 Lam Research Corporation Selective deposition of etch-stop layer for enhanced patterning
KR102515391B1 (ko) * 2018-08-29 2023-03-31 주식회사 원익아이피에스 반도체 소자의 제조방법
JP7195113B2 (ja) * 2018-11-07 2022-12-23 東京エレクトロン株式会社 処理方法及び基板処理装置

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6376330A (ja) * 1986-09-18 1988-04-06 Oki Electric Ind Co Ltd 半導体装置の製造方法
US4707218A (en) * 1986-10-28 1987-11-17 International Business Machines Corporation Lithographic image size reduction
US4801350A (en) * 1986-12-29 1989-01-31 Motorola, Inc. Method for obtaining submicron features from optical lithography technology
US4838991A (en) * 1987-10-30 1989-06-13 International Business Machines Corporation Process for defining organic sidewall structures
US5420067A (en) * 1990-09-28 1995-05-30 The United States Of America As Represented By The Secretary Of The Navy Method of fabricatring sub-half-micron trenches and holes
JP3050965B2 (ja) 1991-09-27 2000-06-12 沖電気工業株式会社 レジストパタンの形成方法
US5874359A (en) * 1995-04-27 1999-02-23 Industrial Technology Research Institute Small contacts for ultra large scale integration semiconductor devices without separation ground rule
US5654238A (en) * 1995-08-03 1997-08-05 International Business Machines Corporation Method for etching vertical contact holes without substrate damage caused by directional etching
JP3393286B2 (ja) * 1995-09-08 2003-04-07 ソニー株式会社 パターンの形成方法
US5895740A (en) * 1996-11-13 1999-04-20 Vanguard International Semiconductor Corp. Method of forming contact holes of reduced dimensions by using in-situ formed polymeric sidewall spacers
US5981148A (en) * 1997-07-17 1999-11-09 International Business Machines Corporation Method for forming sidewall spacers using frequency doubling hybrid resist and device formed thereby
US6183937B1 (en) * 1998-05-06 2001-02-06 Taiwan Semiconductor Manufacturing Company Post photodevelopment isotropic radiation treatment method for forming patterned photoresist layer with attenuated linewidth
JP2000252286A (ja) * 1999-02-25 2000-09-14 Nec Corp 半導体装置の製造方法
US6416933B1 (en) * 1999-04-01 2002-07-09 Advanced Micro Devices, Inc. Method to produce small space pattern using plasma polymerization layer
US6110837A (en) * 1999-04-28 2000-08-29 Worldwide Semiconductor Manufacturing Corp. Method for forming a hard mask of half critical dimension
US6500744B2 (en) * 1999-09-02 2002-12-31 Micron Technology, Inc. Methods of forming DRAM assemblies, transistor devices, and openings in substrates
US6610607B1 (en) * 2000-05-25 2003-08-26 International Business Machines Corporation Method to define and tailor process limited lithographic features using a modified hard mask process
DE10042929A1 (de) * 2000-08-31 2002-03-21 Infineon Technologies Ag OPC-Verfahren zum Erzeugen von korrigierten Mustern für eine Phasensprungmaske und deren Trimmmaske sowie zugehörige Vorrichtung und integrierte Schaltungsstruktur
US6528238B1 (en) * 2000-09-22 2003-03-04 David Seniuk Methods for making patterns in radiation sensitive polymers
US6665856B1 (en) * 2000-12-01 2003-12-16 Numerical Technologies, Inc. Displacing edge segments on a fabrication layout based on proximity effects model amplitudes for correcting proximity effects
US6653026B2 (en) * 2000-12-20 2003-11-25 Numerical Technologies, Inc. Structure and method of correcting proximity effects in a tri-tone attenuated phase-shifting mask
US6589713B1 (en) * 2001-01-29 2003-07-08 Advanced Micro Devices, Inc. Process for reducing the pitch of contact holes, vias, and trench structures in integrated circuits
US20020182549A1 (en) * 2001-05-31 2002-12-05 Ya-Hui Chang Alternate exposure method for improving photolithography resolution
US6528372B2 (en) * 2001-06-27 2003-03-04 Advanced Micro Devices, Inc. Sidewall spacer definition of gates
US6699792B1 (en) * 2001-07-17 2004-03-02 Advanced Micro Devices, Inc. Polymer spacers for creating small geometry space and method of manufacture thereof
US20030064585A1 (en) * 2001-09-28 2003-04-03 Yider Wu Manufacture of semiconductor device with spacing narrower than lithography limit
US6750150B2 (en) * 2001-10-18 2004-06-15 Macronix International Co., Ltd. Method for reducing dimensions between patterns on a photoresist
DE10223249A1 (de) 2002-05-22 2003-12-18 Infineon Technologies Ag Vorrichtung, Verfahren und Maske zur Strukturierung eines Substrates
US6734107B2 (en) * 2002-06-12 2004-05-11 Macronix International Co., Ltd. Pitch reduction in semiconductor fabrication
US6774051B2 (en) 2002-06-12 2004-08-10 Macronix International Co., Ltd. Method for reducing pitch
US6764949B2 (en) * 2002-07-31 2004-07-20 Advanced Micro Devices, Inc. Method for reducing pattern deformation and photoresist poisoning in semiconductor device fabrication
WO2004077162A1 (en) * 2003-02-27 2004-09-10 The University Of Hong Kong Multiple exposure method for circuit performance improvement
US7326501B2 (en) * 2003-03-10 2008-02-05 Intel Corporation Method for correcting focus-dependent line shifts in printing with sidewall chrome alternating aperture masks (SCAAM)
JP2004296930A (ja) * 2003-03-27 2004-10-21 Nec Electronics Corp パターン形成方法
JP3923927B2 (ja) * 2003-07-07 2007-06-06 沖電気工業株式会社 半導体装置の製造方法
US7250371B2 (en) * 2003-08-26 2007-07-31 Lam Research Corporation Reduction of feature critical dimensions
JP4727171B2 (ja) * 2003-09-29 2011-07-20 東京エレクトロン株式会社 エッチング方法
US6968532B2 (en) * 2003-10-08 2005-11-22 Intel Corporation Multiple exposure technique to pattern tight contact geometries
US6955961B1 (en) * 2004-05-27 2005-10-18 Macronix International Co., Ltd. Method for defining a minimum pitch in an integrated circuit beyond photolithographic resolution
US7105099B2 (en) * 2004-07-14 2006-09-12 Macronix International Co., Ltd. Method of reducing pattern pitch in integrated circuits

Also Published As

Publication number Publication date
US7271108B2 (en) 2007-09-18
IL188470A (en) 2011-03-31
JP2012231162A (ja) 2012-11-22
MY139745A (en) 2009-10-30
TW200702903A (en) 2007-01-16
KR101321159B1 (ko) 2013-10-22
TWI403827B (zh) 2013-08-01
WO2007001647A1 (en) 2007-01-04
CN101208787B (zh) 2010-09-08
EP1900017A1 (en) 2008-03-19
US20060290012A1 (en) 2006-12-28
EP1900017A4 (en) 2012-05-09
CN101208787A (zh) 2008-06-25
IL188470A0 (en) 2008-04-13
JP2008547236A (ja) 2008-12-25
KR20080031694A (ko) 2008-04-10

Similar Documents

Publication Publication Date Title
JP5247440B2 (ja) エッチングマスクスタックを用いたマルチマスクプロセス
US8703570B2 (en) Methods of fabricating substrates
US6720132B2 (en) Bi-layer photoresist dry development and reactive ion etch method
KR101573954B1 (ko) 포토레지스트 더블 패터닝
US6416933B1 (en) Method to produce small space pattern using plasma polymerization layer
KR101318976B1 (ko) 자기 정렬된 피치 감소
KR101134327B1 (ko) 트렌치 에칭에 대한 라인 에지 러프니스 감소
US7067235B2 (en) Bi-layer photoresist dry development and reactive ion etch method
US6423475B1 (en) Sidewall formation for sidewall patterning of sub 100 nm structures
TW202236417A (zh) 金屬光阻上的缺陷校正
KR20100134418A (ko) 스페이서 패터닝 공정을 이용한 콘택홀 형성 방법
US6329124B1 (en) Method to produce high density memory cells and small spaces by using nitride spacer
US20030181054A1 (en) Method for fabricating semiconductor device using photoresist pattern formed with argon fluoride laser
WO2010024988A2 (en) Methods of forming a photoresist-comprising pattern on a substrate
US9147601B2 (en) Method of forming via hole
JP2006041364A (ja) 配線の形成方法及び、電子デバイスの製造方法
TWI485772B (zh) 形成介層洞的方法
KR20030002051A (ko) 콘택홀 형성 방법
JP2023074494A (ja) Euvレジストのuv処理
KR100223015B1 (ko) 구리 배선막 형성 방법
KR100571418B1 (ko) 불화아르곤용 포토레지스트를 이용한 패턴 형성 방법
CN112687528A (zh) 半导体结构及其形成方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090508

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090508

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090520

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20091106

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110906

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111205

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20120306

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20120706

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121227

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130409

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160419

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250