JP5104832B2 - Photomask correction method and corrected photomask - Google Patents

Photomask correction method and corrected photomask Download PDF

Info

Publication number
JP5104832B2
JP5104832B2 JP2009207682A JP2009207682A JP5104832B2 JP 5104832 B2 JP5104832 B2 JP 5104832B2 JP 2009207682 A JP2009207682 A JP 2009207682A JP 2009207682 A JP2009207682 A JP 2009207682A JP 5104832 B2 JP5104832 B2 JP 5104832B2
Authority
JP
Japan
Prior art keywords
pattern
photomask
auxiliary pattern
sraf
mask
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2009207682A
Other languages
Japanese (ja)
Other versions
JP2011059285A (en
Inventor
隆治 長井
勝也 早野
泰考 森川
弘 毛利
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Dai Nippon Printing Co Ltd
Original Assignee
Dai Nippon Printing Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority to JP2009207682A priority Critical patent/JP5104832B2/en
Application filed by Dai Nippon Printing Co Ltd filed Critical Dai Nippon Printing Co Ltd
Priority to CN2010800070220A priority patent/CN102308256B/en
Priority to KR1020117018799A priority patent/KR101420907B1/en
Priority to KR1020137011701A priority patent/KR101396078B1/en
Priority to EP14000706.3A priority patent/EP2738791B1/en
Priority to EP10741178.7A priority patent/EP2397900B1/en
Priority to US13/147,634 priority patent/US8974987B2/en
Priority to PCT/JP2010/051635 priority patent/WO2010092901A1/en
Priority to TW099104691A priority patent/TWI422965B/en
Publication of JP2011059285A publication Critical patent/JP2011059285A/en
Application granted granted Critical
Publication of JP5104832B2 publication Critical patent/JP5104832B2/en
Priority to US14/607,541 priority patent/US9519211B2/en
Priority to US15/341,480 priority patent/US10048580B2/en
Priority to US16/033,596 priority patent/US10394118B2/en
Priority to US16/508,917 priority patent/US10634990B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Description

本発明は、半導体素子のパターン形成に用いられるエキシマレーザ露光装置などの短波長の露光光源を用いたフォトリソグラフィ技術に使用するためのフォトマスクの修正方法および修正されたフォトマスクに関し、特に、主パターンの近傍に補助パターンを配置したフォトマスクの修正方法および修正されたフォトマスクに関する。   The present invention relates to a photomask correction method and a corrected photomask for use in a photolithography technique using an exposure light source of a short wavelength such as an excimer laser exposure apparatus used for patterning of a semiconductor element. The present invention relates to a photomask correction method in which an auxiliary pattern is arranged in the vicinity of a pattern, and a corrected photomask.

ハーフピッチ65nmから45nm、さらに32nmへと進展する半導体素子の高集積化・超微細化を実現するために、フォトリソグラフィにおいては、露光装置での高解像技術として、投影レンズの開口数を高くした高NA化技術、投影レンズと露光対象の間に高屈折率媒体を介在させて露光を行なう液浸露光技術、変形照明搭載露光技術などが実用されている。   In order to achieve high integration and ultra-miniaturization of semiconductor elements that progress from half-pitch 65 nm to 45 nm and further 32 nm, in photolithography, as a high-resolution technique in an exposure apparatus, the numerical aperture of the projection lens is increased. A high NA technique, an immersion exposure technique in which exposure is performed with a high refractive index medium interposed between the projection lens and the exposure target, a modified illumination-mounted exposure technique, and the like have been put into practical use.

フォトリソグラフィに用いられるフォトマスク(以下、マスクとも記す。)における解像度向上策としては、光を通過させる部分と遮光する部分で構成された従来のバイナリマスクの微細化、高精度化とともに、光の干渉を利用した位相シフト効果により解像度向上を図るレベンソン型(渋谷・レベンソン型とも称する。)位相シフトマスク、光を透過させる部分と半透過させる部分で構成されたハーフトーン型位相シフトマスク(以後、単にハーフトーンマスクと言う。)、クロムなどの遮光層を設けないクロムレス型位相シフトマスクなどの位相シフトマスクが用いられている。   Resolution measures for photomasks used for photolithography (hereinafter also referred to as masks) include the miniaturization and high accuracy of conventional binary masks composed of light-transmitting parts and light-shielding parts, Levenson-type (also called Shibuya / Levenson-type) phase shift mask that improves resolution by phase shift effect using interference, a halftone phase shift mask composed of a light transmitting part and a semi-transmitting part A phase shift mask such as a chromeless type phase shift mask that is not provided with a light shielding layer such as chrome is used.

フォトリソグラフィ技術においては、投影露光装置で転写できる最小の寸法(解像度)は、露光に用いる光の波長に比例し、投影光学系のレンズの開口数(NA)に反比例するため、半導体素子の微細化への要求に伴い、露光光の短波長化及び投影光学系の高NA化が進んでいるが、短波長化および高NA化だけでこの要求を満足するには限界となっている。   In photolithography technology, the minimum dimension (resolution) that can be transferred by a projection exposure apparatus is proportional to the wavelength of light used for exposure and inversely proportional to the numerical aperture (NA) of the lens of the projection optical system. With the demand for the reduction in the wavelength, the exposure light has a shorter wavelength and the projection optical system has a higher NA. However, there is a limit to satisfying this requirement only by the shorter wavelength and the higher NA.

そこで解像度を上げるために、プロセス定数k1(k1=解像線幅×投影光学系の開口数/露光光の波長)の値を小さくすることによって微細化を図る超解像技術が近年提案されている。このような超解像技術として、露光光学系の特性に応じてマスクパターンに補助パターンや線幅オフセットを与えてマスクパターンを最適化する方法、あるいは変形照明による方法(斜入射照明法とも称する。)と呼ばれる方法などがある。変形照明による投影露光には、通常、瞳フィルタを用いた輪帯照明(Annularとも称する。)、二重極(ダイポール:Dipoleとも称する。)の瞳フィルタを用いた二重極照明および四重極(クロスクワド:Cquadとも称する。)の瞳フィルタを用いた四重極照明などが用いられている。 Therefore, in order to increase the resolution, a super-resolution technique for miniaturization by reducing the value of the process constant k 1 (k 1 = resolution line width × numerical aperture of the projection optical system / exposure light wavelength) has recently been proposed. Has been. As such a super-resolution technique, a method of optimizing the mask pattern by giving an auxiliary pattern or a line width offset to the mask pattern according to the characteristics of the exposure optical system, or a method using modified illumination (also called a grazing incidence illumination method). ) And so on. For projection exposure using modified illumination, usually, annular illumination using a pupil filter (also referred to as Annular), dipole illumination using a dipole (also referred to as Dipole) pupil filter, and quadrupole. Quadrupole illumination using a pupil filter (also referred to as cross quad: Cquad) is used.

補助パターンを用いる方法は、ウェハ上に転写されるパターン(以後、主パターンと称する。)の近傍に、投影光学系の解像限界以下であってウェハ上には転写されないパターン(以後、補助パターンと称する。)を配置し、主パターンの解像度と焦点深度を向上させる効果を有するフォトマスクを用いるリソグラフィ方法である(例えば、特許文献1参照。)。補助パターンはSRAF(Sub Resolution Assist Feature)とも呼ばれている(以後、本発明では補助パターンをSRAFとも称する。)。   In the method using the auxiliary pattern, a pattern (hereinafter referred to as an auxiliary pattern) that is not transferred onto the wafer and is below the resolution limit of the projection optical system in the vicinity of a pattern transferred on the wafer (hereinafter referred to as a main pattern). And a lithography method using a photomask having an effect of improving the resolution and depth of focus of the main pattern (see, for example, Patent Document 1). The auxiliary pattern is also called SRAF (Sub Resolution Assist Feature) (hereinafter, the auxiliary pattern is also called SRAF in the present invention).

しかしながら、半導体素子パターンの微細化に伴って、補助パターンを有するフォトマスクはマスク製作上で困難な点が生じてきた。まず、補助パターンは上述のようにそれ自身ウェハ上に結像しないことが必要であり、主パターンの寸法よりも微小な寸法でなければならない点が挙げられる。その結果、主パターン寸法の微細化に伴い、求められる補助パターンの線幅寸法は数100nmからさらに微小な寸法へと微小化しており、製作上の限界の域に近づきつつある。例えば、ウェハ上で65nm線幅の半導体素子を形成する場合、そのマスク(通常4倍体のパターンを有するレチクル)上の主パターンの線幅寸法は光近接効果補正(OPC)などが加わり、200nm〜400nm程度で形成されているのに対し、補助パターンの線幅寸法は120nm以下となり、マスク作製が極めて難しくなる。上記のように、ハーフピッチ65nm以下のパターンを転写する露光条件では、補助パターンの寸法がマスク製造上の大きな問題となっている。   However, with the miniaturization of the semiconductor element pattern, the photomask having the auxiliary pattern has been difficult in mask manufacturing. First, as described above, it is necessary that the auxiliary pattern does not form an image on the wafer itself, and the auxiliary pattern must have a size smaller than the size of the main pattern. As a result, with the miniaturization of the main pattern dimension, the line width dimension of the required auxiliary pattern has been miniaturized from several hundred nm to a further minute dimension, and is approaching the limit of production. For example, when a 65 nm line width semiconductor device is formed on a wafer, the line width dimension of the main pattern on the mask (usually a reticle having a tetraploid pattern) is subjected to optical proximity correction (OPC) or the like, and 200 nm. Whereas the auxiliary pattern has a line width dimension of 120 nm or less, it is extremely difficult to manufacture a mask. As described above, under the exposure conditions for transferring a pattern having a half pitch of 65 nm or less, the dimension of the auxiliary pattern is a big problem in mask manufacturing.

さらに、ハーフピッチ65nm以下のパターンを転写するマスクの転写特性としては、ハーフトーンマスクの方がバイナリマスクよりも良好な転写像が得られる場合が多いので、補助パターンを有するマスクをハーフトーンマスクの構造とする要望も強く、補助パターンを有するハーフトーンマスクも提案されている(例えば、特許文献2、特許文献3、非特許文献1参照。)。しかし、ハーフトーンマスクは転写特性から、通常、マスクパターン寸法にマイナス側のバイアスが入るので、ハーフトーンマスクとして半透明膜で形成された補助パターンの寸法は、遮光膜のみで形成されたバイナリマスクの補助パターンの寸法よりも小さい値が求められる。半導体素子のハーフピッチ45nmから32nmの世代では、半導体のデザインや露光条件によってはマスク線幅で60nm以下の補助パターン寸法が要求されるまでになっている。   Furthermore, as a transfer characteristic of a mask for transferring a pattern with a half pitch of 65 nm or less, a halftone mask often provides a better transfer image than a binary mask. Therefore, a mask having an auxiliary pattern is used as a halftone mask. There is a strong demand for a structure, and a halftone mask having an auxiliary pattern has also been proposed (see, for example, Patent Document 2, Patent Document 3, and Non-Patent Document 1). However, because of the transfer characteristics of a halftone mask, a negative bias is normally applied to the mask pattern dimension, so the dimension of the auxiliary pattern formed with a semi-transparent film as a halftone mask is a binary mask formed only with a light-shielding film. A value smaller than the dimension of the auxiliary pattern is required. In the generation of semiconductor devices with a half pitch of 45 nm to 32 nm, an auxiliary pattern dimension of 60 nm or less in mask line width is required depending on the semiconductor design and exposure conditions.

特許文献2には、ハーフトーンマスクによる補助パターンの微細化への対応として、半透明パターンを透過する光と透明基板の透明領域を透過する光に180度の位相差を生じさせ、かつ半透明補助パターンを透過する光と透明基板の透明領域を透過する光には50度より小さい範囲の所定の位相差を生じさせ、半透明パターンのフォーカス特性を平坦にするフォトマスクが提案されている。図16は、特許文献2に示されたフォトマスクの平面図(同図(a))、縦断面図(同図(b))である。特許文献2によるフォトマスクは、主パターンであるラインパターンの近傍に設けた補助パターンを主パターンと同寸法で形成することも可能にしている。   In Patent Document 2, as a countermeasure for miniaturization of an auxiliary pattern by a halftone mask, a phase difference of 180 degrees is generated between light transmitted through a translucent pattern and light transmitted through a transparent region of a transparent substrate, and translucent. There has been proposed a photomask that causes a predetermined phase difference of less than 50 degrees between light transmitted through the auxiliary pattern and light transmitted through the transparent region of the transparent substrate to flatten the focus characteristics of the translucent pattern. FIG. 16 is a plan view (FIG. 16A) and a longitudinal sectional view (FIG. 16B) of the photomask disclosed in Patent Document 2. FIG. The photomask according to Patent Document 2 makes it possible to form an auxiliary pattern provided in the vicinity of a line pattern as a main pattern with the same dimensions as the main pattern.

特許文献2に記載された補助パターンを有するハーフトーンマスクは、図16に示すように、主パターン1である半透明パターンの線幅がウェハ上で0.3μmのラインパターン、半透明補助パターン2が主パターン1の左右に同じ線幅のラインパターンで設けられたマスクで、主パターン1は半透明膜302上にさらに透明膜304を成膜して重ねて2層構成とし、2層膜よりなる半透明主パターン1を透過する光と透明基板301の透明領域を透過する光に180度の位相差を生じさせ、一方、半透明補助パターン2を透過する光と透明基板301の透明領域を透過する光に50度より小さい範囲の所定の位相差を生じさせ、半透明パターンのフォーカス特性を平坦にしたマスクである。   As shown in FIG. 16, the halftone mask having the auxiliary pattern described in Patent Document 2 is a line pattern in which the line width of the semitransparent pattern as the main pattern 1 is 0.3 μm on the wafer, and the semitransparent auxiliary pattern 2 Is a mask provided with a line pattern of the same line width on the left and right of the main pattern 1, and the main pattern 1 has a two-layer structure in which a transparent film 304 is further stacked on the semitransparent film 302 to form a two-layer structure. A phase difference of 180 degrees is generated between the light transmitted through the semi-transparent main pattern 1 and the light transmitted through the transparent area of the transparent substrate 301, while the light transmitted through the semi-transparent auxiliary pattern 2 and the transparent area of the transparent substrate 301 are This is a mask in which a predetermined phase difference in a range smaller than 50 degrees is generated in transmitted light, and the focus characteristics of a semitransparent pattern are flattened.

特開平7−140639号公報Japanese Patent Laid-Open No. 7-140639 特許第2953406号Patent No. 2953406 特開2003−302739号公報JP 2003-302739 A

N.V.Lafferty,et al.,Proc.of SPIE Vol.5377,381−392(2004)N. V. Laffety, et al. , Proc. of SPIE Vol. 5377, 381-392 (2004)

しかしながら、特許文献2に記載された補助パターンを有するハーフトーンマスクは、露光光源に水銀灯のi線(365nm)あるいはKrFエキシマレーザ(248nm)を用い、投影光学系の開口数NAが0.6と小さく、ウェハ上のパターン寸法が0.3〜0.35μmのサブミクロン単位の半導体素子を対象とした世代のマスクであり、現在実用化が進められているArFエキシマレーザを露光光源とし、NAを1以上、望ましくは1.3〜1.35前後の高NAの露光装置に用いられ、ウェハ上のパターン寸法がハーフピッチ65nm以下、さらには45nm、32nmの半導体素子用のマスクとして用いるには、次のような問題があった。   However, the halftone mask having the auxiliary pattern described in Patent Document 2 uses a mercury lamp i-line (365 nm) or a KrF excimer laser (248 nm) as an exposure light source, and the numerical aperture NA of the projection optical system is 0.6. It is a generation mask for small, sub-micron semiconductor devices with a pattern size on the wafer of 0.3 to 0.35 μm. The ArF excimer laser, which is currently in practical use, is used as an exposure light source, and NA In order to use it as a mask for a semiconductor element having a pattern size on a wafer of 65 nm or less, and further 45 nm and 32 nm, which is used in an exposure apparatus having a high NA of 1 or more, preferably around 1.3 to 1.35, There were the following problems.

すなわち、プロセス定数k1が小さくなるに従い、主パターンの解像性を向上させるために変形照明が用いられるが、それに伴い補助パターンも解像しやすくなってしまうという問題があった。さらに変形照明の斜め入射照射により、マスク基板面に垂直方向のマスクの厚みによる立体的な効果(マスクの3次元効果)で補助パターンが転写対象面に解像しやすくなるという問題が生じてきた。特許文献2に記載された補助パターンを有するハーフトーンマスクは、たとえ主パターンの位相差が所定の範囲内であっても、3次元効果により補助パターンが解像してしまい、しかもデフォーカスに対して寸法変動が非対称になり、転写画像の品質が低下して実用に適しないという問題が生じていた。本来、補助パターンは転写対象面に解像しないようにマスク設計しているので、必ずしも複数の補助パターンのすべてが転写されるわけではないが、補助パターンの一部、例えば、主パターンに近接した補助パターンあるいは近接した補助パターンの一部などが解像してしまうという問題が生じていた。 That is, as the process constant k 1 becomes smaller, modified illumination is used to improve the resolution of the main pattern, but there is a problem that the auxiliary pattern is also easily resolved. Furthermore, the oblique illumination of the modified illumination causes a problem that the auxiliary pattern can be easily resolved on the transfer target surface due to a three-dimensional effect (a three-dimensional effect of the mask) due to the thickness of the mask perpendicular to the mask substrate surface. . In the halftone mask having the auxiliary pattern described in Patent Document 2, the auxiliary pattern is resolved by the three-dimensional effect even if the phase difference of the main pattern is within a predetermined range, and further against defocusing. As a result, the dimensional variation becomes asymmetrical, and the quality of the transferred image is lowered, which is not suitable for practical use. Originally, since the mask is designed so that the auxiliary pattern is not resolved on the transfer target surface, not all of the plurality of auxiliary patterns are necessarily transferred, but a part of the auxiliary pattern, for example, close to the main pattern There has been a problem that the auxiliary pattern or a part of the adjacent auxiliary pattern is resolved.

上記の補助パターンあるいはその一部が転写対象面に解像してしまう原因としては、マスク設計ソフトの精度が悪いためにマスク設計段階に不備がある場合、実際に作製された補助パターン寸法の誤差が大きい場合、半導体露光装置の光学系が微妙に誤差を有している場合、半導体用レジストの特性の問題など、様々な要因が考えられている。   The reason why the above auxiliary pattern or a part of it is resolved on the transfer target surface is that the mask design software is inaccurate and there is a defect in the mask design stage. If the optical system of the semiconductor exposure apparatus has a slight error, various factors such as a problem of the characteristics of the semiconductor resist are considered.

上記のように、補助パターンを設けたフォトマスクが強く求められてはいるものの、半導体素子パターンの微細化に伴って、微細パターンの限界に近い領域でパターン転写を行うために、露光転写後に補助パターンが転写対象面に解像してしまうという問題が生じ、転写されてしまう補助パターンのマスクを修正するために、補助パターン線幅をさらに小さく修正して解像しないように試みようとしても、マスク線幅で例えば60nm以下の補助パターンをさらに僅かな幅で修正するのは困難であり、再度、フォトマスクを製造しなければならないという問題があった。   As described above, although a photomask provided with an auxiliary pattern is strongly demanded, in order to perform pattern transfer in an area close to the limit of the fine pattern as the semiconductor element pattern is miniaturized, it is auxiliary after exposure transfer. In order to correct the mask of the auxiliary pattern to be transferred, the problem that the pattern will be resolved on the transfer target surface, even if trying to avoid resolution by correcting the auxiliary pattern line width even smaller, It is difficult to correct an auxiliary pattern having a mask line width of, for example, 60 nm or less with a slight width, and there is a problem that a photomask has to be manufactured again.

そこで、本発明は、上記の問題点に鑑みてなされたものである。すなわち、本発明の目的は、ArFエキシマレーザを露光光源とし、変形照明による投影露光に用いられる補助パターンを有するフォトマスクにおいて、補助パターンが転写対象面に解像されてしまう場合のフォトマスクを、確実で比較的容易な方法により補助パターンを修正するフォトマスクの修正方法および修正されたフォトマスクを提供することである。   Therefore, the present invention has been made in view of the above problems. That is, an object of the present invention is a photomask having an auxiliary pattern used for projection exposure by modified illumination using an ArF excimer laser as an exposure light source. It is an object of the present invention to provide a photomask correction method and a corrected photomask for correcting an auxiliary pattern by a reliable and relatively easy method.

上記の課題を解決するために、本発明の請求項1の発明に係るフォトマスクの修正方法は、ArFエキシマレーザを露光光源とし、変形照明による投影露光に用いられ、透明基板の一主面上に、前記投影露光により転写対象面に転写される主パターンと、前記主パターンの近傍に形成された補助パターンとを有するフォトマスクにおいて、前記投影露光により前記補助パターンが前記転写対象面に解像されてしまう場合のフォトマスクの修正方法であって、前記解像されてしまう補助パターンの表面をエッチングもしくは研削し、前記補助パターンが前記転写対象面に解像されなくなるまで、前記解像されてしまう補助パターンの膜厚を薄くすることを特徴とするものである。   In order to solve the above-described problems, a photomask correction method according to the first aspect of the present invention uses an ArF excimer laser as an exposure light source, is used for projection exposure by modified illumination, and is on one main surface of a transparent substrate. In addition, in a photomask having a main pattern transferred to the transfer target surface by the projection exposure and an auxiliary pattern formed in the vicinity of the main pattern, the auxiliary pattern is resolved to the transfer target surface by the projection exposure. A method of correcting a photomask in a case where the auxiliary pattern is resolved or etched, or the surface of the auxiliary pattern that is resolved is etched or ground, and the resolution is continued until the auxiliary pattern is not resolved on the transfer target surface. The auxiliary pattern is thinned.

請求項2の発明に係るフォトマスクの修正方法は、請求項1に記載のフォトマスクの修正方法において、前記エッチングもしくは研削して薄くした修正後の前記補助パターンの膜厚と、修正前の前記補助パターンの膜厚との膜厚差が、1nm〜40nmの範囲であることを特徴とするものである。   A photomask correction method according to a second aspect of the present invention is the photomask correction method according to the first aspect, wherein the thickness of the auxiliary pattern after correction made thin by etching or grinding and the thickness before correction The difference in film thickness from the film thickness of the auxiliary pattern is in the range of 1 nm to 40 nm.

請求項3の発明に係るフォトマスクの修正方法は、請求項1または請求項2に記載のフォトマスクの修正方法において、前記エッチングが電子ビームマスク修正機の電子ビームを用いたガスアシスト・エッチングであり、前記研削が原子間力顕微鏡の探針を用いた研削であることを特徴とするものである。   A photomask correction method according to a third aspect of the present invention is the photomask correction method according to the first or second aspect, wherein the etching is gas assist etching using an electron beam of an electron beam mask correction machine. And the grinding is grinding using a probe of an atomic force microscope.

請求項4の発明に係るフォトマスクの修正方法は、請求項1から請求項3までのいずれか1項に記載のフォトマスクの修正方法において、前記主パターンと前記補助パターンとが半透明膜で構成されており、前記主パターンの膜厚が、前記主パターンを透過する光と前記透明基板の透明領域を透過する光とで180度の位相差を生じる膜厚であることを特徴とするものである。   A photomask correction method according to a fourth aspect of the present invention is the photomask correction method according to any one of the first to third aspects, wherein the main pattern and the auxiliary pattern are translucent films. The main pattern has a thickness that causes a phase difference of 180 degrees between the light transmitted through the main pattern and the light transmitted through the transparent region of the transparent substrate. It is.

請求項5の発明に係るフォトマスクの修正方法は、請求項1から請求項3までのいずれか1項に記載のフォトマスクの修正方法において、前記主パターンが遮光膜から構成され、前記補助パターンが半透明膜よりなることを特徴とするものである。   A photomask correction method according to a fifth aspect of the present invention is the photomask correction method according to any one of the first to third aspects, wherein the main pattern is formed of a light shielding film, and the auxiliary pattern Is made of a translucent film.

請求項6の発明に係るフォトマスクの修正方法は、請求項1から請求項3までのいずれか1項に記載のフォトマスクの修正方法において、前記主パターンと前記補助パターンとが遮光膜で構成されていることを特徴とするものである。   A photomask correction method according to a sixth aspect of the present invention is the photomask correction method according to any one of the first to third aspects, wherein the main pattern and the auxiliary pattern are formed of a light shielding film. It is characterized by being.

請求項7の発明に係るフォトマスクの修正方法は、請求項1から請求項6までのいずれか1項に記載のフォトマスクの修正方法において、前記主パターンおよび前記補助パターンがいずれもラインパターンであり、前記主パターンが孤立パターンまたは周期パターンであることを特徴とするものである。   A photomask correction method according to a seventh aspect of the present invention is the photomask correction method according to any one of the first to sixth aspects, wherein the main pattern and the auxiliary pattern are both line patterns. And the main pattern is an isolated pattern or a periodic pattern.

請求項8の発明に係るフォトマスクは、請求項1から請求項7までのいずれか1項に記載のフォトマスクの修正方法により補助パターンが修正され、修正後の前記補助パターンの膜厚が修正前の前記補助パターンの膜厚よりも薄いことを特徴とするものである。   In the photomask according to the invention of claim 8, the auxiliary pattern is corrected by the photomask correction method according to any one of claims 1 to 7, and the film thickness of the auxiliary pattern after correction is corrected. It is characterized by being thinner than the film thickness of the previous auxiliary pattern.

本発明のフォトマスクの修正方法によれば、本来転写対象面に転写されてはいけない補助パターンが、転写対象面に解像してしまう場合のフォトマスクの修正方法において、解像されてしまう補助パターンの表面をエッチングもしくは研削し、補助パターンが転写対象面に解像されなくなるまで補助パターンの膜厚を薄くすることで、補助パターンが転写されてしまう問題を解決し、補助パターンとしての焦点深度拡大効果を保ちつつ、コントラストの高い転写画像を形成するフォトマスクに修正することができる。本発明のフォトマスクの修正方法は、従来の方法である補助パターンの線幅方向の修正とは異なり、補助パターンを厚み方向に薄膜化して修正する方法であって、補助パターンを有するフォトマスクを容易な方法で確実に修正することが可能となる。   According to the photomask correction method of the present invention, the auxiliary pattern that should not be transferred to the transfer target surface is resolved in the photomask correction method when the auxiliary pattern is resolved to the transfer target surface. Etching or grinding the surface of the pattern and reducing the film thickness of the auxiliary pattern until the auxiliary pattern is not resolved on the transfer target surface, thereby solving the problem of the auxiliary pattern being transferred and the depth of focus as the auxiliary pattern. While maintaining the enlargement effect, the photomask can be modified to form a transfer image with high contrast. Unlike the conventional method of correcting the auxiliary pattern in the line width direction, the photomask correcting method of the present invention is a method of correcting the auxiliary pattern by thinning the auxiliary pattern in the thickness direction. It is possible to reliably correct by an easy method.

本発明の修正方法によるフォトマスクによれば、補助パターンが転写対象面に転写されてしまうフォトマスクを、補助パターンを厚み方向に修正することにより、補助パターンが転写対象面に転写されず、焦点深度拡大効果を有し、コントラストの高い転写画像を形成する高品質なフォトマスクを得ることができるという効果を奏する。   According to the photomask according to the correction method of the present invention, the auxiliary pattern is not transferred to the transfer target surface by correcting the auxiliary pattern in the thickness direction of the photomask in which the auxiliary pattern is transferred to the transfer target surface. There is an effect that it is possible to obtain a high-quality photomask that has a depth expansion effect and forms a transfer image with high contrast.

本発明の補助パターンを有するフォトマスクの修正方法の一実施形態を示す断面模式図である。It is a cross-sectional schematic diagram which shows one Embodiment of the correction method of the photomask which has an auxiliary pattern of this invention. 本発明の補助パターンを有するフォトマスクの評価に用いたCquad瞳フィルタで、同図(a)はCquadの平面模式図、同図(b)はCquadを用いてマスクに露光光を照射したときの斜視模式図である。The Cquad pupil filter used for the evaluation of the photomask having the auxiliary pattern of the present invention, (a) is a schematic plan view of Cquad, and (b) is the case when the mask is irradiated with exposure light using Cquad. It is a perspective schematic diagram. 本発明の補助パターンを有するフォトマスクにおいて用いた評価パターンの一例で、評価パターンの位置と光強度との関係を示す空間像の図である。It is an example of the evaluation pattern used in the photomask which has the auxiliary pattern of this invention, and is a figure of the aerial image which shows the relationship between the position of evaluation pattern, and light intensity. 本発明の修正方法を適用し得る補助パターンを有するフォトマスクの例を示す部分断面模式図である。It is a partial cross section schematic diagram which shows the example of the photomask which has an auxiliary pattern which can apply the correction method of this invention. SRAFのCDを変えたとき、SRAF膜厚差とSRAFの光強度/スライスレベルとの関係を示す図である。It is a figure which shows the relationship between SRAF film thickness difference and SRAF light intensity / slice level when the SRAF CD is changed. SRAFのCDを変えたとき、ウェハ上の主パターン端のラインCDとデフォーカスとの関係を示す図である。It is a figure which shows the relationship between the line CD of the main pattern edge on a wafer, and defocusing, when CD of SRAF is changed. ハーフトーンマスクとバイナリマスクにおいて、ウェハ上でのSRAFのCDに対して、光強度閾値のスライスレベルに対するSRAF部光強度の比を示す図である。It is a figure which shows ratio of SRAF part light intensity with respect to the slice level of a light intensity threshold value with respect to CD of SRAF on a wafer in a halftone mask and a binary mask. 実施例においてテスト試料によるSRAF部分の薄膜化処理後のSEM写真である。It is a SEM photograph after thinning processing of the SRAF part by a test sample in an example. テスト試料でSRAF部を部分的にエッチングした後のリソグラフィシミュレーション顕微鏡によるウェハ上光強度分布の平面状態を示す画像である。It is an image which shows the planar state of the light intensity distribution on a wafer by the lithography simulation microscope after partially etching the SRAF part with a test sample. 実施例におけるSRAF部分の薄膜化処理前のパターン位置と光強度との関係を示す空間像の図である。It is a figure of the aerial image which shows the relationship between the pattern position before the thinning process of the SRAF part in an Example, and light intensity. 図10の部分拡大図で、繰り返し端主パターンと補助パターンS1のSRAF薄膜化処理前のパターン位置と光強度との関係を示す空間像の図である。FIG. 11 is a partial enlarged view of FIG. 10, an aerial image showing the relationship between the pattern position and the light intensity before the SRAF thinning process of the repeated end main pattern and the auxiliary pattern S1. 図11に対する比較参考図であり、SRAFが無い場合の繰り返し端の主パターンと補助パターンS1のパターン位置と光強度との関係を示す空間像の図である。FIG. 12 is a comparative reference diagram with respect to FIG. 11, and is a diagram of an aerial image showing the relationship between the main pattern at the repeated end, the pattern position of the auxiliary pattern S <b> 1, and the light intensity when there is no SRAF. 実施例におけるSRAF部分の薄膜化処理後のパターン位置と光強度との関係を示す空間像の図である。It is a figure of the aerial image which shows the relationship between the pattern position after the thinning process of the SRAF part in an Example, and light intensity. 図8、図9の破線部内の状況を示し、図13の部分拡大図で、繰り返し端主パターンと補助パターンS1のSRAF薄膜化処理後のパターン位置と光強度との関係を示す空間像の図である。FIG. 8 and FIG. 9 show the situation in the broken line part, and are partial enlarged views of FIG. 13, which are aerial images showing the relationship between the light intensity and the pattern position after the SRAF thinning process of the repetitive edge main pattern and the auxiliary pattern S1. It is. SRAF薄膜化処理による繰り返し端主パターンの焦点深度を示す図である。It is a figure which shows the focal depth of the repetition end main pattern by SRAF thin film formation process. 特許文献2に記載の従来の半透明補助パターンを有するフォトマスクの平面図および縦断面図である。It is the top view and longitudinal cross-sectional view of the photomask which has the conventional semi-transparent auxiliary pattern of patent document 2. FIG.

本発明のフォトマスクの修正方法が対象とするフォトマスクは、ArFエキシマレーザを露光光源とし、変形照明による投影露光に用いられるマスクであり、好ましくはウェハ上のハーフピッチが65nm以下、さらには45nm、32nmの微細な半導体素子形成に用いられることを対象とする補助パターンを有するマスクである。   The photomask targeted by the photomask correction method of the present invention is a mask used for projection exposure by modified illumination using an ArF excimer laser as an exposure light source, and preferably has a half pitch on the wafer of 65 nm or less, and further 45 nm. , A mask having an auxiliary pattern intended to be used for forming a fine semiconductor element of 32 nm.

(補助パターンを有するフォトマスクの転写特性)
本発明の修正方法について述べる前に、まず補助パターンを有するフォトマスクの転写特性について、ハーフトーンマスクを例にして説明する。本発明者は、ウェハ上にハーフピッチ45nm以下の細密パターンを形成するための補助パターンを有するハーフトーンマスクの転写特性を、バイナリマスクと比較しながら、シミュレーションにより調べた。
(Transfer characteristics of photomask with auxiliary pattern)
Before describing the correction method of the present invention, first, transfer characteristics of a photomask having an auxiliary pattern will be described using a halftone mask as an example. The inventor examined the transfer characteristics of a halftone mask having an auxiliary pattern for forming a fine pattern with a half pitch of 45 nm or less on a wafer by simulation while comparing it with a binary mask.

シミュレーションにおいては、マスクパターンの転写特性を見積もるためのシミュレーション・ソフトウェアとして、EM−Suite(商品名:Panoramic Technology社製)を用いた。主なシミュレーション条件は、ArFエキシマレーザ(193nm)を照明光源とし、NAは1.35、変形照明として、図2に示すCquad瞳フィルタ21を用いた。同図(a)はCquad21の平面模式図、同図(b)はCquad21を用いてマスク23に露光光を照射したときの斜視模式図である。Cquad21は、扇状光透過部の開口角35度、外径0.9、内径0.7(瞳フィルタの半径を1とする)とした。マスク23としては、従来の一般的なモリブデンシリサイド系の露光波長193nmにおける透過率6%のハーフトーンマスク(6%ハーフトーンと記す)と、比較のためモリブデンシリサイド系のバイナリマスクを用いた。ウェハ上のターゲットライン寸法は45nm、パターンはピッチ90nm(ハーフピッチ45nm)のライン/スペース繰り返しパターンとした。   In the simulation, EM-Suite (trade name: manufactured by Panoramic Technology) was used as simulation software for estimating the transfer characteristics of the mask pattern. Main simulation conditions were ArF excimer laser (193 nm) as an illumination light source, NA was 1.35, and Cquad pupil filter 21 shown in FIG. 2 was used as modified illumination. FIG. 5A is a schematic plan view of the Cquad 21, and FIG. 5B is a schematic perspective view when the mask 23 is irradiated with exposure light using the Cquad 21. Cquad 21 has a fan-shaped light transmission portion with an opening angle of 35 degrees, an outer diameter of 0.9, and an inner diameter of 0.7 (the radius of the pupil filter is 1). As the mask 23, a conventional half-tone mask of 6% transmittance at the exposure wavelength of 193 nm (referred to as 6% half-tone) and a molybdenum silicide-based binary mask are used for comparison. The target line dimension on the wafer was 45 nm, and the pattern was a line / space repetitive pattern with a pitch of 90 nm (half pitch 45 nm).

図3は、シミュレーションに用いた評価パターン(同図(a))と、評価パターンの位置に対応した光強度を示す空間像の図(同図(b))である。評価パターンは、主パターンとしてハーフピッチ45nmのライン/スペースが9本、端の主パターンの解像性を向上するために、主パターンの両端にSRAFを2本(SRAFのハーフピッチは主パターンと同じ)入れて一組とし、400nmのスペースを挟んだ繰り返しパターンである。主パターン、SRAFともに上記の6%ハーフトーンである。   FIG. 3 is an evaluation pattern used in the simulation (FIG. 3A) and an aerial image showing the light intensity corresponding to the position of the evaluation pattern (FIG. 3B). The evaluation pattern has nine lines / spaces with a half pitch of 45 nm as the main pattern, and two SRAFs at both ends of the main pattern in order to improve the resolution of the main pattern at the end (the half pitch of the SRAF is the same as the main pattern) The same pattern is repeated, with a 400 nm space in between. Both the main pattern and SRAF are the above 6% halftone.

次に、上記の補助パターンを有するハーフトーンマスクにおいて、ライン/スペースパターンの端の補助パターン(SRAF)の転写性について説明する。図3では、横軸に主パターンとSRAFの一組のパターン位置、縦軸にパターンがない透過部の光強度を1としたときの規格化した光強度を示しており、図中の横実線で示すスライスレベルは、規格化された光強度閾値である。主マスクパターンの寸法によってスライスレベルは変わる。図中に矢印で示すSRAF部の最小光強度がスライスレベルよりも下がると、SRAFがウェハ上に解像してしまうことを意味する。   Next, the transferability of the auxiliary pattern (SRAF) at the end of the line / space pattern in the halftone mask having the auxiliary pattern will be described. In FIG. 3, the horizontal axis indicates a set pattern position of the main pattern and SRAF, and the vertical axis indicates the normalized light intensity when the light intensity of the transmission part having no pattern is 1, and the horizontal solid line in the figure. The slice level indicated by is a standardized light intensity threshold. The slice level varies depending on the dimensions of the main mask pattern. If the minimum light intensity of the SRAF portion indicated by the arrow in the figure falls below the slice level, it means that the SRAF is resolved on the wafer.

図7は、上記のシミュレーションにより得られた主パターンと補助パターン(SRAF)の膜厚が同じ場合のハーフトーンマスクとバイナリマスクにおける、ウェハ上でのSRAFのCD(横軸)に対して、規格化された光強度閾値のスライスレベルに対するSRAF部の光強度の比(縦軸)を示す図である。ハーフトーンマスク(図中の三角点)は、主パターンのCDが3通り(ウェハ上で32nm;36nm;40nm)の場合を示す。上記の比が1以下であるとSRAFは転写されてしまうので、SRAFが転写されないようにするには上記の比を1を超えた値にしなければならない。図中に点線で示すハーフトーンマスクの主パターンCDが32nm(マスク上では128nm)のときには、SRAFのCDを14nm(マスク上では56nm)以下にしないとSRAFが解像してしまうことになる。   FIG. 7 shows the standard for the SRAF CD (horizontal axis) on the wafer in the halftone mask and the binary mask when the main pattern and the auxiliary pattern (SRAF) obtained by the above simulation have the same film thickness. It is a figure which shows ratio (vertical axis) of the light intensity of the SRAF part with respect to the slice level of the converted light intensity threshold value. The halftone mask (triangular point in the figure) shows the case where there are three main pattern CDs (32 nm; 36 nm; 40 nm on the wafer). If the above ratio is 1 or less, the SRAF is transferred. Therefore, to prevent the SRAF from being transferred, the above ratio must be set to a value exceeding 1. When the main pattern CD of the halftone mask indicated by the dotted line in the drawing is 32 nm (128 nm on the mask), the SRAF is resolved unless the SRAF CD is 14 nm (56 nm on the mask) or less.

上記は、SRAFを有する6%ハーフトーンマスクを用いた場合のシミュレーション結果であり、ハーフトーンマスクの主パターンCDが32nmと微細なときには、SRAF寸法が極めて小さくなり、実際のマスク製造が困難であることがわかる。   The above is a simulation result when a 6% halftone mask having SRAF is used. When the main pattern CD of the halftone mask is as fine as 32 nm, the SRAF dimension becomes extremely small, and actual mask manufacture is difficult. I understand that.

<本発明のフォトマスクの修正方法>
次に、上記の結果を参考にしながら、本発明のフォトマスクの修正方法の実施形態について、図面に基づいて詳細に説明する。以下のマスクパターンの転写特性の説明では、上記の図2に示すCquad瞳フィルタ21を用い、シミュレーション・ソフトウェアとして、EM−Suite(商品名:Panoramic Technology社製)を用いた。主なシミュレーション条件は、ArFエキシマレーザ(193nm)を照明光源とし、NAは1.35である。評価パターンは、上記の図3(a)に示すパターンを用いている。
<Photomask correction method of the present invention>
Next, an embodiment of a photomask correction method according to the present invention will be described in detail with reference to the drawings with reference to the above results. In the following description of the mask pattern transfer characteristics, the Cquad pupil filter 21 shown in FIG. 2 was used, and EM-Suite (trade name: manufactured by Panoramic Technology) was used as simulation software. The main simulation conditions are ArF excimer laser (193 nm) as an illumination light source, and NA is 1.35. As the evaluation pattern, the pattern shown in FIG. 3A is used.

図1は、本発明の補助パターンを有するフォトマスクの修正方法の一実施形態の工程概要を示す断面模式図であり、ライン/スペースパターンを設けたハーフトーンマスクの場合を例示している。図1(a)は、修正前のフォトマスクの断面模式図であり、合成石英基板などの透明基板11上に、露光光を所定の透過率で透過し位相を変える主パターン12が設けられ、主パターン12は単層の半透明膜14で構成され、主パターン12の近傍に、主パターン12と同一材料で同一膜厚の半透明膜で構成された補助パターン(SRAF)13が形成されたハーフトーンマスク10である。図1では、主パターン12、補助パターン13ともに2本で、マスクパターンの一部しか示していないが、もとよりこれに限定されるわけではない。また、主パターンは孤立パターンまたは周期パターンであってもよい。   FIG. 1 is a schematic cross-sectional view showing a process outline of an embodiment of a method for correcting a photomask having an auxiliary pattern of the present invention, and illustrates a case of a halftone mask provided with a line / space pattern. FIG. 1A is a schematic cross-sectional view of a photomask before correction. A main pattern 12 that transmits exposure light at a predetermined transmittance and changes phase is provided on a transparent substrate 11 such as a synthetic quartz substrate. The main pattern 12 is composed of a single-layer translucent film 14, and an auxiliary pattern (SRAF) 13 composed of a translucent film having the same material and thickness as the main pattern 12 is formed in the vicinity of the main pattern 12. This is a halftone mask 10. In FIG. 1, the main pattern 12 and the auxiliary pattern 13 are both two, and only a part of the mask pattern is shown, but it is not limited to this. The main pattern may be an isolated pattern or a periodic pattern.

本実施形態の補助パターンを有するハーフトーンマスク10は、主パターン12を透過する露光光と透明基板11のパターンのない透明領域を透過する露光光とで180度の位相差を生じさせるように設定されている。位相差の測定は、位相シフト量測定装置(例えば、レーザテック社製:MPM193)で行うことができる。   The halftone mask 10 having the auxiliary pattern of the present embodiment is set so as to cause a phase difference of 180 degrees between the exposure light that passes through the main pattern 12 and the exposure light that passes through a transparent area without a pattern on the transparent substrate 11. Has been. The phase difference can be measured with a phase shift amount measuring device (for example, MPM193 manufactured by Lasertec Corporation).

ここで、図1(a)に示すハーフトーンマスク10は、ArFエキシマレーザを露光光源とし、変形照明による投影露光によりウェハ上にマスクパターンを転写したときに、主パターン12に近接した補助パターン13aおよび13bが、転写対象面のウェハ上に解像されてしまうマスクである。   Here, the halftone mask 10 shown in FIG. 1A uses an ArF excimer laser as an exposure light source, and an auxiliary pattern 13a adjacent to the main pattern 12 when the mask pattern is transferred onto the wafer by projection exposure using modified illumination. And 13b are masks that are resolved on the wafer on the transfer target surface.

図1(b)は、上記のウェハ上に補助パターン13aおよび13bが解像されてしまうフォトマスクの修正中の状態を示す断面模式図である。上記の転写対象面に解像されてしまう補助パターン13aおよび13bは、マスク上に本来あってはならない不要な余剰欠陥いわゆる「黒欠陥」と呼ばれている欠陥とは異なる性質のものであり、ウェハ上へのマスクパターン形成にとって必要不可欠な領域である。マスク上の転写対象面に解像されてしまう補助パターン13aおよび13bは、黒欠陥を検出する従来のマスク欠陥検査装置では欠陥として検出することができない。解像されてしまう補助パターン13aおよび13bの検出は、例えば、エアリアルイメージ測定システム(Aerial Image Measurement System:カールツァイス社製、AIMS(登録商標)と略称される。以後、AIMSとも記す。)などのリソグラフィシミュレーション顕微鏡を用いた検証や、実際の露光装置での露光テストで行うことができる。   FIG. 1B is a schematic cross-sectional view showing a state during correction of the photomask in which the auxiliary patterns 13a and 13b are resolved on the wafer. The auxiliary patterns 13a and 13b that are resolved on the transfer target surface have properties different from the unnecessary surplus defects that should not be on the mask so-called “black defects”. This is an indispensable region for mask pattern formation on the wafer. The auxiliary patterns 13a and 13b that are resolved on the transfer target surface on the mask cannot be detected as defects by a conventional mask defect inspection apparatus that detects black defects. Detection of the auxiliary patterns 13a and 13b to be resolved is, for example, an aerial image measurement system (Aerial Image Measurement System: a product of Carl Zeiss, abbreviated as AIMS (registered trademark), hereinafter also referred to as AIMS). It can be performed by verification using a lithography simulation microscope or an exposure test with an actual exposure apparatus.

本発明の修正方法においては、転写対象面に解像されてしまう補助パターン13aおよび13bの該当する領域の表面をエッチングもしくは研削し、補助パターン13aおよび13bが転写対象面であるウェハ上に解像されなくなるまで、補助パターン13aおよび13bの該当する領域の膜厚を薄くするものである。図1(b)は、一例として、電子ビームマスク修正装置により、ウェハ上に解像されてしまう補助パターン13aの領域をエッチングして膜厚を薄くして修正している場合を例示している。   In the correction method of the present invention, the surface of the corresponding region of the auxiliary patterns 13a and 13b that are resolved on the transfer target surface is etched or ground, and the auxiliary patterns 13a and 13b are resolved on the wafer that is the transfer target surface. The film thicknesses of the corresponding regions of the auxiliary patterns 13a and 13b are reduced until they are not. FIG. 1B illustrates, as an example, a case where the region of the auxiliary pattern 13a that will be resolved on the wafer is etched and corrected by reducing the film thickness by the electron beam mask correcting device. .

修正においては、必ずしも1ラインあるいは複数ラインの補助パターン全面をエッチングして薄膜化する必要はなく、解像されてしまう補助パターンの領域のみをエッチングして膜厚を薄くすればよい。もとより、1ラインの補助パターン全面が転写されてしまう場合には、1ライン全面の膜厚を薄くすればよく、複数のラインの補助パターン全面が転写されてしまう場合には、複数のライン全面の膜厚を薄くすればよい。   In the correction, it is not always necessary to etch the entire auxiliary pattern of one line or a plurality of lines to reduce the film thickness, and only the auxiliary pattern region to be resolved may be etched to reduce the film thickness. Of course, when the entire auxiliary pattern of one line is transferred, the film thickness of the entire surface of one line may be reduced. When the entire auxiliary pattern of a plurality of lines is transferred, the entire surface of the plurality of lines is transferred. The film thickness may be reduced.

上記の転写対象面に解像されてしまう補助パターン13aおよび13bの表面をエッチングもしくは研削する工程において、補助パターン13aをエッチングもしくは研削して取り除くべき膜厚は、あらかじめシミュレーションにより求めておくことができる。   In the step of etching or grinding the surfaces of the auxiliary patterns 13a and 13b that are resolved on the transfer target surface, the film thickness to be removed by etching or grinding the auxiliary pattern 13a can be obtained in advance by simulation. .

図1(c)は、転写対象面に解像されてしまう補助パターン13aおよび13bの表面をエッチングして膜厚を薄くして補助パターン13a´、13b'とした修正後のフォトマスクの状態を示す断面模式図である。修正箇所は、エッチングにより膜厚差Tだけ修正前の膜厚よりも薄くなっている。   FIG. 1C shows a state of the photomask after correction to form auxiliary patterns 13a ′ and 13b ′ by etching the surfaces of the auxiliary patterns 13a and 13b that are resolved on the transfer target surface to reduce the film thickness. It is a cross-sectional schematic diagram shown. The corrected portion is thinner than the film thickness before correction by the film thickness difference T by etching.

本発明において、上記の転写対象面に解像されてしまう補助パターンの領域の膜厚を薄くする方法としては、従来フォトマスク上の黒欠陥の修正に用いられてきた各種の方法を適用することができる。例えば、集束イオンビーム(FIB)マスク修正装置のイオンビームを用いたガスアシスト・エッチング方法、あるいは上記の図1(b)に示すように、電子ビーム(EB)マスク修正装置の電子ビーム(EB)を用いたガスアシスト・エッチング方法、あるいは原子間力顕微鏡(AFM)の探針を用いて欠陥を有する補助パターンを物理的に研削する方法、あるいはマスク上にレジストパターンを形成して補助パターンの欠陥領域のみを露出させ、ドライエッチングにより選択的に欠陥領域の膜厚を薄くする方法などが用いられる。   In the present invention, as a method of reducing the film thickness of the auxiliary pattern region that is resolved on the transfer target surface, various methods that have been conventionally used for correcting black defects on a photomask are applied. Can do. For example, a gas-assisted etching method using an ion beam of a focused ion beam (FIB) mask correction apparatus, or an electron beam (EB) of an electron beam (EB) mask correction apparatus as shown in FIG. Gas assist etching method using AFM, method of physically grinding auxiliary pattern having defects using atomic force microscope (AFM) probe, or defect of auxiliary pattern by forming resist pattern on mask For example, a method of exposing only the region and selectively reducing the thickness of the defective region by dry etching is used.

しかし、上記の方法のうち、FIBマスク修正装置によるガスアシスト・エッチング方法は、イオンビームとして通常用いるガリウムが透明基板に打ち込まれガリウムステインという現象により修正部位の光透過率を低下させたり、あるいは修正部位の周辺の透明基板にオーバーエッチングによるリバーベッドと呼ばれる透明基板の掘り込み現象が発生しやすい。また、レジストパターンを形成する方法は、レジスト塗布、パターン描画、レジスト剥膜の工程が必要であり、修正工程が長くなるという問題を生じる。   However, among the above methods, the gas-assisted etching method using the FIB mask correction apparatus is such that gallium, which is normally used as an ion beam, is implanted into the transparent substrate and the light transmittance of the correction site is lowered or corrected due to a phenomenon called gallium stain. A transparent substrate digging phenomenon called a river bed due to over-etching tends to occur in the transparent substrate around the part. Moreover, the method of forming a resist pattern requires a resist coating process, a pattern drawing process, and a resist stripping process, resulting in a problem that a correction process becomes long.

一方、EBマスク修正装置によるガスアシスト・エッチング方法は、一例として、図1(b)に示すように、欠陥を有する補助パターン13aに絞り込まれて走査する電子ビーム16の近くのガスノズル15から、エッチングに最適なアシストガスが吐出され、ガスの分子が修正すべき補助パターン13a表面に付着し、電子ビームにより化学反応が引き起こされ、補助パターン材料を揮発性の物質に変えてエッチングが行われる方法で、微細パターンに適し、修正箇所に損傷を与えない方法である。修正箇所の確認は、EBマスク修正装置に備えられたSEMで行う。上記のEBマスク修正装置としては、例えば、MeRiT 65(カールツアイス社製)などがある。   On the other hand, as an example, the gas assist etching method using the EB mask correction apparatus performs etching from a gas nozzle 15 near the electron beam 16 that is narrowed down and scanned by the defective auxiliary pattern 13a as shown in FIG. In this method, an optimum assist gas is discharged, gas molecules adhere to the surface of the auxiliary pattern 13a to be corrected, a chemical reaction is caused by the electron beam, and the auxiliary pattern material is changed to a volatile substance to perform etching. It is a method that is suitable for fine patterns and does not damage the repaired part. The correction location is confirmed by the SEM provided in the EB mask correction apparatus. Examples of the EB mask correcting device include MeRiT 65 (manufactured by Carl Zeiss).

また、AFMの探針で研削する方法は、ダイヤモンド針などの硬い探針に一定の加重をかけて、欠陥を直接削り取る方法で、カンチレバーの先端に探針を取り付け、原子間力顕微鏡の原理を用いてカンチレバーを制御している。修正箇所の確認は、AFMに備えられたSEMで行い、修正すべき欠陥を有する補助パターン表面を探針で走査し、次に欠陥そのものを探針で削り取る方法である。微細パターンの修正に適し、削除する面積、膜厚が小さい場合に適する方法である。上記のAFMの探針を用いたマスク修正装置としては、例えば、RAVEnm650(RAVE社製)などがある。   In addition, grinding with an AFM probe is a method in which a constant load is applied to a hard probe such as a diamond needle and the defects are removed directly. The probe is attached to the tip of the cantilever, and the principle of atomic force microscopy is established. Use to control the cantilever. The confirmation of the correction location is performed by the SEM provided in the AFM, the auxiliary pattern surface having the defect to be corrected is scanned with the probe, and the defect itself is then scraped off with the probe. This method is suitable for correcting a fine pattern and is suitable when the area to be deleted and the film thickness are small. An example of a mask correction apparatus using the AFM probe is RAVEnm650 (manufactured by RAVE).

したがって、本発明においては、微細パターンを形成した補助パターンの膜厚を薄くする方法としては、上記のEBマスク修正装置によるガスアシスト・エッチング方法あるいはAFMの探針で研削する方法がより好ましい。   Therefore, in the present invention, as a method of reducing the film thickness of the auxiliary pattern on which the fine pattern is formed, the gas assist etching method using the EB mask correcting device or the method of grinding with the AFM probe is more preferable.

本発明において、図1(c)に示すように、エッチングもしくは研削して薄くした修正後の補助パターン13a´、13b'の膜厚と、修正前の補助パターン13a、13bの膜厚との膜厚差T(図中のSRAF膜厚差)は、1nm〜40nmの範囲であることが好ましい。膜厚の測定は、原子間力顕微鏡(AFM)で行うことができる。   In the present invention, as shown in FIG. 1 (c), the film thickness of the auxiliary patterns 13a ′ and 13b ′ after correction thinned by etching or grinding and the film thickness of the auxiliary patterns 13a and 13b before correction. The thickness difference T (SRAF film thickness difference in the figure) is preferably in the range of 1 nm to 40 nm. The film thickness can be measured with an atomic force microscope (AFM).

補助パターンは、本来、転写対象面に解像しないようにマスク設計して作製されているので、ウェハ上に転写されてしまう補助パターンはその一部分であることが多く、また修正すべき膜厚は非常に薄くてよい場合が多い。したがって、修正すべき膜厚は僅かな場合もあり得ることであり、本発明では修正すべき膜厚の下限を修正可能な1nmとしている。修正膜厚1nm未満では、修正の効果を確認し得ないからである。一方、補助パターンの特性上、修正すべき膜厚の上限を40nmとしている。修正すべき膜厚が40nmを超えてしまうと、SRAFによる主パターンの解像度向上効果や焦点深度拡大効果など、本来のSRAFの機能が低減あるいは消滅してしまうからである。   Since the auxiliary pattern is originally made by designing a mask so that it does not resolve on the transfer target surface, the auxiliary pattern that is transferred onto the wafer is often a part of the auxiliary pattern, and the film thickness to be corrected is Often very thin. Therefore, the film thickness to be corrected may be small, and in the present invention, the lower limit of the film thickness to be corrected is set to 1 nm that can be corrected. This is because the effect of the correction cannot be confirmed if the corrected film thickness is less than 1 nm. On the other hand, the upper limit of the film thickness to be corrected is 40 nm due to the characteristics of the auxiliary pattern. This is because when the film thickness to be corrected exceeds 40 nm, the original SRAF functions such as the main pattern resolution improvement effect and the focal depth expansion effect by SRAF are reduced or eliminated.

(本発明の修正方法を適用し得るフォトマスク)
本発明のフォトマスクの修正方法は、補助パターンを有するマスクならば、ハーフトーンマスク、バイナリマスクのいずれのマスクにも用いることができ、特に限定されることはないが、図4に、補助パターンを有するハーフトーンマスクおよびバイナリマスクの代表的なマスクを例示しながら説明する。図4において、同じ部位を示す場合には同じ符号を用いている。もとより本発明のフォトマスクの修正方法は、図4に示すフォトマスクに限定されるわけではない。
(Photomask to which the correction method of the present invention can be applied)
The method for correcting a photomask of the present invention can be used for either a halftone mask or a binary mask as long as it is a mask having an auxiliary pattern, and is not particularly limited. A typical mask of a halftone mask and a binary mask having the above will be described as an example. In FIG. 4, the same reference numerals are used to indicate the same parts. Of course, the photomask correction method of the present invention is not limited to the photomask shown in FIG.

図4(a)〜図4(d)は、本発明の修正方法を適用し得る主パターンと補助パターンとが半透明膜で構成されているハーフトーンマスクの例を示す部分断面模式図である。図4(a)〜図4(d)のフォトマスクは、透明基板41上に主パターン42と補助パターン43とが設けられており、いずれのマスクも、主パターン42を透過する露光光と透明基板41の透明領域を透過する露光光とで180度の位相差を生じる膜厚であるように、主パターン42の膜厚が設定されている。   4 (a) to 4 (d) are partial cross-sectional schematic diagrams showing examples of halftone masks in which a main pattern and an auxiliary pattern to which the correction method of the present invention can be applied are formed of a translucent film. . In the photomasks of FIGS. 4A to 4D, a main pattern 42 and an auxiliary pattern 43 are provided on a transparent substrate 41. Both masks are transparent to exposure light that passes through the main pattern 42. The film thickness of the main pattern 42 is set so that the film thickness causes a phase difference of 180 degrees with the exposure light transmitted through the transparent region of the substrate 41.

さらに、図4(a)は、主パターン42と補助パターン43とが同一の半透明膜で同一の膜厚で構成されているハーフトーンマスクである。図4(b)は、主パターン42と補助パターン43とが同一の半透明膜で構成され、補助パターン43を透過する露光光と透明基板41の透明領域を透過する露光光に70度〜115度の範囲の所定の位相差を生じさせるようにしたマスクであり、補助パターン43の膜厚を主パターン42の膜厚よりも薄くしたマスクである。図4(c)は、主パターン42が透明膜/半透明膜の2層で構成され、補助パターン43が半透明膜よりなるマスクであり、半透明膜層の膜厚は主パターン42と補助パターン43とも同じである。図4(d)は、主パターン42が半透明膜/半透明膜の2層で構成され、補助パターン43が半透明膜よりなるマスクであり、透明基板41に接した半透明膜層の膜厚は主パターン42と補助パターン43とも同じである。   FIG. 4A shows a halftone mask in which the main pattern 42 and the auxiliary pattern 43 are the same semi-transparent film and have the same film thickness. 4B, the main pattern 42 and the auxiliary pattern 43 are formed of the same semi-transparent film, and the exposure light that passes through the auxiliary pattern 43 and the exposure light that passes through the transparent region of the transparent substrate 41 are 70 to 115 degrees. The mask is designed to generate a predetermined phase difference in the range of degrees, and the auxiliary pattern 43 is made thinner than the main pattern 42. FIG. 4C shows a mask in which the main pattern 42 is composed of two layers of a transparent film / semi-transparent film and the auxiliary pattern 43 is a semi-transparent film. The film thickness of the semi-transparent film layer is the same as that of the main pattern 42. The pattern 43 is the same. FIG. 4D is a mask of a semi-transparent film layer in which the main pattern 42 is a mask composed of two layers of a semi-transparent film / semi-transparent film, and the auxiliary pattern 43 is made of a semi-transparent film. The thickness is the same for both the main pattern 42 and the auxiliary pattern 43.

上記の図4(a)〜図4(d)に示した補助パターンを有するマスクは、代表的な例であり、本発明の修正方法を適用し得るマスクとしては、主パターンと補助パターンとが半透明膜/半透明膜の2層で構成したマスクなどにも適用することができる。   The masks having the auxiliary patterns shown in FIGS. 4A to 4D are representative examples, and the masks to which the correction method of the present invention can be applied include main patterns and auxiliary patterns. The present invention can also be applied to a mask composed of two layers of semitransparent film / semitransparent film.

図4(e)および図4(f)は、主パターンが露光光を遮光する遮光膜で構成されているバイナリマスクの例を示す部分断面模式図である。図4(e)は、透明基板41上に主パターン42が遮光膜/半透明膜の2層で構成され、補助パターン43が半透明膜よりなるマスクであり、半透明膜層の膜厚は主パターン42と補助パターン43とも同じである。図4(f)は、主パターン42と補助パターン43とが同一の遮光膜で同一の膜厚で構成されているマスクである。   FIGS. 4E and 4F are partial cross-sectional schematic views showing examples of binary masks in which the main pattern is formed of a light-shielding film that shields exposure light. FIG. 4E shows a mask in which the main pattern 42 is formed of two layers of a light shielding film / semi-transparent film on the transparent substrate 41, and the auxiliary pattern 43 is a semi-transparent film. The film thickness of the semi-transparent film layer is as follows. The main pattern 42 and the auxiliary pattern 43 are the same. FIG. 4F shows a mask in which the main pattern 42 and the auxiliary pattern 43 are formed of the same light shielding film and the same film thickness.

上記のように、本発明の修正方法を適用するフォトマスクにおける主パターンおよび補助パターンの半透明膜は、露光光を所定の透過率で透過する半透明の薄膜を意味するものであり、薄膜が半透明の単層膜、あるいは半透明膜と透明膜もしくは透過率の異なる他の半透明膜との2層膜以上の構成であってもよい。また、本発明の修正方法を適用するフォトマスクにおける主パターンおよび補助パターンの遮光膜は、露光光を遮光する薄膜を意味するものであり、薄膜が遮光膜の単層膜、あるいは遮光膜と半透明膜を有する2層膜以上の構成であってもよい。   As described above, the translucent film of the main pattern and the auxiliary pattern in the photomask to which the correction method of the present invention is applied means a translucent thin film that transmits exposure light at a predetermined transmittance. The structure may be a semi-transparent single layer film, or a two-layer film or more of a semi-transparent film and a transparent film or another semi-transparent film having a different transmittance. In addition, the light shielding film of the main pattern and the auxiliary pattern in the photomask to which the correction method of the present invention is applied means a thin film that shields the exposure light. It may be a structure of two or more layers having a transparent film.

本発明のフォトマスクの修正方法において、図4(a)〜図4(e)に示すマスクの主パターン42および補助パターン43を構成する半透明膜としては、材料として特に限定されるわけではないが、例えば、モリブデンシリサイド系材料であるモリブデンシリサイド酸化膜(MoSiO)、モリブデンシリサイド窒化膜(MoSiN)、モリブデンシリサイド酸化窒化膜(MoSiON)などの半透明膜、クロム系材料である酸化クロム膜(CrO)、酸化クロム膜(CrN)、酸化窒化クロム膜(CrON)などの半透明膜、酸化スズ(SnO2)などの半透明膜が挙げられる。モリブデンシリサイド系半透明膜はハーフトーンマスク材料として実用されており、より好ましい材料である。図4(c)に示す主パターン42を構成する透明膜としては、酸化シリコン膜(SiO2)などが挙げられる。図4(e)に示す主パターン42を構成する遮光膜、および図4(f)に示すマスクの主パターン42および補助パターン43を構成する遮光膜としては、クロム膜(Cr)などの金属薄膜、モリブデンシリサイド(MoSi)などの金属シリサイド薄膜が挙げられる。 In the photomask correction method of the present invention, the translucent film constituting the mask main pattern 42 and auxiliary pattern 43 shown in FIGS. 4A to 4E is not particularly limited as a material. For example, translucent films such as molybdenum silicide oxide film (MoSiO), molybdenum silicide nitride film (MoSiN), and molybdenum silicide oxynitride film (MoSiON), which are molybdenum silicide materials, and chromium oxide films (CrO), which are chromium materials. ), A translucent film such as a chromium oxide film (CrN) and a chromium oxynitride film (CrON), and a translucent film such as tin oxide (SnO 2 ). Molybdenum silicide-based translucent films are practically used as halftone mask materials and are more preferable materials. Examples of the transparent film constituting the main pattern 42 shown in FIG. 4C include a silicon oxide film (SiO 2 ). As the light shielding film constituting the main pattern 42 shown in FIG. 4E and the light shielding film constituting the main pattern 42 and the auxiliary pattern 43 of the mask shown in FIG. 4F, a metal thin film such as a chromium film (Cr) is used. And metal silicide thin films such as molybdenum silicide (MoSi).

図1(b)に示す電子ビームマスク修正機の電子ビームを用いたガスアシスト・エッチング工程において、主パターン42および補助パターン43を構成する半透明膜が、例えばモリブデンシリサイド系材料の半透明膜の場合には、CF4 、CHF3 、C2 6などのフッ素系ガス、あるいはこれらの混合ガス、あるいはこれらのガスに酸素を混合したガスをアシストガスとして用いて選択的にエッチングを行い、補助パターンの膜厚を選択的に薄くすることができる。また、主パターン42および補助パターン43を構成する遮光膜が、例えばクロムの場合には、Cl2と酸素の混合ガスをアシストガスとして用いて選択的にエッチングを行い、補助パターンの膜厚を選択的に薄くすることができる。 In the gas assist etching process using the electron beam of the electron beam mask correcting machine shown in FIG. 1B, the translucent film constituting the main pattern 42 and the auxiliary pattern 43 is, for example, a translucent film of molybdenum silicide material. In some cases, selective etching is performed using a fluorine-based gas such as CF 4 , CHF 3 , or C 2 F 6 , a mixed gas thereof, or a gas in which oxygen is mixed with these gases as an assist gas. The film thickness of the pattern can be selectively reduced. Further, when the light shielding film constituting the main pattern 42 and the auxiliary pattern 43 is, for example, chromium, selective etching is performed using a mixed gas of Cl 2 and oxygen as an assist gas, and the film thickness of the auxiliary pattern is selected. Can be made thinner.

(修正後の補助パターンの転写性)
次に、図1に示した本発明のフォトマスクの修正方法により、補助パターン(SRAF)表面をエッチングもしくは研削し、補助パターンの膜厚を薄く修正した薄膜化の効果について説明する。マスクとしては、一例として、図4(a)に示す部分断面模式図の形状で、膜厚68nmのモリブデンシリサイドを半透明膜とし、主パターン(膜厚68nm)がArFエキシマレーザ光(193nm)の透過率6%、透明基板の透明領域との位相差180度であり、修正前の補助パターンの膜厚も68nmであるハーフトーンマスクを例にして説明する。
(Transferability of auxiliary pattern after correction)
Next, the effect of thinning the surface of the auxiliary pattern (SRAF) by etching or grinding and correcting the thin film thickness of the auxiliary pattern by the photomask correcting method of the present invention shown in FIG. 1 will be described. As an example of the mask, the shape of the partial cross-sectional schematic diagram shown in FIG. 4A is a semitransparent film made of molybdenum silicide with a film thickness of 68 nm, and the main pattern (film thickness of 68 nm) is ArF excimer laser light (193 nm). A halftone mask having a transmittance of 6%, a phase difference of 180 degrees from the transparent region of the transparent substrate, and a film thickness of the auxiliary pattern before correction will be described as an example.

シミュレーション・ソフトウェアとしては、EM−Suite(商品名:Panoramic Technology社製)を用いた。主なシミュレーション条件は、照明光源ArFエキシマレーザ(193nm)、NA1.35、変形照明とし、Cquad瞳フィルタを用い、Cquad21は、扇状光透過部の開口角35度、外径0.9、内径0.7(瞳フィルタの半径を1とする)とした。マスクには、上記のハーフトーンマスクの値を用いた。   As simulation software, EM-Suite (trade name: manufactured by Panoramic Technology) was used. The main simulation conditions are an illumination light source ArF excimer laser (193 nm), NA 1.35, modified illumination, and a Cquad pupil filter is used. .7 (the radius of the pupil filter is 1). As the mask, the value of the above halftone mask was used.

図5は、シミュレーションにより得られた結果であり、ウェハ上での主パターンのCDが32nmの上記のハーフトーンマスクにおいて、SRAFのCDを変えたとき、SRAF膜厚差(横軸)とSRAFの光強度/規格化された光強度閾値のスライスレベル(縦軸)との関係を示す図である。図5においては、SRAFの光強度/スライスレベルを1以上にしないと、SRAFがウェハ上に解像してしまうことを示す。   FIG. 5 shows the results obtained by simulation. When the SRAF CD is changed in the halftone mask having the main pattern CD of 32 nm on the wafer, the SRAF film thickness difference (horizontal axis) and the SRAF It is a figure which shows the relationship with the slice level (vertical axis | shaft) of light intensity / normalized light intensity threshold value. FIG. 5 shows that the SRAF is resolved on the wafer unless the SRAF light intensity / slice level is set to 1 or more.

図5が示すように、SRAFのCDが14nm(マスク上では56nm)と微細なときには、修正後のSRAF膜厚差が0と同じ(修正がされなかった場合と同じである)であっても、SRAFの光強度/スライスレベルは1以上であり、本来的にSRAFは転写されないことになる。次に、SRAFのCDが22nm(マスク上では88nm)のときには、修正後のSRAFの膜厚差が24nm以上であれば、SRAFは解像せず転写されないことが示される。同様に、SRAFのCDが26nm(マスク上で104nm)のとき、修正後のSRAFの膜厚差30nm以上、SRAFのCDが30nm(マスク上で88nm)のとき、修正後のSRAFの膜厚差が34nm以上であれば、SRAFは転写されない。   As shown in FIG. 5, when the SRAF CD is as fine as 14 nm (56 nm on the mask), even if the SRAF film thickness difference after the correction is the same as 0 (the same as the case where the correction is not made). SRAF has a light intensity / slice level of 1 or more, and SRAF is not originally transferred. Next, when the SRAF CD is 22 nm (88 nm on the mask), if the SRAF film thickness difference after correction is 24 nm or more, the SRAF is not resolved and is not transferred. Similarly, when the SRAF CD is 26 nm (104 nm on the mask), the SRAF film thickness difference after correction is 30 nm or more, and when the SRAF CD is 30 nm (88 nm on the mask), the SRAF film thickness difference after correction is If SR is 34 nm or more, the SRAF is not transferred.

上記の図5および図7で説明したように、主パターンと補助パターン(SRAF)が同一材料、同一膜厚で構成されたハーフトーンマスクでは、主パターンのCDを32nmとするとSRAFのCDが14nm以下でしかSRAFが用いられなかったが、上記のように、転写されてしまうSRAFを薄膜化する本発明の修正方法を適用することにより、SRAFのCDを26nm〜30nmと2倍程度に大きい寸法としても、SRAFが解像せず転写されないで使用することも可能となる。本発明の修正方法により、従来微細化が難しく使用することが困難であったSRAFを有するハーフトーンマスクの使用可能性が広くなる。   As described above with reference to FIGS. 5 and 7, in the halftone mask in which the main pattern and the auxiliary pattern (SRAF) are made of the same material and the same film thickness, if the main pattern CD is 32 nm, the SRAF CD is 14 nm. SRAF was used only in the following, but by applying the correction method of the present invention for thinning the SRAF to be transferred as described above, the SRAF CD is 26 to 30 nm, which is twice as large as the size. However, the SRAF can be used without being resolved and transferred. According to the correction method of the present invention, the possibility of using a halftone mask having SRAF, which has been difficult to use in miniaturization in the past, is widened.

次に、SRAFを修正により薄くしたときの影響について述べる。図6は、シミュレーションにより得られたSRAFのCDを変えたとき、ウェハ上の主パターン端のラインのCDとデフォーカス(Defocus:焦点位置変動)との関係を示す図である。それぞれのSRAFのCDに対して、SRAFが解像しないようにエッチングによる修正により膜厚を薄くして、修正前の膜厚との膜厚差(SRAF膜厚差:24nm、32nm、40nm)を有している。図6に示されるように、SRAFのCDを22nm〜30nm(ウェハ上)と大きくし、SRAFの膜厚を薄くする修正を行うことにより、フォーカスを振ったときの各SRAF寸法間におけるCD変動はなく、ほぼ同じ傾向を示す。すなわち、本発明の修正方法によるSRAFの薄膜化は、デフォーカスに対して悪影響はなく、修正をしていないSRAFのCD14nmの場合と同様の寸法精度が得られることが示される。   Next, the effect when the SRAF is thinned by correction will be described. FIG. 6 is a diagram showing the relationship between the CD at the end of the main pattern on the wafer and the defocus (Defocus) when the SRAF CD obtained by simulation is changed. For each SRAF CD, the film thickness is reduced by correction by etching so that SRAF is not resolved, and the film thickness difference from the film thickness before correction (SRAF film thickness difference: 24 nm, 32 nm, 40 nm) Have. As shown in FIG. 6, by making the SRAF CD 22 nm to 30 nm (on the wafer) large and reducing the SRAF film thickness, the CD variation between the SRAF dimensions when the focus is moved is as follows. It shows almost the same tendency. That is, it is shown that SRAF thinning by the correction method of the present invention has no adverse effect on defocusing, and the same dimensional accuracy as in the case of SRAF CD14 nm without correction is obtained.

上記の実施形態においては、補助パターン(SRAF)を有するフォトマスクとして、主パターンの両端にSRAFを有するマスク形態を例に説明をしてきたが、本発明はこれに限定されるわけではなく、主パターン間にSRAFがあるマスク形態、あるいは主パターンが孤立パターンであるマスク形態などにおいても、本発明のフォトマスクの修正方法を適用することが可能である。   In the above embodiment, as a photomask having an auxiliary pattern (SRAF), the mask form having SRAFs at both ends of the main pattern has been described as an example. However, the present invention is not limited to this, The photomask correction method of the present invention can also be applied to a mask configuration having SRAF between patterns or a mask configuration in which the main pattern is an isolated pattern.

本発明のフォトマスクの修正方法によれば、補助パターンが転写対象面に解像して転写されてしまう場合のフォトマスクの修正方法において、補助パターン表面をエッチングもしくは研削し、補助パターンが転写対象面に解像されなくなるまで、補助パターンの膜厚を薄くすることで、補助パターンが解像して転写されてしまう問題を解決し、かつ、補助パターンとしての焦点深度拡大効果を保ちつつ、コントラストの高い転写画像を形成するフォトマスクに修正することができる。本発明のフォトマスクの修正方法は、補助パターンの線幅方向にマスクパターンを修正する従来のフォトマスクの修正方法とは異なり、補助パターンの厚み方向にマスクパターンを修正する方法であって、補助パターンを有するフォトマスクを確実で比較的容易な方法で修正することが可能となる。   According to the photomask correction method of the present invention, in the photomask correction method in which the auxiliary pattern is resolved and transferred onto the transfer target surface, the auxiliary pattern surface is etched or ground, and the auxiliary pattern is transferred. By reducing the film thickness of the auxiliary pattern until it is not resolved on the surface, the problem of the auxiliary pattern being resolved and transferred is solved, and while maintaining the effect of expanding the depth of focus as the auxiliary pattern, contrast The photomask can be modified to form a high transfer image. The photomask correction method of the present invention is a method for correcting a mask pattern in the thickness direction of the auxiliary pattern, unlike the conventional photomask correction method in which the mask pattern is corrected in the line width direction of the auxiliary pattern. A photomask having a pattern can be corrected by a reliable and relatively easy method.

<本発明の修正されたフォトマスク>
本発明の修正されたフォトマスクは、上記のフォトマスクの修正方法により補助パターンが修正されたフォトマスクであって、一例として、図1(c)に示すように、エッチングもしくは研削して薄くした修正後の補助パターン13a´および13b'を備え、修正前の補助パターンの膜厚との膜厚差(図中のSRAF膜厚差:T)を有するものである。本発明のフォトマスクは、補助パターンが転写対象面に解像されて転写されてしまうフォトマスクを、補助パターンを膜厚の厚み方向に修正することにより、補助パターンが転写対象面に解像し転写されないようにし、焦点深度拡大効果を保ちつつ、コントラストの高い転写画像を形成することができる。
以下、実施例により本発明を説明する。
<Modified photomask of the present invention>
The modified photomask of the present invention is a photomask in which the auxiliary pattern is modified by the above-described photomask correcting method. As an example, as shown in FIG. 1C, the mask is thinned by etching or grinding. The auxiliary patterns 13a ′ and 13b ′ after correction are provided, and have a film thickness difference (SRAF film thickness difference: T in the drawing) from the film thickness of the auxiliary pattern before correction. In the photomask of the present invention, the auxiliary pattern is resolved on the transfer target surface by modifying the photomask in which the auxiliary pattern is resolved and transferred onto the transfer target surface in the thickness direction of the film thickness. It is possible to form a transfer image with high contrast while preventing the image from being transferred and maintaining the effect of expanding the depth of focus.
Hereinafter, the present invention will be described by way of examples.

ArFエキシマレーザ(波長193nm)用マスクとして、補助パターンを有するMoSi系の193nmにおける透過率6%のハーフトーンマスクを作製した。ウェハ上のターゲットライン寸法は45nm、パターンはピッチ90nm(マスク上でピッチ360nm)のライン/スペース繰り返しパターンで、図3に示すパターンを形成した。ウェハ上において、主パターンとしてハーフピッチ45nmのライン/スペースが9本、端の主パターンの解像性を向上させるために、主パターンの両端にSRAFを2本(SRAFのピッチ90nm)入れている。主パターン、SRAFともに上記の6%ハーフトーンで構成され、マスク上のパターンの膜厚はともに68nmとした。マスク上における主パターンのCDおよびSRAFのCDは、ともに128nmとした。   As a mask for an ArF excimer laser (wavelength 193 nm), a MoSi-based halftone mask having an auxiliary pattern and having a transmittance of 6% at 193 nm was prepared. The target line dimension on the wafer was 45 nm, and the pattern was a line / space repeating pattern with a pitch of 90 nm (pitch of 360 nm on the mask), and the pattern shown in FIG. 3 was formed. On the wafer, nine lines / spaces with a half pitch of 45 nm are provided as main patterns, and two SRAFs are inserted at both ends of the main pattern (SRAF pitch of 90 nm) in order to improve the resolution of the main pattern at the end. . Both the main pattern and SRAF were composed of the above 6% halftone, and the film thickness of the pattern on the mask was 68 nm. The main pattern CD and SRAF CD on the mask were both 128 nm.

上記のハーフトーンマスクを用いてArFエキシマレーザ露光を行った。露光系のNAは1.35、変形照明として、図2に示すCquad瞳フィルタを用いた。しかし、ウェハ上に転写されてはならないSRAFパターンが、ウェハ上に解像してしまうという問題が生じた。   ArF excimer laser exposure was performed using the above halftone mask. The NA of the exposure system was 1.35, and the Cquad pupil filter shown in FIG. 2 was used as modified illumination. However, there arises a problem that the SRAF pattern that should not be transferred onto the wafer is resolved on the wafer.

そこで、SRAFをエッチングもしくは研削して膜厚を薄くするべく、あらかじめシミュレーションにより転写特性の見積りを行った。また、リソグラフィシミュレーション顕微鏡AIMS45-193i(カールツァイス社製)を上記の露光系と同一の露光条件にて用い、SRAFの膜厚を薄くしたときのウェハへの転写特性の検証を行った。   Therefore, in order to reduce the film thickness by etching or grinding the SRAF, the transfer characteristics were estimated in advance by simulation. Further, the lithography simulation microscope AIMS45-193i (manufactured by Carl Zeiss) was used under the same exposure conditions as the above exposure system, and the transfer characteristics to the wafer when the SRAF film thickness was reduced were verified.

まず、テスト試料によりSRAFのエッチング条件の確認を行った。パターンはマスク上でピッチ360nm(ウェハ上で90nm)のライン/スペースパターンで、主パターンの両端にSRAFを2本設けた。図8は、EBマスク修正装置MeRiT65(カールツアイス社製)を用い、CF4をアシストガスとしてガスアシスト・エッチングを行い、石英基板上のMoSi薄膜のSRAF部(S1とS2)を部分的に30nmエッチングした後のSEM平面写真である。図8の破線内の領域が、エッチングにより薄膜化処理した部分であり、エッチングした領域は、微視的にはエッチングされていない領域とSEM写真上僅かな差異が認められるが、良好な表面状態を示している。 First, the SRAF etching conditions were confirmed using a test sample. The pattern was a line / space pattern with a pitch of 360 nm (90 nm on the wafer) on the mask, and two SRAFs were provided at both ends of the main pattern. FIG. 8 shows an EB mask correction device MeRiT65 (Carlz Ice Co., Ltd.), gas assist etching is performed using CF 4 as an assist gas, and the SRAF portion (S1 and S2) of the MoSi thin film on the quartz substrate is partially 30 nm. It is a SEM plane photograph after etching. The region within the broken line in FIG. 8 is a portion that has been thinned by etching, and the etched region is slightly different from the region that is not etched microscopically on the SEM photograph, but has a good surface condition. Is shown.

図9は、上記のテスト試料でSRAF部(S1とS2)を部分的に30nmエッチングした後のリソグラフィシミュレーション顕微鏡AIMS(AIMS45-193i;カールツァイス社製)による検証画像で、ウェハ上光強度分布の平面状態を示す。図9の破線内がエッチングにより薄膜化処理したSRAF部分に相当し、この部分の光強度が上がり、レジストパターンが解像しないことが示されている。   FIG. 9 is a verification image obtained by lithography simulation microscope AIMS (AIMS45-193i; manufactured by Carl Zeiss) after partially etching the SRAF part (S1 and S2) by 30 nm with the above test sample. A plane state is shown. The inside of the broken line in FIG. 9 corresponds to the SRAF portion thinned by etching, and the light intensity of this portion increases, indicating that the resist pattern is not resolved.

AIMSを用いたシミュレーションによる転写特性の検証結果を図10〜図15に示す。図10は、SRAFを薄くする前のマスクパターンの位置に対応した光強度を示す空間像の図であり、一組のパターン両端片側のSRAF(S1、S2)と主パターンの一部が示されている。図10では、横軸に主パターンの一部とSRAFの一組のパターン位置、縦軸にパターンがない透過部の光強度を1としたときの規格化した光強度を示している。光強度プロファイルが複数表示されているのは、SRAFの効果を見るためにフォーカス(焦点深度)を変えた場合を示している。図10に示すように、光強度が0.25〜0.57の範囲において、スライスレベルをどこにとっても、SRAFのうちのS1はウェハ上に解像してしまい、さらに、スライスレベルを0.4以上にすると、S2も解像してしまうことが示された。   The verification results of the transfer characteristics by simulation using AIMS are shown in FIGS. FIG. 10 is a diagram of an aerial image showing the light intensity corresponding to the position of the mask pattern before thinning the SRAF, and shows a SRAF (S1, S2) on one side of a pair of patterns and a part of the main pattern. ing. In FIG. 10, the horizontal axis shows a part of the main pattern and a set of pattern positions of the SRAF, and the vertical axis shows the normalized light intensity when the light intensity of the transmission part having no pattern is 1. A plurality of light intensity profiles are displayed when the focus (depth of focus) is changed in order to see the effect of SRAF. As shown in FIG. 10, in the range of 0.25 to 0.57, the S1 of the SRAF is resolved on the wafer regardless of the slice level, and the slice level is set to 0.4. It has been shown that S2 is also resolved by the above.

図11は、図10の部分拡大図で、フォーカスを変えたときの繰り返し端主パターンと補助パターンS1のSRAF薄膜化処理前のパターン位置と光強度との関係を示す空間像の図である。ライン/スペース繰り返し部の主パターンのCDが45nmとなる光強度閾値を0.42とした。図11では、図10で述べたように、SRAFの効果を見るためにフォーカスを変えた場合の5本の光強度プロファイルを示している。図11が示すように、SRAFのうちのS1はフォーカスに係らずに解像してしまう。   FIG. 11 is a partial enlarged view of FIG. 10, which is a space image showing the relationship between the light intensity and the pattern end position before the SRAF thinning process of the auxiliary pattern S1 and the auxiliary pattern S1 when the focus is changed. The light intensity threshold at which the CD of the main pattern of the line / space repeating portion is 45 nm was set to 0.42. FIG. 11 shows five light intensity profiles when the focus is changed to see the effect of SRAF, as described in FIG. As shown in FIG. 11, S1 of the SRAF is resolved regardless of the focus.

ここで図12は、図11の比較参考として、SRAFが無い場合の繰り返し端の主パターンと補助パターンS1のパターン位置と光強度との関係を示す空間像の図である。図12が示すように、SRAFが無いと繰り返し端の主パターンはほとんど解像しない。   Here, FIG. 12 is a diagram of an aerial image showing the relationship between the main pattern of the repetitive end, the pattern position of the auxiliary pattern S1, and the light intensity when there is no SRAF as a comparative reference of FIG. As shown in FIG. 12, the main pattern at the repeated end is hardly resolved without SRAF.

次に、SRAFを修正により薄膜化した場合の転写特性をシミュレーションにより見積った。図13は、シミュレーションによる転写特性の見積り結果であり、SRAFを30nmほど薄くした後のマスクパターンの位置に対応した光強度を示す空間像の図である。SRAFを薄膜化したことにより、SRAFによる光量が拡大し、SRAFの光強度の最小値は大きくなり、0.25〜0.55の範囲においてSRAFパターンは解像しないことが示され、光強度のスライスレベル選定の余裕度が拡大した。また、SRAFを薄膜化しても、主パターンの光学像は低下しないことが確認された。   Next, the transfer characteristics when the SRAF was thinned by correction were estimated by simulation. FIG. 13 is an estimation result of transfer characteristics by simulation, and is a diagram of an aerial image showing the light intensity corresponding to the position of the mask pattern after the SRAF is thinned by about 30 nm. By reducing the thickness of the SRAF, the amount of light by the SRAF is increased, the minimum value of the SRAF light intensity is increased, and it is shown that the SRAF pattern is not resolved in the range of 0.25 to 0.55. The margin for selecting slice levels has been expanded. Further, it was confirmed that the optical image of the main pattern does not deteriorate even when the SRAF is thinned.

図14は、図8、図9の破線部内の状況を示し、図13の部分拡大図であり、繰り返し端主パターンと補助パターンS1のSRAF薄膜化処理後のパターン位置と光強度との関係を示す空間像の図である。図14では、SRAFの効果を見るためにフォーカスを変えた場合の光強度プロファイルを示している。図14が示すように、SRAFを薄膜化することにより、SRAFのS1は解像しなくなる。   FIG. 14 shows the situation in the broken line part of FIGS. 8 and 9, and is a partially enlarged view of FIG. 13. The relationship between the pattern position and the light intensity after the SRAF thinning process of the repeated end main pattern and the auxiliary pattern S1 is shown. It is a figure of the aerial image to show. FIG. 14 shows a light intensity profile when the focus is changed to see the effect of SRAF. As shown in FIG. 14, by reducing the thickness of the SRAF, S1 of the SRAF is not resolved.

図15は、SRAF薄膜化処理をした後の繰り返し端主パターンの焦点深度を示す図である。上記のように、ライン/スペース繰り返し部の主パターンのCDが45nmとなる光強度〔a.u.〕閾値を0.42とした。比較のために、SRAFが無い場合も図示してある。SRAFが無い場合(no)は解像するフォーカス範囲が狭いが、薄膜化したSRAFを設けた場合(thin)には、解像するフォーカス範囲が広いことが示された。また、SRAFを解像しないようにしながら、SRAFとしての効果を保っていることが示された。   FIG. 15 is a diagram showing the depth of focus of the repetitive edge main pattern after the SRAF thinning process. As described above, the light intensity [a.u.] threshold value at which the CD of the main pattern of the line / space repeating portion is 45 nm was set to 0.42. For comparison, the case without SRAF is also shown. When there was no SRAF (no), the resolving focus range was narrow, but when a thin SRAF was provided (thin), the resolving focus range was wide. Moreover, it was shown that the effect as SRAF is maintained while not resolving SRAF.

上記のエッチングテスト結果およびシミュレーション結果に基づいて、ウェハ上に解像してしまうSRAFパターンを有する上記のハーフトーンマスクのSRAF部を修正した。上記のテスト試料と同じエッチング条件により、1組の主パターン端の両側にある各2本のSRAF表面全面をエッチングして、初期膜厚との膜厚差が30nmとなるまで薄膜化した。   Based on the etching test result and the simulation result, the SRAF portion of the halftone mask having the SRAF pattern that is resolved on the wafer is corrected. Under the same etching conditions as in the above test sample, the entire surface of each of the two SRAFs on both sides of one set of main pattern ends was etched to reduce the thickness until the difference in film thickness from the initial film thickness was 30 nm.

上記のSRAFの膜厚を薄膜化して修正したハーフトーンマスクを用いて、再度、ArFエキシマレーザ露光を行ったところ、SRAFはまったく解像されずに、主パターンのみがウェハ上に高解像で転写された。また、露光時における焦点深度拡大効果も得られた。   When the ArF excimer laser exposure was performed again using the halftone mask that was modified by reducing the film thickness of the SRAF, the SRAF was not resolved at all, and only the main pattern had a high resolution on the wafer. It was transcribed. In addition, the effect of expanding the depth of focus during exposure was also obtained.

10 ハーフトーンマスク
11 透明基板
12 主パターン
13、13a、13a´、13b、13b' 補助パターン(SRAF)
14 半透明膜
15 ガスノズル
16 電子ビーム
21 瞳フィルタ
22 照明光
23 マスク
41 透明基板
42 主パターン
43 補助パターン(SRAF)
1 主パターン
2 補助パターン
301 透明基板
302 半透明膜
304 透明膜
10 Halftone mask 11 Transparent substrate 12 Main pattern 13, 13a, 13a ', 13b, 13b' Auxiliary pattern (SRAF)
14 translucent film 15 gas nozzle 16 electron beam 21 pupil filter 22 illumination light 23 mask 41 transparent substrate 42 main pattern 43 auxiliary pattern (SRAF)
1 Main Pattern 2 Auxiliary Pattern 301 Transparent Substrate 302 Translucent Film 304 Transparent Film

Claims (8)

ArFエキシマレーザを露光光源とし、変形照明による投影露光に用いられ、透明基板の一主面上に、前記投影露光により転写対象面に転写される主パターンと、前記主パターンの近傍に形成された補助パターンとを有するフォトマスクにおいて、前記投影露光により前記補助パターンが前記転写対象面に解像されてしまう場合のフォトマスクの修正方法であって、
前記解像されてしまう補助パターンの表面をエッチングもしくは研削し、前記補助パターンが前記転写対象面に解像されなくなるまで、前記解像されてしまう補助パターンの膜厚を薄くすることを特徴とするフォトマスクの修正方法。
An ArF excimer laser is used as an exposure light source and is used for projection exposure by modified illumination, and is formed on one main surface of a transparent substrate and a main pattern transferred to the transfer target surface by the projection exposure and in the vicinity of the main pattern. In a photomask having an auxiliary pattern, the photomask correction method in the case where the auxiliary pattern is resolved on the transfer target surface by the projection exposure,
Etching or grinding the surface of the auxiliary pattern to be resolved, and reducing the film thickness of the auxiliary pattern to be resolved until the auxiliary pattern is not resolved on the transfer target surface. Photomask correction method.
前記エッチングもしくは研削して薄くした修正後の前記補助パターンの膜厚と、修正前の前記補助パターンの膜厚との膜厚差が、1nm〜40nmの範囲であることを特徴とする請求項1に記載のフォトマスクの修正方法。   2. The film thickness difference between the film thickness of the auxiliary pattern after correction, which is thinned by etching or grinding, and the film thickness of the auxiliary pattern before correction is in the range of 1 nm to 40 nm. A method for correcting a photomask described in 1. 前記エッチングが電子ビームマスク修正機の電子ビームを用いたガスアシスト・エッチングであり、前記研削が原子間力顕微鏡の探針を用いた研削であることを特徴とする請求項1または請求項2に記載のフォトマスクの修正方法。   3. The etching according to claim 1 or 2, wherein the etching is gas assist etching using an electron beam of an electron beam mask correcting machine, and the grinding is grinding using a probe of an atomic force microscope. The photomask correction method described. 前記主パターンと前記補助パターンとが半透明膜で構成されており、前記主パターンの膜厚が、前記主パターンを透過する光と前記透明基板の透明領域を透過する光とで180度の位相差を生じる膜厚であることを特徴とする請求項1から請求項3までのいずれか1項に記載のフォトマスクの修正方法。   The main pattern and the auxiliary pattern are composed of a semi-transparent film, and the film thickness of the main pattern is about 180 degrees between light transmitted through the main pattern and light transmitted through the transparent region of the transparent substrate. 4. The method for correcting a photomask according to claim 1, wherein the film thickness is a thickness that causes a phase difference. 前記主パターンが遮光膜から構成され、前記補助パターンが半透明膜よりなることを特徴とする請求項1から請求項3までのいずれか1項に記載のフォトマスクの修正方法。   4. The photomask correction method according to claim 1, wherein the main pattern is made of a light-shielding film, and the auxiliary pattern is made of a semi-transparent film. 5. 前記主パターンと前記補助パターンとが遮光膜で構成されていることを特徴とする請求項1から請求項3までのいずれか1項に記載のフォトマスクの修正方法。   The photomask correction method according to any one of claims 1 to 3, wherein the main pattern and the auxiliary pattern are formed of a light shielding film. 前記主パターンおよび前記補助パターンがいずれもラインパターンであり、前記主パターンが孤立パターンまたは周期パターンであることを特徴とする請求項1から請求項6までのいずれか1項に記載のフォトマスクの修正方法。   The photomask according to any one of claims 1 to 6, wherein the main pattern and the auxiliary pattern are both line patterns, and the main pattern is an isolated pattern or a periodic pattern. How to fix. 請求項1から請求項7までのいずれか1項に記載のフォトマスクの修正方法により補助パターンが修正され、修正後の前記補助パターンの膜厚が修正前の前記補助パターンの膜厚よりも薄いことを特徴とするフォトマスク。   The auxiliary pattern is corrected by the photomask correction method according to claim 1, and the film thickness of the auxiliary pattern after correction is thinner than the film thickness of the auxiliary pattern before correction. A photomask characterized by that.
JP2009207682A 2009-02-16 2009-09-09 Photomask correction method and corrected photomask Active JP5104832B2 (en)

Priority Applications (13)

Application Number Priority Date Filing Date Title
JP2009207682A JP5104832B2 (en) 2009-09-09 2009-09-09 Photomask correction method and corrected photomask
US13/147,634 US8974987B2 (en) 2009-02-16 2010-02-04 Photomask and methods for manufacturing and correcting photomask
KR1020117018799A KR101420907B1 (en) 2009-02-16 2010-02-04 Photomask and methods for manufacturing and correcting photomask
KR1020137011701A KR101396078B1 (en) 2009-02-16 2010-02-04 Photomask and methods for manufacturing and correcting photomask
EP14000706.3A EP2738791B1 (en) 2009-02-16 2010-02-04 Method for correcting a photomask
EP10741178.7A EP2397900B1 (en) 2009-02-16 2010-02-04 Photomask and method for manufacturing a photomask
CN2010800070220A CN102308256B (en) 2009-02-16 2010-02-04 Photomask and methods for manufacturing and correcting photomask
PCT/JP2010/051635 WO2010092901A1 (en) 2009-02-16 2010-02-04 Photomask and methods for manufacturing and correcting photomask
TW099104691A TWI422965B (en) 2009-02-16 2010-02-12 Photomask and producing method of photomask, and correcting method of photomask and corrected photomask
US14/607,541 US9519211B2 (en) 2009-02-16 2015-01-28 Photomask and methods for manufacturing and correcting photomask
US15/341,480 US10048580B2 (en) 2009-02-16 2016-11-02 Photomask and methods for manufacturing and correcting photomask
US16/033,596 US10394118B2 (en) 2009-02-16 2018-07-12 Photomask and methods for manufacturing and correcting photomask
US16/508,917 US10634990B2 (en) 2009-02-16 2019-07-11 Photomask and methods for manufacturing and correcting photomask

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2009207682A JP5104832B2 (en) 2009-09-09 2009-09-09 Photomask correction method and corrected photomask

Publications (2)

Publication Number Publication Date
JP2011059285A JP2011059285A (en) 2011-03-24
JP5104832B2 true JP5104832B2 (en) 2012-12-19

Family

ID=43947006

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009207682A Active JP5104832B2 (en) 2009-02-16 2009-09-09 Photomask correction method and corrected photomask

Country Status (1)

Country Link
JP (1) JP5104832B2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10217635B2 (en) 2016-03-25 2019-02-26 Samsung Electronics Co., Ltd. Method of manufacturing semiconductor device

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014174243A (en) * 2013-03-07 2014-09-22 Dainippon Printing Co Ltd Method of correcting defect of photomask, photomask production method and photomask
JP6379556B2 (en) * 2013-08-21 2018-08-29 大日本印刷株式会社 Mask blanks, mask blanks with a negative resist film, phase shift mask, and method for producing a pattern forming body using the same
JP7429583B2 (en) 2020-03-30 2024-02-08 Hoya株式会社 Lithography mask manufacturing method, lithography mask, and semiconductor device manufacturing method

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2865727B2 (en) * 1989-09-01 1999-03-08 株式会社日立製作所 Method of forming resist pattern
JP3188933B2 (en) * 1993-01-12 2001-07-16 日本電信電話株式会社 Projection exposure method
JP2877200B2 (en) * 1995-06-29 1999-03-31 日本電気株式会社 Photomask for exposure and method of manufacturing the same
JP2953406B2 (en) * 1996-10-17 1999-09-27 日本電気株式会社 Photomask and method of manufacturing the same
JP3111962B2 (en) * 1998-01-16 2000-11-27 日本電気株式会社 Mask correction method
JP3626453B2 (en) * 2001-12-27 2005-03-09 株式会社東芝 Photomask correction method and correction apparatus
JP2003302739A (en) * 2002-04-12 2003-10-24 Elpida Memory Inc Photomask
JP2007018005A (en) * 2003-02-17 2007-01-25 Matsushita Electric Ind Co Ltd Photomask
JP2005157022A (en) * 2003-11-27 2005-06-16 Elpida Memory Inc Method for manufacturing mask having auxiliary pattern
JP2005260056A (en) * 2004-03-12 2005-09-22 Sii Nanotechnology Inc Method for correcting defect of dlc membrane mask
JP2007305972A (en) * 2006-04-11 2007-11-22 Toshiba Corp Method of setting exposure conditions and method of manufacturing semiconductor device
JP4858101B2 (en) * 2006-11-14 2012-01-18 大日本印刷株式会社 Photo mask
JP5085366B2 (en) * 2008-02-14 2012-11-28 Hoya株式会社 Photomask defect correction method and photomask manufacturing method

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10217635B2 (en) 2016-03-25 2019-02-26 Samsung Electronics Co., Ltd. Method of manufacturing semiconductor device

Also Published As

Publication number Publication date
JP2011059285A (en) 2011-03-24

Similar Documents

Publication Publication Date Title
US10634990B2 (en) Photomask and methods for manufacturing and correcting photomask
US11953448B2 (en) Method for defect inspection
JP5104832B2 (en) Photomask correction method and corrected photomask
JP5526631B2 (en) Method of correcting phase shift mask, corrected phase shift mask, and method of manufacturing phase shift mask
JPH07146544A (en) Phase shift mask and method for correcting defect of phase shift mask
US9057961B2 (en) Systems and methods for lithography masks
JP5668356B2 (en) Transfer method
JP5104774B2 (en) Photomask and manufacturing method thereof
JP2009205146A (en) Photomask defect correction method, photomask manufacturing method, phase shift mask manufacturing method, photomask, phase shift mask, photomask set, and pattern transfer method
JP5630592B1 (en) Photomask manufacturing method
JP2017227804A (en) White defect correction method for mask pattern and photomask production
Yoshioka Optical Masks: An Overview
JP4563101B2 (en) Mask pattern data correction method
TWI715971B (en) Photomask and method for forming the same
JP7154572B2 (en) MASK BLANK, TRANSFER MASK, AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE
JP2009265508A (en) Photomask, method of correcting photomask, method of correcting photomask shape, method of manufacturing photomask and exposure transfer method
JPH10307382A (en) Blank for halftone type phase shift mask and halftone type phase shift mask and their production
JP2005215617A (en) Phase shift mask, its manufacturing method and exposure method thereof

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120727

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120904

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120917

R150 Certificate of patent or registration of utility model

Ref document number: 5104832

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20151012

Year of fee payment: 3