JP5064707B2 - Plasma processing equipment - Google Patents

Plasma processing equipment Download PDF

Info

Publication number
JP5064707B2
JP5064707B2 JP2006092860A JP2006092860A JP5064707B2 JP 5064707 B2 JP5064707 B2 JP 5064707B2 JP 2006092860 A JP2006092860 A JP 2006092860A JP 2006092860 A JP2006092860 A JP 2006092860A JP 5064707 B2 JP5064707 B2 JP 5064707B2
Authority
JP
Japan
Prior art keywords
electrode
processing
plasma
space
processing apparatus
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2006092860A
Other languages
Japanese (ja)
Other versions
JP2007266529A (en
Inventor
直樹 松本
欣延 早川
秀敏 花岡
法明 兒玉
地塩 輿水
学 岩田
諭志 田中
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2006092860A priority Critical patent/JP5064707B2/en
Priority to KR1020070030130A priority patent/KR100841118B1/en
Priority to TW096111086A priority patent/TWI408744B/en
Priority to CNB2007100913490A priority patent/CN100517563C/en
Priority to US11/694,083 priority patent/US8034213B2/en
Publication of JP2007266529A publication Critical patent/JP2007266529A/en
Application granted granted Critical
Publication of JP5064707B2 publication Critical patent/JP5064707B2/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes

Description

本発明は、被処理基板にプラズマ処理を施す技術に係り、特に容量結合型のプラズマ処理装置に関する
The present invention relates to a technique for performing plasma processing on a substrate to be processed, and more particularly to a capacitively coupled plasma processing apparatus .

半導体デバイスやFPD(Flat Panel Display)の製造プロセスにおけるエッチング、堆積、酸化、スパッタリング等の処理では、処理ガスに比較的低温で良好な反応を行わせるためにプラズマがよく利用されている。従来より、枚葉式のプラズマ処理装置、特にプラズマエッチング装置の中では、容量結合型のプラズマ処理装置が主流となっている。   In processes such as etching, deposition, oxidation, sputtering and the like in the manufacturing process of semiconductor devices and FPDs (Flat Panel Displays), plasma is often used in order to cause a favorable reaction to a processing gas at a relatively low temperature. Conventionally, among single-wafer plasma processing apparatuses, particularly plasma etching apparatuses, capacitively coupled plasma processing apparatuses have been mainstream.

一般に、容量結合型プラズマ処理装置は、真空チャンバとして構成される処理容器内に上部電極と下部電極とを平行に配置し、下部電極の上に被処理基板(半導体ウエハ、ガラス基板等)を載置し、両電極のいずれか一方に高周波電圧を印加する。この高周波電圧によって両電極間に形成される電界により電子が加速され、電子と処理ガスとの衝突電離によってプラズマが発生し、プラズマ中のラジカルやイオンによって基板表面に所望の加工(たとえばエッチング加工)が施される。ここで、高周波を印加される側の電極は整合器内のブロッキングキャパシタを介して高周波電源に接続されるため、カソード(陰極)として働く。基板を支持する下部電極に高周波を印加してこれをカソードとするカソードカップル方式は、下部電極に生じる自己バイアス電圧を利用してプラズマ中のイオンを基板にほぼ垂直に引き込むことにより、方向性にすぐれた異方性エッチングを可能としている(たとえば特許文献1参照)。
特開平6−283474
Generally, in a capacitively coupled plasma processing apparatus, an upper electrode and a lower electrode are arranged in parallel in a processing container configured as a vacuum chamber, and a substrate to be processed (semiconductor wafer, glass substrate, etc.) is mounted on the lower electrode. And a high frequency voltage is applied to one of both electrodes. Electrons are accelerated by the electric field formed between both electrodes by this high-frequency voltage, and plasma is generated by impact ionization between the electrons and the processing gas, and desired processing (for example, etching processing) is performed on the substrate surface by radicals and ions in the plasma. Is given. Here, the electrode to which the high frequency is applied is connected to a high frequency power source via a blocking capacitor in the matching unit, and thus functions as a cathode (cathode). The cathode-coupled method, in which a high frequency is applied to the lower electrode that supports the substrate and this is used as the cathode, uses the self-bias voltage generated in the lower electrode to draw ions in the plasma almost perpendicularly to the substrate, thereby providing directionality. Excellent anisotropic etching is possible (for example, see Patent Document 1).
JP-A-6-283474

従来の容量結合型プラズマ処理装置は、概して、高周波を印加しないアノード側の電極を接地している。通常は、処理容器がアルミニウムやステンレス等の金属からなり保安接地されるので、処理容器を通じてアノードの電極を接地電位にすることができる。このことから、カソードカップル方式においては、アノード電極である上部電極を処理容器の天井に直付けで一体に組み込む構成や、あるいは処理容器の天井をそのまま上部電極として利用する構成を採っている。   Conventional capacitively coupled plasma processing apparatuses generally have an anode-side electrode to which no high frequency is applied grounded. Usually, since the processing container is made of a metal such as aluminum or stainless steel and is grounded for safety, the anode electrode can be set to the ground potential through the processing container. For this reason, the cathode coupled system adopts a configuration in which the upper electrode, which is an anode electrode, is directly attached to the ceiling of the processing vessel and is integrated as a unit, or a configuration in which the ceiling of the processing vessel is used as the upper electrode as it is.

しかしながら、近年の半導体製造プロセスにおけるデザインルールの微細化につれて低圧下での高密度のプラズマが要求されており、上記のような容量結合型プラズマ処理装置では高周波の周波数が段々高くなってきており、最近は標準的に40MHz以上の高周波を使用している。しかしながら、高周波の周波数が高くなると、高周波電流が電極の中心部に集まることによって、両電極間の処理空間に生成されるプラズマの密度も電極中心部側が電極エッジ部側より高くなり、プロセスの面内均一性が低下するという問題が顕著になってくる。   However, high-density plasma under low pressure has been demanded as design rules in the semiconductor manufacturing process have been miniaturized in recent years, and high frequency frequencies have been gradually increased in the capacitively coupled plasma processing apparatus as described above. Recently, a high frequency of 40 MHz or higher is used as a standard. However, when the frequency of the high frequency is increased, the high frequency current is collected at the center of the electrode, so that the density of the plasma generated in the processing space between the two electrodes becomes higher on the electrode center side than on the electrode edge side. The problem that the uniformity of the inside is lowered becomes prominent.

本発明は、上記の問題点に鑑みてなされたものであって、容量結合型において相対向する2つの電極の間に高周波を印加して生成するプラズマの密度の空間的な分布を均一化ないし任意に制御してプロセスの面内均一性を向上させるとともに、対向電極回りでの静電容量の低減化、断熱および放電防止を同時に達成するプラズマ処理装置を提供することを目的とする。
The present invention has been made in view of the above-described problems, and makes uniform the spatial distribution of the density of plasma generated by applying a high frequency between two opposing electrodes in a capacitive coupling type. An object of the present invention is to provide a plasma processing apparatus that can be arbitrarily controlled to improve the in-plane uniformity of the process, and simultaneously reduce the capacitance around the counter electrode, achieve heat insulation, and prevent discharge .

上記の目的を達成するために、本発明のプラズマ処理装置は、真空排気可能な処理容器と、前記処理容器内に絶縁物または空間を介して電気的に浮いた状態で取り付けられる第1の電極と、前記処理容器内に前記第1の電極と所定の間隔を空けて平行に配置され、前記第1の電極と対向させて被処理基板を支持する第2の電極と、前記第1の電極と前記第2の電極と前記処理容器の側壁との間の処理空間に所望の処理ガスを供給する処理ガス供給部と、前記処理空間で前記処理ガスのプラズマを生成するために前記第2の電極に第1の高周波を印加する第1の高周波給電部とを有し、前記処理空間で生成されるプラズマについて所望のプラズマ密度分布特性が得られるように前記第1の電極と前記処理容器との間の静電容量を設定し、前記第2の電極からみて前記第1の電極の裏面と前記処理容器との間に前記処理空間から独立した真空空間が設けられ、前記真空空間は前記第1の電極の裏面と対向する天井部を有し、少なくとも前記真空空間の前記天井部を覆う第1の絶縁体を有する。
In order to achieve the above object, a plasma processing apparatus of the present invention includes a processing container that can be evacuated and a first electrode that is attached to the processing container in an electrically floating state via an insulator or a space. A second electrode disposed in parallel to the first electrode in the processing container at a predetermined interval and supporting the substrate to be processed so as to face the first electrode; and the first electrode And a processing gas supply unit for supplying a desired processing gas to a processing space between the second electrode and the sidewall of the processing container, and the second gas for generating plasma of the processing gas in the processing space. A first high-frequency power feeding unit that applies a first high frequency to the electrode, and the first electrode, the processing container, and the like so that a desired plasma density distribution characteristic can be obtained for the plasma generated in the processing space. set the capacitance between the first A vacuum space independent from the processing space is provided between the back surface of the first electrode and the processing container as viewed from the electrode, and the vacuum space has a ceiling portion facing the back surface of the first electrode. And a first insulator covering at least the ceiling portion of the vacuum space.

本発明の採る容量結合型においては、高周波電源からの高周波が第2の電極に印加されると、第2の電極と第1の電極との間の高周波放電および第2の電極と処理容器の側壁(内壁)との間の高周波放電によって処理空間内で処理ガスのプラズマが生成し、生成したプラズマは四方に、特に上方および半径方向外側に拡散し、プラズマ中の電子電流は第1の電極や処理容器側壁等を通ってグランドへ流れる。ここで、第1の電極は本発明にしたがい絶縁物または空間を介して電気的に浮いた状態で処理容器内に取り付けられるので、第2の電極からみると第1の電極と接地電位との間に静電容量のインピーダンスが付加された構成になる。この第1の電極の周囲の静電容量を適宜の値に設定することで、処理空間に生成されるプラズマの密度の空間分布特性を径方向で均一化することも任意に制御することもできる。   In the capacitive coupling type according to the present invention, when a high frequency from a high frequency power source is applied to the second electrode, the high frequency discharge between the second electrode and the first electrode and the second electrode and the processing container A plasma of the processing gas is generated in the processing space by the high frequency discharge between the side wall (inner wall), the generated plasma diffuses in all directions, particularly upward and radially outward, and the electron current in the plasma is the first electrode. And flow to the ground through the side wall of the processing vessel. Here, since the first electrode is mounted in the processing container in an electrically floating state via an insulator or space according to the present invention, when viewed from the second electrode, the first electrode is connected to the ground potential. It becomes the structure where the impedance of the electrostatic capacitance was added between. By setting the capacitance around the first electrode to an appropriate value, the spatial distribution characteristics of the density of the plasma generated in the processing space can be made uniform in the radial direction or arbitrarily controlled. .

また、本発明のプラズマ処理装置においては、第2の電極からみて第1の電極の裏面と処理容器との間に処理空間から独立した真空空間が設けられる。この真空空間は、第1の電極を処理容器ないし周囲温度から熱的に遮断するだけでなく、ガスの排除によって第1の電極と処理容器との間の放電を防止し、さらには真空の比誘電率が1であることから第1の電極と処理容器の間の容量を可及的に小さくすることができる。さらに、本発明のプラズマ処理装置においては、この真空空間が第1の電極の裏面と対向する天井部を有し、少なくとも真空空間の天井部を覆う第1の絶縁体を有する。かかる構成により、放電防止機能を一層高めることができる。In the plasma processing apparatus of the present invention, a vacuum space independent of the processing space is provided between the back surface of the first electrode and the processing container as viewed from the second electrode. This vacuum space not only thermally shuts off the first electrode from the processing vessel or ambient temperature, but also prevents discharge between the first electrode and the processing vessel by eliminating gas, and further reduces the vacuum ratio. Since the dielectric constant is 1, the capacity between the first electrode and the processing container can be made as small as possible. Furthermore, in the plasma processing apparatus of the present invention, the vacuum space has a ceiling portion facing the back surface of the first electrode, and has a first insulator covering at least the ceiling portion of the vacuum space. With this configuration, the discharge prevention function can be further enhanced.

本発明の好適な一態様によれば、第1の電極が、電極板と、この電極板を支持する電極支持体とで構成される。そして、電極支持体の内部に処理ガス供給部からの処理ガスを導入するガスバッファ室が設けられるとともに、電極板にはガスバッファ室から処理空間に処理ガスを噴出するための多数のガス噴出孔が形成される。このように、処理容器内で電気的に浮いている第1の電極に何等支障なくシャワーヘッド機能を持たせることができる。 According to a preferred aspect of the present invention , the first electrode includes an electrode plate and an electrode support that supports the electrode plate. A gas buffer chamber for introducing a processing gas from the processing gas supply unit is provided inside the electrode support, and a number of gas ejection holes for ejecting the processing gas from the gas buffer chamber to the processing space are provided on the electrode plate. Is formed. As described above, the shower electrode function can be provided to the first electrode that is electrically floating in the processing container without any trouble.

また、本発明の好適な一態様として、第1の電極の外周面と処理容器の側壁との間にその隙間を塞ぐようにリング状の絶縁体が設けられる。このリング状の絶縁体は、第1の電極を物理的に保持するとともに、第1の電極と処理容器との間の静電容量の一部を形成する。   As a preferred embodiment of the present invention, a ring-shaped insulator is provided between the outer peripheral surface of the first electrode and the side wall of the processing container so as to close the gap. This ring-shaped insulator physically holds the first electrode and forms part of the capacitance between the first electrode and the processing container.

好適な一態様においては、第1の電極(上部電極)の外周面と処理容器の側壁との間に空間が形成される。また、第1の電極が、半径方向において円盤状の内側電極とリング状の外側電極とに2分割される。また、内側電極と外側電極との間にリング状の第4の絶縁体が挿入され、または空間が形成される。あるいは、外側電極と前記処理容器の側壁との間にリング状の第5の絶縁体が挿入され、または空間が形成される。外側電極と処理容器との間の静電容量を内側電極と処理容器との間の静電容量より大きくするのが好ましい。   In a preferred embodiment, a space is formed between the outer peripheral surface of the first electrode (upper electrode) and the side wall of the processing container. Further, the first electrode is divided into two in the radial direction, a disc-shaped inner electrode and a ring-shaped outer electrode. Further, a ring-shaped fourth insulator is inserted between the inner electrode and the outer electrode, or a space is formed. Alternatively, a ring-shaped fifth insulator is inserted between the outer electrode and the side wall of the processing container, or a space is formed. The capacitance between the outer electrode and the processing container is preferably larger than the capacitance between the inner electrode and the processing container.

また、別の好適な一態様として、第1の電極が半径方向において円盤状の内側電極とリング状の外側電極に2分割され、内側電極と外側電極との間にリング状の第4の絶縁体が挿入され、外側電極と処理容器の側壁との間にリング状の第5の絶縁体が挿入される。かかる構成によれば、内側電極の接地容量を著しく低下させることが可能であり、電極中心部のプラズマ密度を相対的に減少させて電極エッジ部のプラズマ密度を相対的に増大させる効果を強めることができる。   As another preferred embodiment, the first electrode is divided into two in the radial direction, a disc-shaped inner electrode and a ring-shaped outer electrode, and a ring-shaped fourth insulation is provided between the inner electrode and the outer electrode. The body is inserted, and a ring-shaped fifth insulator is inserted between the outer electrode and the side wall of the processing container. According to such a configuration, the ground capacity of the inner electrode can be significantly reduced, and the effect of relatively decreasing the plasma density at the center of the electrode and relatively increasing the plasma density at the electrode edge is enhanced. Can do.

本発明のプラズマ処理装置によれば、上記のような構成および作用により、容量結合型の高周波放電で生成するプラズマの密度の空間的な分布を均一化ないし任意に制御してプロセスの面内均一性を向上させることができるとともに、対向電極回りでの静電容量の低減化、断熱および放電防止を同時に達成することができる。 According to the plasma processing apparatus of the present invention, the spatial distribution of the density of the plasma generated by the capacitively coupled high frequency discharge is made uniform or arbitrarily controlled by the above-described configuration and operation, and the in-plane uniformity of the process. both to be able to improve the sexual can be achieved reduction in capacitance at the counter electrode around, insulation and discharge prevention simultaneously.

以下、添付図を参照して本発明の好適な実施の形態を説明する。   Hereinafter, preferred embodiments of the present invention will be described with reference to the accompanying drawings.

図1に、本発明の一実施形態におけるプラズマ処理装置の構成を示す。このプラズマ処理装置は、カソードカップルの容量結合型(平行平板型)プラズマエッチング装置として構成されており、たとえば表面がアルマイト処理(陽極酸化処理)されたアルミニウムからなる円筒形の真空チャンバ(処理容器)10を有している。チャンバ10は保安接地されている。   FIG. 1 shows the configuration of a plasma processing apparatus according to an embodiment of the present invention. This plasma processing apparatus is configured as a cathode-coupled capacitively coupled (parallel plate type) plasma etching apparatus. For example, a cylindrical vacuum chamber (processing vessel) made of aluminum whose surface is anodized (anodized). 10. The chamber 10 is grounded for safety.

チャンバ10の底部には、セラミックなどの絶縁板12を介して円柱状のサセプタ支持台14が配置され、このサセプタ支持台14の上にたとえばアルミニウムからなるサセプタ16が設けられている。サセプタ16は下部電極を構成し、この上に被処理基板としてたとえば半導体ウエハWが載置される。   A cylindrical susceptor support 14 is disposed at the bottom of the chamber 10 via an insulating plate 12 such as ceramic, and a susceptor 16 made of, for example, aluminum is provided on the susceptor support 14. The susceptor 16 constitutes a lower electrode, on which, for example, a semiconductor wafer W is placed as a substrate to be processed.

サセプタ16の上面には半導体ウエハWを静電吸着力で保持するための静電チャック18が設けられている。この静電チャック18は導電膜からなる電極20を一対の絶縁層または絶縁シートの間に挟み込んだものであり、電極20には直流電源22が電気的に接続されている。直流電源22からの直流電圧により、半導体ウエハWをクーロン力で静電チャック18に吸着保持できるようになっている。静電チャック18の周囲でサセプタ16の上面には、エッチングの均一性を向上させるためのたとえばシリコンからなるフォーカスリング24が配置されている。サセプタ16およびサセプタ支持台14の側面にはたとえば石英からなる円筒状の内壁部材25が貼り付けられている。   An electrostatic chuck 18 is provided on the upper surface of the susceptor 16 to hold the semiconductor wafer W with an electrostatic attraction force. The electrostatic chuck 18 is obtained by sandwiching an electrode 20 made of a conductive film between a pair of insulating layers or insulating sheets, and a DC power source 22 is electrically connected to the electrode 20. The semiconductor wafer W can be attracted and held on the electrostatic chuck 18 by a Coulomb force by a DC voltage from the DC power source 22. A focus ring 24 made of, for example, silicon is disposed on the upper surface of the susceptor 16 around the electrostatic chuck 18 to improve etching uniformity. A cylindrical inner wall member 25 made of, for example, quartz is attached to the side surfaces of the susceptor 16 and the susceptor support base 14.

サセプタ支持台14の内部には、たとえば円周方向に延びる冷媒室26が設けられている。この冷媒室26には、外付けのチラーユニット(図示せず)より配管27a,27bを介して所定温度の冷媒たとえば冷却水が循環供給される。冷媒の温度によってサセプタ16上の半導体ウエハWの処理温度を制御できるようになっている。さらに、伝熱ガス供給機構(図示せず)からの伝熱ガスたとえばHeガスが、ガス供給ライン28を介して静電チャック18の上面と半導体ウエハWの裏面との間に供給される。   Inside the susceptor support 14, for example, a refrigerant chamber 26 extending in the circumferential direction is provided. A refrigerant of a predetermined temperature, for example, cooling water, is circulated and supplied to the refrigerant chamber 26 via pipes 27a and 27b from an external chiller unit (not shown). The processing temperature of the semiconductor wafer W on the susceptor 16 can be controlled by the temperature of the refrigerant. Further, a heat transfer gas such as He gas from a heat transfer gas supply mechanism (not shown) is supplied between the upper surface of the electrostatic chuck 18 and the back surface of the semiconductor wafer W via the gas supply line 28.

サセプタ16には、プラズマ生成用の高周波電源30が整合器32および給電棒33を介して電気的に接続されている。この高周波電源30は、チャンバ10内でプラズマ処理を行う際に所定の高周波数たとえば40MHzの高周波をサセプタ16に印加する。   A high frequency power source 30 for generating plasma is electrically connected to the susceptor 16 via a matching unit 32 and a power feed rod 33. The high frequency power supply 30 applies a predetermined high frequency, for example, a high frequency of 40 MHz to the susceptor 16 when plasma processing is performed in the chamber 10.

サセプタ16の上方には、このサセプタと平行に対向して上部電極34が設けられている。この上部電極34は、多数のガス噴出孔36aを有するたとえばSi、SiCなどの半導体材料からなる電極板36と、この電極板36を着脱可能に支持する導電材料たとえば表面がアルマイト処理されたアルミニウムからなる電極支持体38とで構成されており、チャンバ10にリング状の絶縁体35を介して電気的に浮いた状態で取り付けられている。この上部電極34とサセプタ16とチャンバ10の側壁とでプラズマ生成空間または処理空間PSが形成されている。リング状絶縁体35は、たとえばアルミナ(Al23)からなり、上部電極34の外周面とチャンバ10の側壁との間の隙間を気密に塞ぐように取り付けられ、上部電極34を物理的に支持するとともに、上部電極34とチャンバ10との間の静電容量の一部を構成している。 An upper electrode 34 is provided above the susceptor 16 so as to face the susceptor in parallel. The upper electrode 34 includes an electrode plate 36 made of a semiconductor material such as Si or SiC having a number of gas ejection holes 36a, and a conductive material that detachably supports the electrode plate 36 such as aluminum whose surface is anodized. And is attached to the chamber 10 via a ring-shaped insulator 35 in an electrically floating state. The upper electrode 34, the susceptor 16 and the side wall of the chamber 10 form a plasma generation space or a processing space PS. The ring-shaped insulator 35 is made of alumina (Al 2 O 3 ), for example, and is attached so as to hermetically close a gap between the outer peripheral surface of the upper electrode 34 and the side wall of the chamber 10. A part of the electrostatic capacity between the upper electrode 34 and the chamber 10 is supported and supported.

電極支持体38は、その内部にガスバッファ室40を有するとともに、その下面にガスバッファ室40から電極板36のガス噴出孔36aに連通する多数のガス通気孔38aを有している。ガスバッファ室40にはガス供給管42を介して処理ガス供給源44が接続されており、ガス供給管42にマスフローコントローラ(MFC)46および開閉バルブ48が設けられている。処理ガス供給源44より所定の処理ガスがガスバッファ室42に導入されると、電極板36のガス噴出孔36aよりサセプタ16上の半導体ウエハWに向けて処理空間PSに処理ガスがシャワー状に噴出されるようになっている。このように、上部電極34は処理空間PSに処理ガスを供給するためのシャワーヘッドを兼ねている。   The electrode support 38 has a gas buffer chamber 40 inside thereof, and a plurality of gas vent holes 38 a communicating from the gas buffer chamber 40 to the gas ejection holes 36 a of the electrode plate 36 on the lower surface thereof. A processing gas supply source 44 is connected to the gas buffer chamber 40 via a gas supply pipe 42, and a mass flow controller (MFC) 46 and an opening / closing valve 48 are provided in the gas supply pipe 42. When a predetermined processing gas is introduced from the processing gas supply source 44 into the gas buffer chamber 42, the processing gas is showered into the processing space PS from the gas ejection hole 36 a of the electrode plate 36 toward the semiconductor wafer W on the susceptor 16. It comes to be ejected. Thus, the upper electrode 34 also serves as a shower head for supplying the processing gas to the processing space PS.

また、電極支持体38の内部には冷媒たとえば冷却水を流す通路(図示せず)も設けられており、外部のチラーユニットにより冷媒を介して上部電極34の全体、特に電極板36を所定温度に温調するようになっている。さらに、上部電極34に対する温度制御をより安定化させるために、電極支持体38の内部または上面にたとえば抵抗発熱素子からなるヒータ(図示せず)を取り付ける構成も可能である。   Further, a passage (not shown) through which a coolant such as cooling water flows is provided inside the electrode support 38, and the entire upper electrode 34, in particular, the electrode plate 36 is kept at a predetermined temperature via the coolant by an external chiller unit. It is supposed to adjust the temperature. Further, in order to further stabilize the temperature control for the upper electrode 34, a configuration in which a heater (not shown) made of, for example, a resistance heating element is attached to the inside or the upper surface of the electrode support 38 is also possible.

上部電極34の上面とチャンバ10の天井との間には所定ギャップサイズの隙間が設けられ、その隙間の一部または全部に真空空間50が形成されている。この真空空間50は、上部電極34をチャンバ10ないし周囲温度から熱的に遮断するだけでなく、ガスの排除によって上部電極34とチャンバ10との間の放電を防止し、さらには真空の比誘電率が1であることから上部電極34とチャンバ10との間の容量を可及的に小さくする機能も併せて有している。なお、真空空間50は、処理空間PSとは別個に真空排気され、気密構造によって真空状態を保持する。この実施形態では、放電防止機能をさらに高めるために、真空空間50の内壁の全部または一部(図示の例は上面のみ)をシート状の絶縁体52で覆っている。この絶縁体52には耐熱性に優れたポリイミド系の樹脂を好適に使用することができるが、テフロン(登録商標)や石英等でもよい。   A gap having a predetermined gap size is provided between the upper surface of the upper electrode 34 and the ceiling of the chamber 10, and a vacuum space 50 is formed in part or all of the gap. The vacuum space 50 not only thermally shields the upper electrode 34 from the chamber 10 or the ambient temperature, but also prevents discharge between the upper electrode 34 and the chamber 10 by eliminating gas, and furthermore, the vacuum dielectric constant. Since the ratio is 1, it also has a function of reducing the capacity between the upper electrode 34 and the chamber 10 as much as possible. The vacuum space 50 is evacuated separately from the processing space PS, and maintains a vacuum state by an airtight structure. In this embodiment, in order to further enhance the discharge prevention function, the whole or part of the inner wall of the vacuum space 50 (in the illustrated example, only the upper surface) is covered with a sheet-like insulator 52. A polyimide resin having excellent heat resistance can be suitably used for the insulator 52, but Teflon (registered trademark), quartz, or the like may be used.

サセプタ16およびサセプタ支持台14とチャンバ10の側壁との間に形成される環状の空間は排気空間となっており、この排気空間の底にはチャンバ10の排気口54が設けられている。この排気口54に排気管56を介して排気装置58が接続されている。排気装置58は、ターボ分子ポンプなどの真空ポンプを有しており、チャンバ10の室内、特に処理空間PSを所望の真空度まで減圧できるようになっている。また、チャンバ10の側壁には半導体ウエハWの搬入出口60を開閉するゲートバルブ62が取り付けられている。   An annular space formed between the susceptor 16 and the susceptor support 14 and the side wall of the chamber 10 is an exhaust space, and an exhaust port 54 of the chamber 10 is provided at the bottom of the exhaust space. An exhaust device 58 is connected to the exhaust port 54 via an exhaust pipe 56. The exhaust device 58 includes a vacuum pump such as a turbo molecular pump, and can reduce the pressure in the chamber 10, particularly the processing space PS, to a desired degree of vacuum. A gate valve 62 for opening and closing the loading / unloading port 60 for the semiconductor wafer W is attached to the side wall of the chamber 10.

このプラズマエッチング装置において、エッチングを行なうには、先ずゲートバルブ62を開状態にして加工対象の半導体ウエハWをチャンバ10内に搬入して、静電チャック18の上に載置する。そして、処理ガス供給源44より処理ガスつまりエッチングガス(一般に混合ガス)を所定の流量および流量比でチャンバ10内に導入し、排気装置58による真空排気でチャンバ10内の圧力を設定値にする。さらに、高周波電源30より所定のパワーで高周波(40MHz)をサセプタ16に印加する。また、直流電源22より直流電圧を静電チャック18の電極20に印加して、半導体ウエハWを静電チャック18上に固定する。上部電極34のシャワーヘッドより吐出されたエッチングガスは処理空間PSで高周波の放電によってプラズマ化し、このプラズマで生成されるラジカルやイオンによって半導体ウエハWの主面の膜がエッチングされる。   In order to perform etching in this plasma etching apparatus, first, the gate valve 62 is opened, and the semiconductor wafer W to be processed is loaded into the chamber 10 and placed on the electrostatic chuck 18. Then, a processing gas, that is, an etching gas (generally a mixed gas) is introduced into the chamber 10 from the processing gas supply source 44 at a predetermined flow rate and flow rate ratio, and the pressure in the chamber 10 is set to a set value by vacuum evacuation by the exhaust device 58. . Further, a high frequency (40 MHz) is applied from the high frequency power supply 30 to the susceptor 16 with a predetermined power. Further, a DC voltage is applied from the DC power source 22 to the electrode 20 of the electrostatic chuck 18 to fix the semiconductor wafer W on the electrostatic chuck 18. The etching gas discharged from the shower head of the upper electrode 34 is turned into plasma by high frequency discharge in the processing space PS, and the film on the main surface of the semiconductor wafer W is etched by radicals and ions generated by the plasma.

この容量結合型プラズマエッチング装置は、サセプタ(下部電極)16に40MHzあるいはそれ以上の高周波を印加することにより、プラズマを好ましい解離状態で高密度化し、より低圧の条件下でも高密度プラズマを形成することができる。しかも、カソードカップル方式であり、サセプタ16に生じる自己バイアス電圧を利用してプラズマ中のイオンをウエハWにほぼ垂直に引き込んで、異方性のエッチングを行える。   In this capacitively coupled plasma etching apparatus, by applying a high frequency of 40 MHz or higher to the susceptor (lower electrode) 16, the plasma is densified in a preferable dissociation state, and high-density plasma is formed even under lower pressure conditions. be able to. In addition, it is a cathode-coupled method, and anisotropic etching can be performed by drawing ions in the plasma almost perpendicularly to the wafer W by using a self-bias voltage generated in the susceptor 16.

また、プラズマ生成に適した比較的高い周波数(たとえば40MHz)の第1高周波とイオン引き込みに適した比較的低い周波数(たとえば2MHz)の第2高周波とを下部電極に重畳して印加する下部2周波重畳印加方式も可能である。この場合の装置構成としては、たとえば図2に示すように、サセプタ16に第2高周波を給電するための高周波電源64、整合器66および給電棒68を増設すればよい。このような下部2周波重畳印加方式においては、処理空間PSで生成するプラズマの密度を第1高周波(40MHz)により最適化し、サセプタ16に生じる自己バイアス電圧またはイオンシースを第2高周波(2MHz)により最適化することができ、より選択性の高い異方性エッチングが可能となる。   Further, the lower two frequencies are applied by superposing the first high frequency with a relatively high frequency (for example, 40 MHz) suitable for plasma generation and the second high frequency with a relatively low frequency (for example, 2 MHz) suitable for ion attraction on the lower electrode. A superimposed application method is also possible. As an apparatus configuration in this case, for example, as shown in FIG. 2, a high frequency power source 64 for supplying the second high frequency to the susceptor 16, a matching unit 66, and a power supply rod 68 may be added. In such a lower two-frequency superimposed application method, the density of plasma generated in the processing space PS is optimized by the first high frequency (40 MHz), and the self-bias voltage or ion sheath generated in the susceptor 16 is optimized by the second high frequency (2 MHz). It can be optimized and anisotropic etching with higher selectivity is possible.

次に、このプラズマエッチング装置における本発明の特徴を詳細に説明する。上述したように、このプラズマエッチング装置は、カソードカップル方式において上部電極34をリング状絶縁体35および上部真空空間50等を介してチャンバ10に電気的に浮いた状態(フローティング状態)で取り付けている。   Next, features of the present invention in this plasma etching apparatus will be described in detail. As described above, in this plasma etching apparatus, the upper electrode 34 is attached to the chamber 10 in an electrically floating state (floating state) via the ring-shaped insulator 35 and the upper vacuum space 50 in the cathode coupled system. .

先ず、比較例として、上部電極34をチャンバ10に直付けで取り付けて接地電位にした場合の作用を説明する。この場合は、図3に示すように、高周波電源30からの高周波がサセプタ16に印加されると、サセプタ16と上部電極34との間の高周波放電およびサセプタ16とチャンバ10の側壁との間の高周波放電によって処理空間PS内で処理ガスのプラズマが生成する。生成したプラズマは四方に、特に上方および半径方向外側に拡散し、プラズマ中の電子電流は上部電極34やチャンバ10の側壁等を通ってグランドへ流れる。ここで、サセプタ16においては高周波の周波数が高くなるほど表皮効果によってサセプタ中心部に高周波電流が集まりやすい。しかも、サセプタ16からみて同電位(グランド電位)にある上部電極34とチャンバ10側壁とでは前者の方が後者よりも距離的に近いため、電極中心部でより多くの高周波電力が処理空間PSに向けて放出される。このため、プラズマ中の電子電流の中でチャンバ10の側壁へ流れる割合はかなり低く、大部分は上部電極34に、しかもその中心部に流れる。その結果、プラズマ密度の空間分布特性は、電極中心部が最も高いだけでなく、電極エッジ部との差が顕著に現れる。   First, as a comparative example, the operation when the upper electrode 34 is directly attached to the chamber 10 and set to the ground potential will be described. In this case, as shown in FIG. 3, when a high frequency from the high frequency power supply 30 is applied to the susceptor 16, high frequency discharge between the susceptor 16 and the upper electrode 34 and between the susceptor 16 and the side wall of the chamber 10 are performed. Plasma of the processing gas is generated in the processing space PS by the high frequency discharge. The generated plasma diffuses in all directions, particularly upward and radially outward, and the electron current in the plasma flows to the ground through the upper electrode 34, the side wall of the chamber 10, and the like. Here, in the susceptor 16, the higher the frequency of the high frequency, the more likely the high frequency current is collected at the center of the susceptor due to the skin effect. Moreover, since the former is closer to the distance than the latter in the upper electrode 34 and the side wall of the chamber 10 at the same potential (ground potential) as viewed from the susceptor 16, more high-frequency power is generated in the processing space PS at the center of the electrode. Is released towards. For this reason, the ratio of the electron current in the plasma flowing to the side wall of the chamber 10 is quite low, and most of the current flows to the upper electrode 34 and to the center thereof. As a result, the spatial distribution characteristics of the plasma density are not only the highest at the center of the electrode, but also the difference from the electrode edge is noticeable.

これに対して、この実施形態のように上部電極34をフローティング状態でチャンバ10に取り付けると、図4に示すように処理空間PS内のプラズマ分布は半径方向外側に延びる。図4において、上部電極34は電気的にはキャパシタ70,72を介してチャンバ10に接続される。ここで、キャパシタ70は上部電極34とチャンバ10の側壁との間の静電容量であり、主としてリング状絶縁体35によって与えられる。一方、キャパシタ72は上部電極34とチャンバ10の天井との間の静電容量であり、主として真空空間50および絶縁体52によって与えられる。   On the other hand, when the upper electrode 34 is attached to the chamber 10 in a floating state as in this embodiment, the plasma distribution in the processing space PS extends radially outward as shown in FIG. In FIG. 4, the upper electrode 34 is electrically connected to the chamber 10 via capacitors 70 and 72. Here, the capacitor 70 is a capacitance between the upper electrode 34 and the side wall of the chamber 10, and is mainly provided by the ring-shaped insulator 35. On the other hand, the capacitor 72 is a capacitance between the upper electrode 34 and the ceiling of the chamber 10, and is mainly provided by the vacuum space 50 and the insulator 52.

この場合も、高周波電源30より高周波がサセプタ16に印加されると、サセプタ16と上部電極34との間の高周波放電およびサセプタ16とチャンバ10の側壁との間の高周波放電によって処理空間PS内でエッチングガスのプラズマが生成する。生成したプラズマは上方および半径方向外側に拡散し、プラズマ中の電子電流は上部電極34やチャンバ10の側壁等を通ってグランドへ流れる。そして、サセプタ16においてはサセプタ中心部に高周波電流が集まりやすく、サセプタ16からみてチャンバ10の側壁よりも上部電極34の方が近くに位置しているのも図3の場合と同じである。しかし、上部電極34と接地電位との間にキャパシタ70,72のインピーダンスが付加されることにより、サセプタ12の中心部に高周波電流が集まってもそこから真上の上部電極34へは流れにくくなる。このため、プラズマPS中の電子電流の中でチャンバ10の側壁へ流れる割合は決して低くはない。理論的には、キャパシタ70,72の容量の値(キャパシタンス)次第で如何様にもサセプタ16と上部電極34との間およびサセプタ16とチャンバ10の側壁との間でそれぞれ流れる電子電流の比を制御することができる。したがって、プラズマ密度の空間分布特性を径方向で均一化することも任意に制御することもできる。   Also in this case, when a high frequency is applied from the high frequency power supply 30 to the susceptor 16, the high frequency discharge between the susceptor 16 and the upper electrode 34 and the high frequency discharge between the susceptor 16 and the side wall of the chamber 10 cause the inside of the processing space PS. Etching gas plasma is generated. The generated plasma diffuses upward and radially outward, and the electron current in the plasma flows to the ground through the upper electrode 34, the sidewall of the chamber 10, and the like. In the susceptor 16, high-frequency current easily collects in the center of the susceptor, and the upper electrode 34 is located closer to the side wall of the chamber 10 as viewed from the susceptor 16 as in the case of FIG. 3. However, since the impedances of the capacitors 70 and 72 are added between the upper electrode 34 and the ground potential, even if a high-frequency current is collected at the center of the susceptor 12, it is difficult to flow from there to the upper electrode 34. . For this reason, the rate which flows to the side wall of the chamber 10 in the electron current in the plasma PS is never low. Theoretically, the ratio of the electron currents flowing between the susceptor 16 and the upper electrode 34 and between the susceptor 16 and the side wall of the chamber 10 depends on the capacitance values of the capacitors 70 and 72. Can be controlled. Accordingly, the spatial distribution characteristics of the plasma density can be made uniform in the radial direction or arbitrarily controlled.

図5〜図7に、この実施形態のプラズマエッチング装置(図2)によるSiO2ビアホールのエッチングについて、上部電極34の接地容量つまり上部電極34の周囲のキャパシタ70,72の合成容量を20000pF(高容量)および250pF(低容量)の二通りに選んだときの電子密度Neの空間分布特性、ならびに酸化膜(SiO2)エッチングレートおよびフォトレジスト(PR)エッチングレートの面内分布特性を比較して示す。主なエッチング条件は下記のとおりである。
ウエハ口径:300mm
処理ガス:C46/C48/Ar/02=流量40/20/500/60sccm
チャンバ内の圧力:30mTorr
高周波電力:40MHz/2MHz=2500/3200W
5 to 7, the etching of the SiO 2 via hole by the plasma etching apparatus of this embodiment (FIG. 2) shows the ground capacitance of the upper electrode 34, that is, the combined capacitance of the capacitors 70 and 72 around the upper electrode 34 is 20000 pF (high (Capacitance) and 250 pF (low capacity), the electron density Ne spatial distribution characteristics, and the oxide film (SiO 2 ) etching rate and the photoresist (PR) etching rate in-plane distribution characteristics were compared. Show. The main etching conditions are as follows.
Wafer diameter: 300 mm
Process gas: C 4 F 6 / C 4 F 8 / Ar / 0 2 = flow rate 40/20/500 / 60sccm
Pressure in the chamber: 30mTorr
High frequency power: 40MHz / 2MHz = 2500 / 3200W

図5〜図7から明らかなように、上部電極34の接地容量が高容量(20000pF)の場合、電子密度Neはウエハ中心部では高くて比較的均一な値を保つが、ウエハエッジ付近で(R=±120mm辺りから)急峻に低下する。酸化膜のエッチングレートおよびフォトレジストのエッチングレートはいずれも電子密度分布に依存するので、それらの面内均一性もそれぞれ±4.1%,±19.1%とあまりよくない。これに対して、上部電極34の接地容量が低容量(250pF)の場合は、電子密度Neがウエハ中心部で減少する一方で、ウエハ領域(−150mm〜150mm)の外側つまり排気領域で増大しており、ウエハ中心部とウエハエッジ部との差が縮まっている。これに関連して、酸化膜のエッチングレートおよびフォトレジストのエッチングレートもウエハ中心部とウエハエッジ部との間で差が縮まっている。特に、酸化膜のエッチングレートは、中心部だけが低下し、エッジ部は殆ど低下しておらず、面内均一性は±2.4%に向上している。また、フォトレジストのエッチングレートは、全体的に増大するものの、面内均一性は±4.4%まで大きく改善している。   As apparent from FIGS. 5 to 7, when the ground capacitance of the upper electrode 34 is high (20000 pF), the electron density Ne is high at the wafer center and maintains a relatively uniform value, but near the wafer edge (R = Abruptly decreases (from around ± 120 mm). Since both the etching rate of the oxide film and the etching rate of the photoresist depend on the electron density distribution, their in-plane uniformity is not so good as ± 4.1% and ± 19.1%, respectively. On the other hand, when the ground capacity of the upper electrode 34 is low (250 pF), the electron density Ne decreases at the center of the wafer, but increases outside the wafer area (−150 mm to 150 mm), that is, in the exhaust area. The difference between the wafer center and the wafer edge is reduced. In relation to this, the difference between the etching rate of the oxide film and the etching rate of the photoresist is reduced between the wafer center portion and the wafer edge portion. In particular, the etching rate of the oxide film is reduced only at the center portion, hardly at the edge portion, and the in-plane uniformity is improved to ± 2.4%. In addition, although the etching rate of the photoresist increases as a whole, the in-plane uniformity is greatly improved to ± 4.4%.

このように、上部電極34の周囲の静電容量または接地容量を高容量(20000pF)から低容量(250pF)にシフトさせることで、サセプタ12と上部電極34との間で流れる電子電流を相対的に減少させることができる。それとともに、サセプタ12とチャンバ10の側壁との間で流れる電子電流を相対的に増加させ、これにより電極中心部のプラズマ密度を相対的に減少させて電極エッジ部のプラズマ密度を相対的に増大させることができる。結果として、酸化膜やフォトレジストのエッチングレートの面内均一性を向上させることができる。特に、フォトレジストのエッチングレートに係る面内均一性の劇的な向上(19.1%→4.4%)は従来技術ではなしえなかったものである。   In this way, by shifting the electrostatic capacitance or the ground capacitance around the upper electrode 34 from a high capacitance (20000 pF) to a low capacitance (250 pF), the electron current flowing between the susceptor 12 and the upper electrode 34 is relatively changed. Can be reduced. At the same time, the electron current flowing between the susceptor 12 and the side wall of the chamber 10 is relatively increased, thereby reducing the plasma density at the center of the electrode and relatively increasing the plasma density at the electrode edge. Can be made. As a result, the in-plane uniformity of the etching rate of the oxide film or the photoresist can be improved. In particular, the dramatic improvement in in-plane uniformity (19.1% → 4.4%) related to the etching rate of the photoresist cannot be achieved by the prior art.

本発明者が上記のような実験を重ねたところ、上部電極34の接地容量を5000pF以下とすれば上記のようなエッチングレートの面内均一性の効果を得ることができる。さらに、上部電極34の接地容量を2000pF以下に低くすれば、上記のエッチングレートの面内均一性における実用上の効果を確実に得られることが確認されている。   As a result of repeated experiments by the inventor as described above, the effect of the in-plane uniformity of the etching rate as described above can be obtained if the ground capacitance of the upper electrode 34 is set to 5000 pF or less. Furthermore, it has been confirmed that if the ground capacitance of the upper electrode 34 is lowered to 2000 pF or less, a practical effect in the in-plane uniformity of the etching rate can be surely obtained.

図8および図10に、上部電極34の接地容量を低くするのに好適な装置構成例を示す。図8に示す構成例は、上部電極34の背面つまり上面と対向するチャンバ10の部位つまり天井部分を絶縁体73で構成するものである。かかる構成によれば、上部電極34の背面とチャンバ10の天井部分との間の容量結合を可及的に減少ないし除去することが可能であり、電気的には図9に示すように上部電極34の背後のキャパシタ72(図4)を実質的に取り除いた接地容量とすることができる。これにより、電極中心部のプラズマ密度を相対的に減少させて電極エッジ部のプラズマ密度を相対的に増大させる効果を一層強めることができる。なお、図示の例はチャンバ10の天井部分を全て絶縁体73で構成して背部または上部キャパシタ72の容量を最小化しているが、天井部分の外周部を導電体で構成して中心部の絶縁体73との面積比を適宜調節し、背部キャパシタ72の容量を所望の値に調節することもできる。   FIG. 8 and FIG. 10 show device configuration examples suitable for reducing the ground capacity of the upper electrode 34. In the configuration example shown in FIG. 8, the portion of the chamber 10, that is, the ceiling portion, facing the back surface, that is, the upper surface of the upper electrode 34 is configured by the insulator 73. According to this configuration, it is possible to reduce or eliminate capacitive coupling between the back surface of the upper electrode 34 and the ceiling portion of the chamber 10 as much as possible. Electrically, as shown in FIG. The capacitor 72 (FIG. 4) behind the capacitor 34 can be a grounded capacitance substantially removed. As a result, the effect of relatively reducing the plasma density at the center of the electrode and relatively increasing the plasma density at the electrode edge can be further enhanced. In the illustrated example, the ceiling portion of the chamber 10 is entirely made of an insulator 73 to minimize the capacity of the back portion or the upper capacitor 72, but the outer peripheral portion of the ceiling portion is made of a conductor to insulate the central portion. It is also possible to adjust the area ratio with the body 73 as appropriate and adjust the capacitance of the back capacitor 72 to a desired value.

図10に示す構成例は、上部電極34を半径方向において円盤状の内側上部電極34Aとリング状の外側上部電極34Bとに2分割し、両電極34A,34Bとの間にリング状の内側絶縁体74を気密に挿入し、外側上部電極34Bとチャンバ10の側壁との間にリング状の外側絶縁体76を気密に挿入したものである。両リング状絶縁体74,76の材質は比誘電率の小さい石英が好ましいが、セラミックやアルミナ(Al23)などでもよい。さらには、両リング状絶縁体74,76の代わりに空間が形成されてもよい。両上部電極34A,34Bとチャンバ10の天井との間には大気に連通する空間78を設けている。 In the configuration example shown in FIG. 10, the upper electrode 34 is divided into a disc-shaped inner upper electrode 34A and a ring-shaped outer upper electrode 34B in the radial direction, and a ring-shaped inner insulation is provided between the electrodes 34A and 34B. The body 74 is inserted in an airtight manner, and a ring-shaped outer insulator 76 is inserted in an airtight manner between the outer upper electrode 34 </ b> B and the side wall of the chamber 10. The material of the ring-shaped insulators 74 and 76 is preferably quartz having a small relative dielectric constant, but may be ceramic or alumina (Al 2 O 3 ). Furthermore, a space may be formed instead of the ring-shaped insulators 74 and 76. A space 78 communicating with the atmosphere is provided between the upper electrodes 34A and 34B and the ceiling of the chamber 10.

この装置構成においては、両上部電極34A,34Bはいずれも電気的に浮いている。図11に示すように、内側上部電極34Aとチャンバ10との間にはキャパシタ80が存在し、外側上部電極34Bとチャンバ10との間にはキャパシタ82が存在し、内側上部電極34Aと外側上部電極34Bとの間にはキャパシタ84が存在する。ここで、キャパシタ80は主として空間78によって与えられ、キャパシタ82は主として外側リング状絶縁体76によって与えられ、キャパシタ84は主として内側リング状絶縁体74によって与えられる。   In this device configuration, both upper electrodes 34A and 34B are electrically floating. As shown in FIG. 11, a capacitor 80 exists between the inner upper electrode 34A and the chamber 10, and a capacitor 82 exists between the outer upper electrode 34B and the chamber 10, and the inner upper electrode 34A and the outer upper electrode 34 A capacitor 84 exists between the electrode 34B. Here, capacitor 80 is primarily provided by space 78, capacitor 82 is primarily provided by outer ring insulator 76, and capacitor 84 is primarily provided by inner ring insulator 74.

高周波電源30より高周波がサセプタ16に印加されると、サセプタ16と内側上部電極34Aとの間の高周波放電、サセプタ16と外側上部電極34Bとの間の高周波放電およびサセプタ16とチャンバ10の側壁との間の高周波放電によって処理空間PS内でエッチングガスのプラズマが生成する。プラズマ中の電子電流のうち一部は内側上部電極34A→キャパシタ80→チャンバ10の第1経路を通ってグランドへ流れ、他の一部は外側上部電極34B→キャパシタ82→チャンバ10の第2経路を通ってグランドへ流れる。他の一部は内側上部電極34A→キャパシタ84→外側上部電極34B→キャパシタ82→チャンバ10の第3経路を通ってグランドへ流れ、残りは直接チャンバ10の側壁に達してグランドへ流れる。この中で、第3経路はインピーダンスが最も高いため、流れる電流は僅かであり、内側上部電極34Aからグランドへ流れる電流の総量は減少する。そのぶん、第2経路やチャンバ10の側壁に流れる電流の割合が増加する。これにより、電極中心部のプラズマ密度を相対的に減少させて電極エッジ部のプラズマ密度を相対的に増大させる効果を一層強めることができる。なお、外側上部電極34Bにもガス室やガス噴出孔を設けてシャワーヘッドの機能を持たせることは可能である。   When a high frequency is applied from the high frequency power supply 30 to the susceptor 16, high frequency discharge between the susceptor 16 and the inner upper electrode 34A, high frequency discharge between the susceptor 16 and the outer upper electrode 34B, and the side walls of the susceptor 16 and the chamber 10 The plasma of the etching gas is generated in the processing space PS by the high frequency discharge during. A part of the electron current in the plasma flows to the ground through the first path of the inner upper electrode 34A → the capacitor 80 → the chamber 10, and the other part of the electron current in the plasma is the second path of the outer upper electrode 34B → the capacitor 82 → the chamber 10. Through to the ground. The other part flows to the ground through the third path of the inner upper electrode 34A → capacitor 84 → outer upper electrode 34B → capacitor 82 → chamber 10, and the rest reaches the side wall of the chamber 10 and flows to the ground. Among these, since the impedance of the third path is the highest, the flowing current is small, and the total amount of current flowing from the inner upper electrode 34A to the ground decreases. As a result, the ratio of the current flowing through the second path and the side wall of the chamber 10 increases. As a result, the effect of relatively reducing the plasma density at the center of the electrode and relatively increasing the plasma density at the electrode edge can be further enhanced. Note that the outer upper electrode 34B can also be provided with a gas chamber and a gas ejection hole to have the function of a shower head.

また、外側上部電極34Bとチャンバ10との間の静電容量を内側上部電極34Aとチャンバ10との間の静電容量よりも大きくしてもよい。これにより、第1経路や第3経路と比べて確実に第2経路やチャンバ10に流れる電流の割合を増加させることができる。   The capacitance between the outer upper electrode 34B and the chamber 10 may be larger than the capacitance between the inner upper electrode 34A and the chamber 10. Thereby, the ratio of the electric current which flows into a 2nd path | route and the chamber 10 can be increased reliably compared with a 1st path | route and a 3rd path | route.

この実施形態のプラズマエッチング装置においては、上部電極34の周囲の静電容量または接地容量を可変することも可能であり、図12〜図14に静電容量可変部の構成例を示す。   In the plasma etching apparatus of this embodiment, it is possible to vary the electrostatic capacity or the ground capacity around the upper electrode 34, and FIGS. 12 to 14 show configuration examples of the electrostatic capacity variable section.

図12および図13に示す静電容量可変部86は、上部電極34の上面に接触または近接する第1の位置と上部電極34から上方に離れた第2の位置との間で移動可能な導体板88と、この導体板88を上下に移動または変位させるための操作機構90と、操作機構90を通じて上部電極34の接地容量を所望の値に制御するための静電容量制御部85とを有する。図12の操作機構90は、導電性の材質、または高周波に対して導電性の性質を持つ材質、または高周波に対して低インピーダンスの材質からなり、直接あるいはチャンバ10を介して接地される。図13の操作機構90は絶縁性の材質でもよい。この静電容量可変方式は、導体板88の高さ位置に応じて上部電極34の接地容量を可変することが可能である。導体板88をチャンバ10の天井面に近づければ近づけるほど、上部電極34の接地容量を小さくすることができる。反対に、導体板88を上部電極38の上面に近づければ近づけるほど、上部電極34の接地容量を大きくすることができる。極端には上部電極34に導体板88を接触させて上部電極34を接地し、接地容量を無限大にすることもできる。   The capacitance variable unit 86 shown in FIGS. 12 and 13 is a conductor that can move between a first position in contact with or close to the upper surface of the upper electrode 34 and a second position away from the upper electrode 34. A plate 88, an operation mechanism 90 for moving or displacing the conductor plate 88 up and down, and a capacitance control unit 85 for controlling the ground capacitance of the upper electrode 34 to a desired value through the operation mechanism 90. . The operation mechanism 90 of FIG. 12 is made of a conductive material, a material having a conductive property with respect to a high frequency, or a material having a low impedance with respect to a high frequency, and is grounded directly or via the chamber 10. The operation mechanism 90 shown in FIG. 13 may be made of an insulating material. In this variable capacitance method, the ground capacitance of the upper electrode 34 can be varied according to the height position of the conductor plate 88. The closer the conductor plate 88 is to the ceiling surface of the chamber 10, the smaller the ground capacity of the upper electrode 34 can be made. On the contrary, the closer the conductor plate 88 is to the upper surface of the upper electrode 38, the larger the grounding capacity of the upper electrode 34 can be made. In an extreme case, the conductor plate 88 is brought into contact with the upper electrode 34 to ground the upper electrode 34, and the grounding capacity can be made infinite.

図14に示す静電容量可変部92は、上部電極34とチャンバ10の側壁との間に設けられるリング状絶縁体35の中に環状の液体収容室94を形成し、配管96を介してチャンバ10の外から適当な誘電率を有する液体(例えばガルデンのような有機溶剤)Qを出し入れできる構成としている。液体Qの種類(誘電率)または液量を変えることでリング状絶縁体35全体の静電容量ひいては上部電極34の接地容量を可変することができる。   14 includes an annular liquid storage chamber 94 formed in a ring-shaped insulator 35 provided between the upper electrode 34 and the side wall of the chamber 10, and the chamber is formed via a pipe 96. The liquid Q having an appropriate dielectric constant (for example, an organic solvent such as Galden) Q can be taken in and out from the outside. By changing the type (dielectric constant) or amount of the liquid Q, the electrostatic capacity of the entire ring-shaped insulator 35, and thus the ground capacity of the upper electrode 34, can be varied.

別の構成例として、上部電極34とチャンバ10との間に可変コンデンサ(図示せず)を接続する構成も可能である。   As another configuration example, a variable capacitor (not shown) may be connected between the upper electrode 34 and the chamber 10.

また、図15に示すように、上部電極34に直流電源98を電気的に接続して、任意の直流電圧を上部電極34に印加する構成も可能である。この場合も、上部電極34はチャンバ10の電位つまり接地電位からは電気的に浮いた状態で直流的な作用を奏することになる。上部電極34に適度な直流電圧を印加することにより、(1)上部電極34の自己バイアス電圧の絶対値を大きくして上部電極34におけるスパッタリング(デポ除去)を強める効果、(2)上部電極34におけるプラズマシースを拡大させ、形成されるプラズマが縮小化される効果、(3)上部電極34の近傍に生じた電子を被処理基板(半導体ウエハW)上に照射させる効果、(4)プラズマポテンシャルを制御できる効果、(5)電子密度(プラズマ密度)を上昇させる効果、(6)中心部のプラズマ密度を上昇させる効果の少なくとも1つを奏することができる。   Further, as shown in FIG. 15, a configuration in which a DC power supply 98 is electrically connected to the upper electrode 34 and an arbitrary DC voltage is applied to the upper electrode 34 is also possible. Also in this case, the upper electrode 34 exhibits a direct current action while being electrically floating from the potential of the chamber 10, that is, the ground potential. By applying an appropriate DC voltage to the upper electrode 34, (1) the effect of increasing the absolute value of the self-bias voltage of the upper electrode 34 and increasing the sputtering (depot removal) in the upper electrode 34, (2) the upper electrode 34 (3) Effect of irradiating the substrate (semiconductor wafer W) with electrons generated in the vicinity of the upper electrode 34, (4) Plasma potential At least one of (5) the effect of increasing the electron density (plasma density), and (6) the effect of increasing the plasma density at the center.

また、図16に示すような構成を採ることも可能である。具体的には、図2のようにサセプタ16に高周波電源30より整合器32および給電棒33を介して第1高周波を給電するとともに、高周波電源64より整合器66及び給電棒68を介して第2高周波を給電し(下部2周波重畳印加方式)、かつ図10のように上部電極34を半径方向において円盤状の内側上部電極34Aとリング状の外側上部電極34Bとに2分割する構成である。   It is also possible to adopt a configuration as shown in FIG. Specifically, as shown in FIG. 2, the first high frequency power is supplied to the susceptor 16 from the high frequency power supply 30 through the matching device 32 and the power supply rod 33, and from the high frequency power supply 64 through the matching device 66 and the power supply rod 68. Two high frequencies are fed (lower two-frequency superimposition application method), and the upper electrode 34 is divided into two in the radial direction, a disc-shaped inner upper electrode 34A and a ring-shaped outer upper electrode 34B, as shown in FIG. .

なお、上記した実施形態においては上部電極34の接地電位に対する電気的な浮かせ状態(フローティング状態)を静電容量の観点で説明したが、インピーダンスの観点で説明することも可能である。   In the above-described embodiment, the electrically floating state (floating state) with respect to the ground potential of the upper electrode 34 has been described from the viewpoint of capacitance. However, it can also be described from the viewpoint of impedance.

たとえば、上記の段落[0038]において、上部電極34の接地容量を5000pF以下とすればエッチングレートの面内均一性の効果を得ることができ、2000pF以下とすればエッチングレートの面内均一性の効果を確実に得ることができると述べた。これをインピーダンスに置き換えて観ると、処理空間PS側から見た上部電極34のインピーダンスを、それぞれ10Ω以上、5Ω以上とすればよい。   For example, in the above paragraph [0038], if the ground capacitance of the upper electrode 34 is 5000 pF or less, the effect of in-plane uniformity of the etching rate can be obtained, and if it is 2000 pF or less, the in-plane uniformity of the etching rate can be obtained. He said that the effect can be surely obtained. When this is replaced with impedance, the impedance of the upper electrode 34 viewed from the processing space PS side may be set to 10Ω or more and 5Ω or more, respectively.

また、上記した実施形態において、電極板36と電極支持体38とで構成される上部電極34の接地容量について説明してきた。しかし、電極板36と電極支持体38との間に真空空間もしくは誘電体を設け、電極板36のみを上部電極34とする構造、すなわち電極板36のみをフローティング状態とする構造としてもよい。また、電極板36または電極支持体38と直流的に結合するさらに別の導電性の部材を電極板36と電極支持体38と共に上部電極34を構成する部材としてもよい。   In the above-described embodiment, the ground capacitance of the upper electrode 34 constituted by the electrode plate 36 and the electrode support 38 has been described. However, a structure in which a vacuum space or a dielectric is provided between the electrode plate 36 and the electrode support 38 and only the electrode plate 36 is the upper electrode 34, that is, only the electrode plate 36 is in a floating state. Further, another conductive member that is DC-coupled to the electrode plate 36 or the electrode support 38 may be a member that constitutes the upper electrode 34 together with the electrode plate 36 and the electrode support 38.

上記した実施形態で用いた高周波の周波数は一例であり、プロセスに応じて任意の周波数を使用することが可能である。また、装置内の各部の構成も種々の変形が可能である。上記実施形態はプラズマエッチング装置およびプラズマエッチング方法に係るものであったが、本発明はプラズマCVD、プラズマ酸化、プラズマ窒化、スパッタリングなどの他のプラズマ処理装置および処理方法にも適用可能である。また、本発明における被処理基板は半導体ウエハに限るものではなく、フラットパネルディスプレイ用の各種基板や、フォトマスク、CD基板、プリント基板等も可能である。   The frequency of the high frequency used in the above embodiment is an example, and an arbitrary frequency can be used depending on the process. In addition, the configuration of each part in the apparatus can be variously modified. The above embodiment relates to a plasma etching apparatus and a plasma etching method, but the present invention can also be applied to other plasma processing apparatuses and processing methods such as plasma CVD, plasma oxidation, plasma nitridation, and sputtering. Further, the substrate to be processed in the present invention is not limited to a semiconductor wafer, and various substrates for flat panel displays, photomasks, CD substrates, printed substrates, and the like are also possible.

本発明の一実施形態におけるプラズマエッチング装置の構成を示す縦断面図である。It is a longitudinal cross-sectional view which shows the structure of the plasma etching apparatus in one Embodiment of this invention. 実施形態の一変形例によるプラズマエッチング装置の構成を示す縦断面図である。It is a longitudinal section showing the composition of the plasma etching device by one modification of an embodiment. 比較例のプラズマエッチング装置における容量結合型高周波放電の仕組みを模式的に示す図である。It is a figure which shows typically the mechanism of the capacitive coupling type high frequency discharge in the plasma etching apparatus of a comparative example. 実施形態のプラズマエッチング装置における容量結合型高周波放電の仕組みを模式的に示す図である。It is a figure which shows typically the mechanism of the capacitive coupling type | mold high frequency discharge in the plasma etching apparatus of embodiment. 実施形態のプラズマエッチング装置において上部電極の接地容量を高容量と低容量の2通りに選んだ場合の電子密度の空間分布特性を比較して示す図である。It is a figure which compares and shows the spatial distribution characteristic of an electron density at the time of selecting the high capacity | capacitance and the low capacity | capacitance as the ground capacity of an upper electrode in the plasma etching apparatus of embodiment. 実施形態のプラズマエッチング装置において上部電極の接地容量を高容量と低容量の2通りに選んだ場合の酸化膜のエッチングレートの面内分布特性を比較して示す図である。It is a figure which compares and shows the in-plane distribution characteristic of the etching rate of an oxide film at the time of selecting the grounding capacity | capacitance of an upper electrode in two ways, high capacity | capacitance and low capacity | capacitance, in the plasma etching apparatus of embodiment. 実施形態のプラズマエッチング装置において上部電極の接地容量を高容量と低容量の2通りに選んだ場合のフォトレジストのエッチングレートの面内分布特性を比較して示す図である。It is a figure which compares and shows the in-plane distribution characteristic of the etching rate of a photoresist at the time of selecting the grounding capacity | capacitance of an upper electrode in two ways, high capacity | capacitance and low capacity | capacitance, in the plasma etching apparatus of embodiment. 実施形態の一変形例によるプラズマエッチング装置の構成を示す縦断面図である。It is a longitudinal section showing the composition of the plasma etching device by one modification of an embodiment. 図8のプラズマエッチング装置における容量結合型高周波放電の仕組みを模式的に示す図である。It is a figure which shows typically the mechanism of the capacitive coupling type high frequency discharge in the plasma etching apparatus of FIG. 実施形態の一変形例によるプラズマエッチング装置の構成を示す縦断面図である。It is a longitudinal section showing the composition of the plasma etching device by one modification of an embodiment. 図10のプラズマエッチング装置における容量結合型高周波放電の仕組みを模式的に示す図である。It is a figure which shows typically the mechanism of the capacitive coupling type high frequency discharge in the plasma etching apparatus of FIG. 実施形態のプラズマエッチング装置における静電容量可変部の一実施例を示す部分断面図である。It is a fragmentary sectional view showing one example of a capacitance variable part in a plasma etching apparatus of an embodiment. 実施形態のプラズマエッチング装置における静電容量可変部の別の実施例を示す部分断面図である。It is a fragmentary sectional view which shows another Example of the electrostatic capacitance variable part in the plasma etching apparatus of embodiment. 実施形態のプラズマエッチング装置における静電容量可変部の他の実施例を示す部分断面図である。It is a fragmentary sectional view which shows the other Example of the electrostatic capacitance variable part in the plasma etching apparatus of embodiment. 実施形態の一変形例によるプラズマエッチング装置の構成を示す縦断面図である。It is a longitudinal section showing the composition of the plasma etching device by one modification of an embodiment. 実施形態の一変形例によるプラズマエッチング装置の構成を示す縦断面図である。It is a longitudinal section showing the composition of the plasma etching device by one modification of an embodiment.

符号の説明Explanation of symbols

10 チャンバ(処理容器)
16 サセプタ(下部電極)
30 高周波電源
34 上部電極
34A 内側上部電極
34B 外側上部電極
35 リング状絶縁体
36 電極板
36a ガス噴出孔
38 電極支持体
40 ガスバッファ室
42 ガス供給管
44 処理ガス供給源
50 真空空間
52 絶縁体
64 高周波電源
70,72 キャパシタ
73 絶縁体
74,76 リング状絶縁体
80,82,84 キャパシタ
86,92 静電容量可変部
10 chamber (processing vessel)
16 Susceptor (lower electrode)
DESCRIPTION OF SYMBOLS 30 High frequency power supply 34 Upper electrode 34A Inner upper electrode 34B Outer upper electrode 35 Ring-shaped insulator 36 Electrode plate 36a Gas ejection hole 38 Electrode support body 40 Gas buffer chamber 42 Gas supply pipe 44 Process gas supply source 50 Vacuum space 52 Insulator 64 High frequency power supply 70, 72 Capacitor 73 Insulator 74, 76 Ring insulator 80, 82, 84 Capacitor 86, 92 Capacitance variable section

Claims (14)

真空排気可能な処理容器と、
前記処理容器内に絶縁物または空間を介して電気的に浮いた状態で取り付けられる第1の電極と、
前記処理容器内に前記第1の電極と所定の間隔を空けて平行に配置され、前記第1の電極と対向させて被処理基板を支持する第2の電極と、
前記第1の電極と前記第2の電極と前記処理容器の側壁との間の処理空間に所望の処理ガスを供給する処理ガス供給部と、
前記処理空間で前記処理ガスのプラズマを生成するために前記第2の電極に第1の高周波を印加する第1の高周波給電部と
を有し、
前記処理空間で生成されるプラズマについて所望のプラズマ密度分布特性が得られるように前記第1の電極と前記処理容器との間の静電容量を設定し、
前記第2の電極からみて前記第1の電極の裏面と前記処理容器との間に前記処理空間から独立した真空空間が設けられ、
前記真空空間は前記第1の電極の裏面と対向する天井部を有し、
少なくとも前記真空空間の前記天井部を覆う第1の絶縁体を有する、
プラズマ処理装置。
A processing container capable of being evacuated;
A first electrode attached in an electrically floating state via an insulator or space in the processing container;
A second electrode disposed in parallel with the first electrode in the processing container at a predetermined interval and supporting the substrate to be processed so as to face the first electrode;
A processing gas supply unit that supplies a desired processing gas to a processing space between the first electrode, the second electrode, and a sidewall of the processing container;
A first high-frequency power feeding unit that applies a first high frequency to the second electrode in order to generate plasma of the processing gas in the processing space;
Setting a capacitance between the first electrode and the processing vessel so as to obtain a desired plasma density distribution characteristic for the plasma generated in the processing space;
A vacuum space independent from the processing space is provided between the back surface of the first electrode and the processing container as viewed from the second electrode,
The vacuum space has a ceiling portion facing the back surface of the first electrode,
Having a first insulator covering at least the ceiling of the vacuum space;
Plasma processing equipment.
前記第1の電極と前記処理容器との間の静電容量を可変するための静電容量可変部を有する、請求項1に記載のプラズマ処理装置。   The plasma processing apparatus according to claim 1, further comprising a capacitance variable unit configured to vary a capacitance between the first electrode and the processing container. 前記処理容器が導電体からなり、接地される、請求項1または請求項2に記載のプラズマ処理装置。   The plasma processing apparatus according to claim 1, wherein the processing container is made of a conductor and is grounded. 前記第1の電極が上部電極であり、前記第2の電極が下部電極である、請求項1〜のいずれか一項に記載のプラズマ処理装置。 Wherein a first electrode is an upper electrode, the second electrode is a lower electrode, a plasma processing apparatus according to any one of claims 1-3. 前記第1の電極が、電極板と、この電極板を支持する電極支持体とで構成され、
前記電極支持体の内部に、前記処理ガス供給部からの前記処理ガスを導入するガスバッファ室が設けられ、
前記電極板に、前記ガスバッファ室から前記処理空間に前記処理ガスを噴出するための多数のガス噴出孔が形成されている、
請求項に記載のプラズマ処理装置。
The first electrode is composed of an electrode plate and an electrode support that supports the electrode plate,
A gas buffer chamber for introducing the processing gas from the processing gas supply unit is provided inside the electrode support,
A number of gas ejection holes for ejecting the processing gas from the gas buffer chamber to the processing space are formed in the electrode plate.
The plasma processing apparatus according to claim 4 .
前記第1の電極の外周面と前記処理容器の側壁との間にリング状の第の絶縁体が設けられる請求項に記載のプラズマ処理装置。 Second insulator ring shape is provided between the outer peripheral surface and the sidewall of the processing vessel of the first electrode, the plasma processing apparatus according to claim 5. 前記第の絶縁体が、前記第1の電極の外周面と前記処理容器の側壁との間の隙間を塞ぐように設けられる請求項に記載のプラズマ処理装置。 The second insulator is provided so as to close the gap between the outer peripheral surface and the sidewall of the processing vessel of the first electrode, the plasma processing apparatus according to claim 6. 前記第1の電極の外周面と前記処理容器の側壁との間に空間が形成される、請求項に記載のプラズマ処理装置。 The plasma processing apparatus according to claim 5 , wherein a space is formed between an outer peripheral surface of the first electrode and a side wall of the processing container. 前記第1の電極が、半径方向において円盤状の内側電極とリング状の外側電極とに2分割されている、請求項1〜のいずれか一項に記載のプラズマ処理装置。 Wherein the first electrode, in the radial direction is divided into a disk-shaped inner electrode and a ring shaped outer electrode, the plasma processing apparatus according to any one of claims 1-8. 前記内側電極と前記外側電極との間に、リング状の第の絶縁体が挿入され、または空間が形成される、請求項に記載のプラズマ処理装置。 The plasma processing apparatus according to claim 9 , wherein a ring-shaped third insulator or a space is formed between the inner electrode and the outer electrode. 前記外側電極と前記処理容器の側壁との間に、リング状の第の絶縁体が挿入され、または空間が形成される、請求項に記載のプラズマ処理装置。 The plasma processing apparatus according to claim 9 , wherein a ring-shaped fourth insulator or a space is formed between the outer electrode and a side wall of the processing container. 前記外側電極と前記処理容器との間の静電容量は、前記内側電極と前記処理容器との間の静電容量よりも大きい、請求項11のいずれか一項に記載のプラズマ処理装置。 The plasma processing apparatus according to any one of claims 9 to 11 , wherein a capacitance between the outer electrode and the processing container is larger than a capacitance between the inner electrode and the processing container. . 前記第2の電極に前記第1の高周波よりも周波数の低い第2の高周波を印加する第2の高周波給電部を有する、請求項1〜12のいずれか一項に記載のプラズマ処理装置。 A second high-frequency power supply unit for applying a second high frequency low frequency than said first frequency to said second electrode, the plasma processing apparatus according to any one of claims 1 to 12. 前記第1の電極に所望の直流電圧を印加するための直流電源を有する請求項1〜13のいずれか一項に記載のプラズマ処理装置。 The plasma processing apparatus according to any one of claims 1 to 13 , further comprising a DC power source for applying a desired DC voltage to the first electrode.
JP2006092860A 2006-03-30 2006-03-30 Plasma processing equipment Expired - Fee Related JP5064707B2 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2006092860A JP5064707B2 (en) 2006-03-30 2006-03-30 Plasma processing equipment
KR1020070030130A KR100841118B1 (en) 2006-03-30 2007-03-28 Plasma processing apparatus and plasma processing method
TW096111086A TWI408744B (en) 2006-03-30 2007-03-29 Plasma processing device and plasma processing method
CNB2007100913490A CN100517563C (en) 2006-03-30 2007-03-30 Plasma processing apparatus and plasma processing method
US11/694,083 US8034213B2 (en) 2006-03-30 2007-03-30 Plasma processing apparatus and plasma processing method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2006092860A JP5064707B2 (en) 2006-03-30 2006-03-30 Plasma processing equipment

Publications (2)

Publication Number Publication Date
JP2007266529A JP2007266529A (en) 2007-10-11
JP5064707B2 true JP5064707B2 (en) 2012-10-31

Family

ID=38639185

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006092860A Expired - Fee Related JP5064707B2 (en) 2006-03-30 2006-03-30 Plasma processing equipment

Country Status (4)

Country Link
JP (1) JP5064707B2 (en)
KR (1) KR100841118B1 (en)
CN (1) CN100517563C (en)
TW (1) TWI408744B (en)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101003382B1 (en) * 2008-02-13 2010-12-22 주식회사 유진테크 plasma processing apparatus and plasma processing method
JP5281309B2 (en) * 2008-03-28 2013-09-04 東京エレクトロン株式会社 Plasma etching apparatus, plasma etching method, and computer-readable storage medium
US20100098875A1 (en) * 2008-10-17 2010-04-22 Andreas Fischer Pre-coating and wafer-less auto-cleaning system and method
JP5591573B2 (en) * 2009-03-30 2014-09-17 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing method
JP5689294B2 (en) * 2010-11-25 2015-03-25 東京エレクトロン株式会社 Processing equipment
JP5762841B2 (en) * 2011-06-21 2015-08-12 東京エレクトロン株式会社 Semiconductor manufacturing equipment
JP5808012B2 (en) * 2011-12-27 2015-11-10 東京エレクトロン株式会社 Plasma processing equipment
CN102800548A (en) * 2012-08-29 2012-11-28 上海宏力半导体制造有限公司 Semiconductor manufacturing device and maintenance method thereof
JP6242288B2 (en) * 2014-05-15 2017-12-06 東京エレクトロン株式会社 Plasma processing method and plasma processing apparatus
CN105575796A (en) * 2014-10-13 2016-05-11 友威科技股份有限公司 Plasma etching device for printed circuit board
CN105744783A (en) * 2014-12-09 2016-07-06 友威科技股份有限公司 Multi-electrode etching device
JP2017033982A (en) * 2015-07-29 2017-02-09 東京エレクトロン株式会社 Method for etching multilayer film
JP2019075517A (en) * 2017-10-19 2019-05-16 東京エレクトロン株式会社 Processing device and member having diffusion path
JP6465948B1 (en) * 2017-11-01 2019-02-06 キヤノントッキ株式会社 Substrate processing apparatus and film forming apparatus
JP7138550B2 (en) * 2018-11-29 2022-09-16 東京エレクトロン株式会社 Substrate processing equipment

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4493756B2 (en) * 1999-08-20 2010-06-30 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing method
US7030335B2 (en) * 2000-03-17 2006-04-18 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
JP3670206B2 (en) * 2000-11-06 2005-07-13 アルプス電気株式会社 Performance evaluation method, maintenance method, performance management system, performance confirmation system, and plasma processing apparatus for plasma processing apparatus or plasma processing system
JP2004063662A (en) * 2002-07-26 2004-02-26 Hitachi Kokusai Electric Inc Plasma processor
JP4775834B2 (en) * 2002-08-05 2011-09-21 東京エレクトロン株式会社 Etching method
US7951262B2 (en) * 2004-06-21 2011-05-31 Tokyo Electron Limited Plasma processing apparatus and method
JP4699127B2 (en) * 2004-07-30 2011-06-08 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing method
US7037855B2 (en) * 2004-08-31 2006-05-02 Asm Japan K.K. Method of forming fluorine-doped low-dielectric-constant insulating film

Also Published As

Publication number Publication date
TW200802597A (en) 2008-01-01
JP2007266529A (en) 2007-10-11
TWI408744B (en) 2013-09-11
KR20070098587A (en) 2007-10-05
KR100841118B1 (en) 2008-06-24
CN100517563C (en) 2009-07-22
CN101047113A (en) 2007-10-03

Similar Documents

Publication Publication Date Title
JP5064707B2 (en) Plasma processing equipment
JP5264231B2 (en) Plasma processing equipment
JP5294669B2 (en) Plasma processing equipment
JP5317424B2 (en) Plasma processing equipment
US8513563B2 (en) Plasma processing apparatus and plasma processing method
US7829463B2 (en) Plasma processing method and plasma processing apparatus
JP5031252B2 (en) Plasma processing equipment
US7767055B2 (en) Capacitive coupling plasma processing apparatus
US20070227666A1 (en) Plasma processing apparatus
JP5116983B2 (en) Plasma processing method and plasma processing apparatus
JP5348848B2 (en) Plasma processing equipment
US8261691B2 (en) Plasma processing apparatus
JP2009123934A (en) Plasma treatment apparatus
JP2009239012A (en) Plasma processing device and method of plasma etching
KR20090089265A (en) Plasma processing apparatus and method, and storage medium
JP2016506592A (en) Capacitively coupled plasma device with uniform plasma density
JP7149739B2 (en) Mounting table and substrate processing device
US8034213B2 (en) Plasma processing apparatus and plasma processing method
JP2006165093A (en) Plasma processing device
JP2016063083A (en) Plasma processing device
JP4753306B2 (en) Plasma processing equipment
JP5367000B2 (en) Plasma processing equipment
JP5064708B2 (en) Plasma processing equipment
WO2020059596A1 (en) Placement table and substrate treating device
JP5695117B2 (en) Plasma etching method

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090218

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090619

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111004

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111202

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120424

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120622

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120807

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120809

R150 Certificate of patent or registration of utility model

Ref document number: 5064707

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150817

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees