JP5026317B2 - 液体フィルタを有する紫外線照射装置及び方法 - Google Patents

液体フィルタを有する紫外線照射装置及び方法 Download PDF

Info

Publication number
JP5026317B2
JP5026317B2 JP2008075405A JP2008075405A JP5026317B2 JP 5026317 B2 JP5026317 B2 JP 5026317B2 JP 2008075405 A JP2008075405 A JP 2008075405A JP 2008075405 A JP2008075405 A JP 2008075405A JP 5026317 B2 JP5026317 B2 JP 5026317B2
Authority
JP
Japan
Prior art keywords
ultraviolet
liquid layer
water
liquid
wall
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2008075405A
Other languages
English (en)
Other versions
JP2008244481A (ja
Inventor
清広 松下
健一 加々美
Original Assignee
日本エー・エス・エム株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日本エー・エス・エム株式会社 filed Critical 日本エー・エス・エム株式会社
Publication of JP2008244481A publication Critical patent/JP2008244481A/ja
Application granted granted Critical
Publication of JP5026317B2 publication Critical patent/JP5026317B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/6715Apparatus for applying a liquid, a resin, an ink or the like

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Formation Of Insulating Films (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Physical Water Treatments (AREA)

Description

本発明は、概して、紫外線照射装置及び、紫外線により半導体基板を照射する方法に関する。
従来、紫外線を用いたさまざまな処理対象物の改質、または光化学反応を利用した処理により物質を製造する際に、紫外線処理装置が使用されてきた。近年のデバイスの高集積化のトレンドにより細密配線及び多層配線構造に対する需要が高まり、消費電力を低減しながらデバイスの処理速度を増加させるべく、層間容量を減少させることが重要となってきた。層間容量を減少させるのにLow-k(低誘電率膜)材料が使用されている。しかし、材料の誘電率を減少させることは、その材料の機械的強度(弾性率、またはEM)も減少することになり、低誘電率材料が、化学的機械的研磨(CMP)、ワイヤボンディング及びパッケージングのような後処理で受けるストレスに耐えるのが困難となる。上記問題を解決するひとつの方法は、例えば、米国特許第6,759,098号及び米国特許第9,296,909号に記載されるように、機械的強度を増すために紫外線により低誘電率材料をキュアすることである。紫外線照射により低誘電率材料は収縮しかつ硬化し、機械的強度(EM)は50から200%まで増加する。これとは別に、デバイスの高集積化の最近のトレンドからの他の要求に対応する方法として、光化学反応を利用した光学CVDが長年研究されており、それによれば熱CVDまたはPECVDを使った膜堆積処理を利用することによる熱またはプラズマによるダメージを受けないさまざまな薄膜を得ることができる。
米国特許第6,759,098号明細書
紫外線照射は、膜中の-CH3結合または-Si-O結合を破壊し、その破壊された成分元素をO-Si-Oのネットワークを構築するように再結合させることにより、低誘電率膜の機械的強度を強化する効果を有する。波長の短い光ほどエネルギーが高いため、この効果は波長の短い紫外線ほど大きい。エキシマランプ及び水銀ランプなど、さまざまな種類のランプが紫外線キュア用に使用可能である。これらの中でも、水銀ランプはさまざまな波長の光を生成し、結果として多くの熱が生じるため、ランプを冷却する必要がある。通常、水銀ランプは冷風送風機により冷却される。しかしながら、冷風中の酸素は250nmまたはそれ以下の波長の紫外線を吸収しかつそれをオゾンに変換するため、照射対象物に到達する有効紫外線の量は減少する。その結果、キュア効率は低下し、かつ、スループットにも影響を及ぼす。また、生成されたオゾンは有害であるため、排出ガスはスクラッバ法等により処理しなければならない。波長が250nmまたはそれ以下の有効紫外線の量を増加させるべくランプの入力電力を増加すると、より多くの熱が発生し、キュア対象物、すなわち、低誘電率膜が堆積する基板の温度も上昇する。したがって、入力電力の増加にも限界がある。
上記した問題の少なくともひとつを解決するために、本発明のひとつの態様は、ランプ冷却の方法を空冷から水冷に変更する。こうすることにより、冷却用の空気を使用する必要が無くなるため、波長が200nmから250nmの紫外線が冷風中の酸素により吸収されず、有効な紫外線処理が可能になる。波長が200nmから250nmの紫外線は、低誘電率膜のキュアに特に有効である。水銀ランプを冷却するのに多くの空気が必要であり、上記したように250nmまたはそれ以下の波長の有効な紫外線は酸素により吸収されて有害なオゾンに変換される。窒素を使用しようとすれば、大量の窒素が必要となるため実用的ではない。本発明の上記態様によれば、これらの問題は無くなる。
他の態様において、紫外線ランプユニット内の大気は、波長が200nmから250nmの紫外線が冷風内の酸素により吸収されるのを防止するため、N2に置換される。上記した他の問題は、キュア効率を改善するために200nmから250nmの波長の紫外線の強度を上げようとすると、ランプにより生成される多くの熱が基板の温度を上昇させるというものである。結果として、紫外線の強度はあるレベルまでしか増加させることができない。ひとつの態様において、熱に関する問題が生じることなく、紫外線の強度を増加させるために水冷式ランプが使用される。
本発明及び従来技術に対する利点を要約する目的で、本発明のある目的及び利点がここに開示される。無論、これらの目的または利点のすべてが本発明の特定の実施例にしたがって達成されるものではない。よって、本発明は、ここに教示または示唆される他の目的または利点を必ずしも達成することなく、ここに教示されるひとつまたは複数の利点を達成または最適化する方法で実施または実行され得ることは当業者の知るところである。
本発明の他の態様、特徴及び利点は、以下の好適な実施形態の詳細な説明により明らかとなる。
以下、本発明のいくつかの好適な実施形態について説明する。しかし、これら好適な実施形態は本発明を限定するものではない。
ひとつの実施形態において、本発明は、紫外線により半導体基板を照射するための紫外線照射装置を与え、当該装置は、(i)内部に基板支持台が設けられ、光線透過窓を備えるリアクタと、(ii)該光線透過窓を通過した紫外線により基板支持台上に載置された半導体基板を照射するための、リアクタと結合した紫外線照射ユニットと、(iii)紫外線が透過する液体層を形成するための、光線透過窓と紫外線ランプとの間に設けられる液体層形成チャネルであって、該液体層は該液体層形成チャネルを通じて流れる液体により形成されているところの液体層形成チャネルとを備える。
上記実施形態はさらに、これに限定されないが、以下の実施形態を含む。
ひとつの実施形態において、液体層は、200nmから250nmの波長の紫外線を実質的にほとんど吸収しない流体により形成される。ひとつの実施形態において、該液体層を構成する液体は水である。ひとつの実施形態において、液体層形成チャネルは200nmから250nmの波長の紫外線を実質的にほとんど吸収しない(すなわち、透過することができる)ガラスにより形成されている。
ひとつの実施形態において、液体層形成チャネルは、間を液体が流通する2つの透明な壁により形成されている。好適な実施形態において、2つの壁の間隔は約5mmから約15mm(好ましくは、7mmから12mm)である。例えば、石英ガラスから成る壁の厚さは約1mmから約2mmであってもよい。
ひとつの実施形態において、液体層形成チャネルは、両壁の間を液体が流れるような内壁及び外壁を備えた二重壁エンクロージャで形成されている。ひとつの実施形態において、紫外線ランプは細長い形状を有する。ひとつの実施形態において、二重壁エンクロージャは内壁と外壁との間に液体を導入するための液体流入口と、内壁と外壁との間から液体を放出するための液体流出口を有する。ひとつの実施形態において、二重壁エンクロージャは、内壁により包囲された内部空間に不活性ガスを導入するための不活性ガス流入口と、該内部空間から不活性ガスを放出するための不活性ガス流出口を有する。
ひとつの実施形態において、液体層形成チャネルは、紫外線透過窓と平行で、かつ、紫外線ランプより紫外線透過窓に近接して配置されている。ひとつの実施形態において、紫外線透過窓が液体層形成チャネルを構成してもよい。
ひとつの実施形態において、紫外線照射ユニットは該紫外線照射ユニットの内壁に沿って配置される反射板を備え、該反射板は紫外線ランプからの紫外線を紫外線透過窓の方へ反射させるよう傾斜して配置されている。
ひとつの実施形態において、液体層形成チャネルは、チャネル内に液体を導入するための液体流入口と、チャネルから液体を放出するための液体流出口を有し、液体流出口での液体の温度を検出するための、液体流出口に配置された温度センサを備える。ひとつの実施形態において、液体層形成チャネルは、温度センサにより検出された温度に従い液体流量を制御するための、液体流出口の上流に配置された流量制御器を備える。
他の実施形態において、本発明は、紫外線により半導体基板を照射するための方法を与え、当該方法は、(i)リアクタ内の基板支持台上に半導体基板を載置する工程と、(ii)紫外線照射ユニット内に設置された紫外線ランプと、リアクタと紫外線照射ユニットとの間に設けられた光線透過窓との間に配置される液体層形成チャネルを通じて液体を流通させることにより液体層を形成する工程と、(iii)液体層及び光線透過窓を透過する紫外線ランプから放射された紫外線により半導体基板を照射する工程とを備える。
上記実施形態はさらに、これに限定されないが、以下の実施形態を含む。
ひとつの実施形態において、液体層は、200nmから250nmの波長の紫外線を実質的にほとんど吸収しない液体を流通させることにより形成される。ひとつの実施形態において、液体層を構成する液体は水であってもよい。ひとつの実施形態において、半導体基板は紫外線照射に曝される低誘電率膜(または、超低誘電率膜)を有する。
ひとつの実施形態において、液体層形成チャネルは紫外線ランプを包囲する内壁及び外壁を備えた二重壁エンクロージャにより形成され、液体層形成工程は、該内壁と外壁との間に液体を流通させる工程から成る。ひとつの実施形態において、液体層形成工程はさらに、内壁により包囲された内部空間に不活性ガスを導入する工程と、内部空間から不活性ガスを放出する工程を備える。
ひとつの実施形態において、液体層は紫外線透過窓と平行で、かつ、紫外線ランプより紫外線透過窓の方に近接して形成されている。ひとつの実施形態において、紫外線透過窓は液体層形成チャネルとして機能し、該液体層は紫外線透過窓内に形成されてもよい。
ひとつの実施形態において、紫外線照射ユニットは、紫外線照射ユニットの内壁に沿って配置された反射板を備え、照射工程はさらに、該反射板を使って紫外線ランプからの紫外線を紫外線透過窓の方向へ反射させる工程を備える。
ひとつの実施形態において、液体層形成工程は、液体をチャネル内に導入する工程と、該チャネルから液体を放出する工程と、該チャネルから放出される液体の温度を検出する工程と、検出した温度に従ってチャネル内に導入される液体の流量を制御する工程とを備える。ひとつの実施形態において、液体流量は、チャネルから放出される液体の温度を40℃またはそれ以下にするよう制御される。
以下、好適な実施形態及び図面を参照して、本発明を詳細に説明する。これらの好適な実施形態及び図面は本発明を限定するものではない。
すべての上記実施形態において、ひとつの実施形態で使用される任意の構成要素は、置換が容易ではなくまたは逆効果でなければ、他の実施形態においても相互に交換して使用可能である。また、本発明は、装置及び方法に同等に適用可能である。
図1に示す紫外線照射装置は、紫外線ユニット18、水冷フィルタ11、照射窓5、ガス導入リング9、リアクタチャンバ6、ヒータ台7、及び真空ポンプ12を備える。ガス導入リング9は複数の材料ガス排気口8を有し、それを通じて材料ガスは矢印間の中央方向へ放出される。紫外線ユニット18の内壁に沿って、赤外線を透過させかつ紫外線を反射するためのコールドミラー1が配置されており、それにより、紫外線は照射窓5を効率的に通過することができる。もう一つのコールドミラー2が同じ目的で紫外線ランプ3の上部に配置されている。水冷フィルタ11は冷却水流入口14及び冷却水流出口10を有し、冷却水流入口14は冷却器(熱交換機)13の冷却水供給口16に結合されており、冷却器13内の冷却水は水冷フィルタ11内に供給される。冷却水が水冷フィルタ11を通過した後、冷却水を冷却器13に戻すよう、冷却水流出口10は冷却器13の冷却水回収口17に結合されている。冷却器13は、冷却水の温度及び流量を制御するための温度制御器19及び流量制御器15を有する。
紫外線照射装置は、ここに図示されたものに限定されず、紫外線を照射可能な任意の装置を使用することができる。以下では、代表的に図1に示した装置の構造について説明する。図1において、チャンバ6は真空と大気圧付近の間のさまざまな状態に制御可能であり、紫外線照射ユニット18が該チャンバの上に配置されている。図1において、紫外線ソース3及びヒータ7は互いに平行に対向して配置されている。照射ガラス窓5は、紫外線ソース3とヒータ7との間に、それらと平行かつそれらと対向して配置されている。照射ガラス窓5は均一な紫外線を照射するのに使用され、例えば、合成石英から形成されている。この窓は、大気からリアクタを遮断し、かつ、紫外線を透過することができる任意の材料から形成することができる。紫外線照射ユニット18内の紫外線ソース3は、互いに平行に配置された複数の管を有する。図1に示すように、この光源は均一な強度が得られるよう適切に配置されており、各管からの紫外線が薄膜方向に反射されるように紫外線ランプの傘となる反射器2が設けられている。ランプ3は紫外線が通過できる合成石英のようなガラスから形成され、冷却水が流れるユニットの内部に配置される。ユニット11の内部を流通する冷却水はユニットの外部に配置された冷却器13により循環される。また、ユニット11は酸素を除去するよう窒素で満たされており、その結果、紫外線照射によりオゾンが生成されることはない。この装置において、真空と大気圧との間のさまざまな条件に制御可能な基板処理セクション6は、照射ガラス窓5が組み込まれたフランジ9によって、紫外線放射セクション18から分離されている。紫外線放射セクションと照射ガラス窓5との間の空間は窒素で満たされており、それはまた空気中の酸素による紫外線の吸収を妨げ、オゾンの生成を防止する。
この実施形態において、紫外線ソース3は容易に除去及び置換可能であるように製造されている。また、この実施形態において、均一な処理雰囲気を作るよう複数のガス流入口が設けられかつ対称的に配列されたフランジ9を通じて材料ガスが導入される。紫外線照射処理において、チャンバ6は、Ar、CO、CO2、C2H4、CH4、H2、He、Kr、Ne、N2、O2、Xe、アルコールガス、及び有機ガスから選択されるガスで満たされ、その圧力は約0.1Torrからほぼ大気圧までの範囲(1Torr、10Torr、100Torr、1000Torr及びこれらの任意の2数間の値を含む)に調節され、処理対象物、またはゲートバルブを介して基板搬入口から搬送される半導体基板は、約0℃から約650℃(10℃、50℃、100℃、200℃、300℃、400℃、500℃、600℃及びこれらの任意の2数間の値を含むが、好ましくは300℃から450℃)の範囲に温度設定されたヒータ7の上に載置され、その後、約100nmから約400nm(150nm、200nm、250nm、300nm、350nm、及びこれらの任意の2数間の値を含むが、好ましくは約200nmから250nm)の範囲の波長の紫外線が、約1mW/cm2から約1000mW/cm2(10mW/cm2、50mW/cm2、100mW/cm2、200mW/cm2、500mW/cm2、800mW/cm2、及びこれらの任意の2数間の値を含む)の範囲の出力で、紫外線ソースから適当な距離を保持して半導体基板上の薄膜に照射される(ここで、水冷フィルタ11と照射ガラス窓5との間の距離は約5から40cmであり、照射ガラス窓5と基板との間の距離は約0.5から10cmであってもよい)。300nmまたはそれ以下、好ましくは250nmまたはそれ以下、より好ましくは200nmから250nmの波長の紫外線を使用することで、熱の発生を抑制しながら低誘電率膜をキュアする紫外線照射の効果を最大化することができる。照射時間は約1秒から約60分(5秒、10秒、20秒、50秒、100秒、200秒、500秒、1000秒及びこれらの任意の2数間の値を含む)の範囲である。チャンバは排気口を介して真空排気されている。この半導体製造装置は自動シーケンスに従って一連の処理工程を実行し、ここで特定の処理工程は、ガス導入、紫外線照射、照射の停止、及びガス供給の停止を含む。
図2(a)及び2(b)は、それぞれこの実施形態において使用される水冷フィルタの略示側面図及び略示正面図である。この水冷フィルタは、水平方向に長い紫外線ランプ3を格納し、両端でカバー27、28によりシールして形成される内部空間29により、紫外線ランプ3を内包している。ひとつのカバー28は、窒素ガスのような不活性ガスを内部空間29に導入するための流入口25を有し、もう一つのカバー27は、不活性ガスが内部空間29を通過した後にそれを排気するための流出口26を有する。内部空間29は高温になるため、カバー27、28は内部空間29を密封する必要はないが、大気(酸素)の進入を防止するよう十分な正圧に保持すればよい。カバー28及び27は、それぞれ、冷却水を導入するための冷却水流入口14及び冷却水を放出するための冷却水流出口10を有する。水冷フィルタは、二重壁石英ガラス21を備え、その壁の間を冷却水が流れる。ひとつの実施形態において、石英ガラスの厚さは約1から2mmであり、冷却水の層の厚さは約5から15mmである。
図2において、冷却水フィルタは3本の紫外線ランプを内包している。しかし、各紫外線ランプは別々の円筒形水冷フィルタ内に格納されてもよく、または5から10本のランプが単一の水冷フィルタ内に格納されてもよい。
ひとつの実施形態において、紫外線ランプは深紫外線(DUV)から赤外線までの広範囲の波長を変換した紫外線を生成し、水銀ランプは特にこの応用に適している。
水銀ランプは、185nm、254nm、365nm等の波長に関連して、低圧から超高圧までのさまざまな種類のランプ内圧力ごとに分類され、任意の種類のランプが適宜選択可能であるが、300nm以下の波長の光が低誘電率膜のキュアに有効である。水銀ランプは低誘電率膜内部の-CH3結合または-Si-O結合を破壊し、破壊された成分元素が膜の機械的強度を強化するべくO-Si-Oネットワークを構築するよう再結合することを可能とする。基板が保持される雰囲気は、低誘電率膜の酸化を防止するために、通常、不活性ガスと置換されている。通常、N2、He、Ar等が不活性ガスとして使用される。ひとつの実施形態において、KrClエキシマ(波長222nm)ランプが使用されてもよい。KrClエキシマランプは比較的低出力であるが、250nmまたはそれ以下の波長を有するので膜質の改善には有効である。
水冷フィルタは図1及び図2に示す構成には限定されず、紫外線ランプと照射窓との間に水の層が形成されるような任意の他の実施形態が使用可能である。例えば、2つのガラスシートの間を水が流れるような実施形態が考えられる。図3及び図4はこのような実施形態に従う水冷フィルタ31の略示図である。構造体のすべての他の構成要素は図1に示すものと同一である。同一の構成要素は同一符号で示しており、それらの説明は省略する。この実施形態において、照射窓の全面は合成ガラス等のフィルタガラスにより被覆されている。フィルタは水で満たされ、この水はチラーユニットにより温度制御されている。図4に示すように、水冷フィルタ31は基本的には、上記した水の層の厚さと同じかまたは1.5若しくは2倍程度の特定の間隔で配置された2枚の合成石英シートを備え、右側端部に設けられた冷却水流入口34及び左側端部に設けられた冷却水流出口30を除き密封されており、その結果冷却水42が内部を流れるようになっている。水冷フィルタ31が照射窓5の付近に設けられており、ひとつの実施形態において、それらの間の距離は約数mmから数cmである。照射窓それ自体を水冷フィルタにより構成することも可能である。
水冷フィルタを使用することにより、紫外線ランプからの熱はより効率的に抑制される。また、半導体薄膜処理に有効な200nmから250nmの波長の紫外線は実質的にほとんど吸収されることなく透過し、その結果半導体薄膜は効果的に処理される。有機膜等により被覆された合成ガラスから成る冷却フィルタの使用は、熱を遮断するには有効である。しかし、この種のフィルタは200nmから250nmの波長の光を吸収するため、効果的ではない。本発明のひとつの実施形態において、冷却フィルタを含むフィルタは使用されず、その代わり熱を遮断するのに水が使用される。周知のように、水は、1μmまたはそれ以上の長い波長の赤外線を吸収し、それより短い波長の光を吸収しない。1μmまたはそれ以上の波長の赤外線は薄膜の膜質に影響を与えないため、この範囲の波長の光の吸収は問題とはならない。ひとつの実施形態において、ランプは200nmから250nmの波長の紫外線を透過するガラスにより包囲されており、このガラスはもうひとつのガラス層に包囲されている。これら2つのガラス層の間の空間に水を満たすことにより、水フィルタが構成される。このフィルタの二重ガラス層は完全に密封されており、それらの間に満たされた水は漏れることはない。また、このフィルタは、内部の冷却水を循環させるための、紫外線照射ユニットの外部に設置された水冷ユニットと結合されている。ひとつの実施形態において、このフィルタは、窒素、Ar、He等の不活性ガスで満たされており、200nmから250nmの波長の紫外線を吸収する酸素は存在しない。このフィルタにより熱の問題は解決され、基板の温度を上昇させることなく200nmから250nmの波長の紫外線の強度を効果的に増加させることが可能となる。一方、約170nmから180nmの波長の光はSi-CH3結合を破壊し、破壊されたSi-CH3結合の成分元素にHが結合してSi-H結合のような不所望な結合の形成が促進されるかもしれない。したがって、紫外線の波長の有効範囲は200nmから250nmであり、この条件に一致する紫外線が基板に照射されるのが好ましい。
紫外線の強度を制御するために、例えば、図5に示す装置を使った方法を使用することができる。図5の装置は、照射窓の前後の位置に設けられる紫外線ランプ3から照射された紫外線の強度を測定するための紫外線照度計54を使用し、かつ、その結果を照度モニタ53に信号として送信する。ここで、信号は、照度データとして認識され、紫外線ランプ3への電力を制御するための紫外線制御/電力ユニット52への出力となる。この実施形態において、照射窓5内には水冷フィルタが設置されている。また、紫外線照射チャンバ6内部に設置されるべき基板は、ロードロックチャンバ50内に設置されたロードロックアーム(LLアーム)51によってロードロックチャンバ50から紫外線照射チャンバ内に搬送される。基板の搬送に続き、紫外線照射チャンバ内のサセプタ7は照射窓との間で特定のギャップが得られる位置まで上昇する。
空気を使った送風機によりランプを冷却する従来の装置では、ランプユニットは必然的に空気を含んでいた。250nmまたはそれ以下の波長の紫外線の吸収を防止するべくランプを冷却するために窒素またはその他のガスが使用されるが、大量のガスが必要となるため、この方法はコストの視点から実用的ではない。水冷フィルタの使用により送風機を使った空冷は不要となるため、ランプユニットは酸素を除去するために常に窒素で満たされている。
ひとつの実施形態において、水冷フィルタ用の冷却水として、純水、イオン交換水等が使用可能であり、その流量は、冷却水中の溶存酸素が紫外線を吸収/散乱する気泡を形成しないように、ランプの流入口(冷却水流入口)において約25℃、流出口(冷却水流出口)において約35から40℃になるよう制御される。図1及び図3に示すように、チラーユニット13は、冷却水の温度(流出口温度など)をモニタしかつ流量を調節するための温度制御器14及び流量制御器15を有する。冷却水の流出口温度が高ければ、循環速度を増加させる。逆に、温度が低ければ、循環速度を減少させる。ひとつの実施形態において、流入口の温度が室温以下であると結露が生じるため、流入口温度を室温付近に維持しなければならない。流出口での冷却水の温度を40℃またはそれ以下に維持しかつ気泡の形成を抑制するための所望の方法は、室温の冷却水を約5から20SLMだけフィルタ内に供給することである。
200nmから250nmの波長の紫外線がいかに効果的に膜質を改善するかの例が図6及び図7に示されている。ここで、膜質の変化は膜厚の減少により評価できる。図6は、高圧水銀ランプの波長分布と、SiC膜の紫外線透過率の関係を示している(ここで、SiC膜は、CVD法で、4MS=150sccm、NH3=1000sccm、He=500sccm、圧力=500Pa、RF(27MHz)=500W、RF(400kHz)=150W、堆積温度=400℃の条件で形成された膜厚=50nmの膜)。SiC膜の紫外線透過率は、エリプソメトリ及び膜厚により計測された消衰係数から計算されたものである。SiC膜は、300nmまたはそれ以上の波長の光に対して感応せず、その波長の光をほぼ100%透過する。一方、300nm以下の波長の光を吸収し、この傾向は、200nmから250nmの波長の光に対して顕著となる。この水銀ランプに関して、200nm以下の波長は重要ではない。したがって、低誘電率膜に対するキャップ層として形成されたSiC膜の表面に紫外線を照射するのに、この水銀ランプが使用されれば、その結果は、200nmから250nmの波長の紫外線で低誘電率膜を照射した場合と同じである。
図7は、SiC膜全体を直接的に紫外線で照射した場合に、低誘電率膜がどの程度収縮するかを示したものである。ここで、低誘電率膜は、CVD法で、TMDOS(テトラメチルジシロキサン)=100sccm、イソプロピルアルコール=400sccm、O2=50sccm、He=150sccm、圧力=800Pa、RF(27MHz)=1800W、温度=400℃の条件で形成した膜厚500nmの膜である)。同じ時間間隔にわたって紫外線を照射した結果、SiC膜を有しない膜はそれを有する膜より収縮が大きいことがわかった。これは、低誘電率膜を収縮する効果を有する紫外線がSiC膜により遮断されるためであると思われる。図6に示すように、300nm以下(特に、200nmから250nm)の波長の光は、SiC膜を透過しない。このことは、低誘電率膜を収縮する効果を有する紫外線が300nm以下の波長を有することを意味している。この実験において、紫外線キュア効果はSiC膜により35%だけ減少する。すなわち、35%まで遮断可能な紫外線は200nmから250nmの波長を有するため、200nmから250nmの波長の光が紫外線キュアに特に有用であると結論づけられる。
これらの結果から、低誘電率膜のキュア効率を高めるために、200nmから250nmの波長の紫外線の量を増加することが有効であることがわかる。しかし、300nm若しくはそれ以下、好ましくは200nmから250nmの波長の紫外線量を増加する目的で、入力電力を増加しようとすると、他の波長の光の量も比例して増加し、さらに問題となる熱も発生する。発熱の増加はキュアした基板の温度を上昇させ、このことは、400℃またはそれ以下に温度制御されなければならない層間絶縁層をキュアする際には問題となる。したがって、200nmから250nmの波長の、より多くの紫外線を得るために効果的な手段は、1)紫外線ランプに入力される電力を増加しながら、そのランプにより生成される熱を抑制し、かつ、2)酸素による紫外線の吸収を減少させるためにランプ周辺の大気を窒素と置換するものでなければならない。本発明のひとつの実施形態で使用される水冷フィルタは、これら両方の条件を満足するものである。
上述したように、本発明の実施形態は、低誘電率膜をキュアするために有効な紫外線の波長を特定し、水冷フィルタを使用することで高圧水銀ランプの発熱の問題を解決し、オゾン生成を抑制するべくキュア大気を窒素と置換して、キュア用の有効紫外線の量を増加させることによりキュア効率を改善する方法を確立するものである。
ここで特定されていない条件/構造は、本発明の開示または通常の実験の結果として当業者が容易に得ることができるものである。
本発明の思想から離れることなくさまざまな変更及び修正が可能であることは当業者の知るところである。したがって、本発明の形式は例示に過ぎず、本発明の態様を制限することを意図したものではない。
図1は、本発明のひとつの実施形態に従う紫外線照射装置の概略図である。 図2(a)及び(b)は、本発明のひとつの実施形態に従う液体フィルタにより包囲された紫外線ランプの略示側面図及び略示正面図である。 図3は、本発明の他の実施形態に従う紫外線照射装置の概略図である。 図4(a)及び(b)は、本発明の他の実施形態に従う液体フィルタの略示側面図及び略示斜視図である。 図5は、本発明の他の実施形態に従う紫外線照射装置の略示図である。 図6は、本発明のひとつの実施形態に従う、波長と紫外線強度及び波長とSiC膜の紫外線透過率の関係を示すグラフである。 図7は、本発明のひとつの実施形態に従う、SiCキャップ層を有する場合と有しない場合とで膜厚の変化を示すグラフである。

Claims (17)

  1. 紫外線により半導体基板を照射するための紫外線照射装置であって、
    内部に基板支持テーブルが設置され、紫外線透過窓を具備するリアクタと、
    前記紫外線透過窓を通過した紫外線により、前記基板支持テーブルの上に載置された前記半導体基板を照射するための、前記リアクタに結合された紫外線照射ユニットであって、少なくともひとつの紫外線ランプを含むところの紫外線照射ユニットと、
    紫外線が通過する液体層を形成するための、前記紫外線透過窓と前記紫外線ランプとの間に設けられる液体層形成チャネルであって、前記液体層は前記液体層形成チャネルを流通する液体により形成されているところの液体層形成チャネルと、
    を備え、
    前記液体層は、200nmから250nmの波長の紫外線を実質的にほとんど吸収しない液体流により形成され、前記液体は水であり
    前記液体層形成チャネルは、間を水が流通する透明な2つの壁により形成され、さらに前記2つの壁の間を水が流通する、内側壁と外側壁から成る二重壁エンクロージャにより形成されており、前記エンクロージャが前記紫外線ランプを内包し
    前記二重壁エンクロージャは、前記内側壁により包囲された内部空間に不活性ガスを導入するための不活性ガス流入口、及び、前記内部空間から前記不活性ガスを放出するための不活性ガス流出口を有する、ことを特徴とする紫外線照射装置
  2. 前記液体層形成チャネルは、200nmから250nmの波長の紫外線を実質的にほとんど吸収しないガラスで形成されている、ことを特徴とする請求項1記載の紫外線照射装置。
  3. 前記2つの壁の間隔は5mmから15mmである、ことを特徴とする請求項記載の紫外線照射装置。
  4. 前記紫外線ランプは細長い形状を有する、ことを特徴とする請求項記載の紫外線照射装置。
  5. 前記二重壁エンクロージャは、前記内側壁と前記外側壁との間に前記を導入するための液体流入口、及び、前記内側壁と前記外側壁との間からを放出するための液体流出口を有する、ことを特徴とする請求項記載の紫外線照射装置。
  6. 前記液体層形成チャネルは、前記紫外線透過窓と平行で、かつ、前記紫外線ランプより前記紫外線透過窓に近接して配置されている、ことを特徴とする請求項1記載の紫外線照射装置。
  7. 前記紫外線透過窓は前記液体層形成チャネルを構成する、ことを特徴とする請求項1記載の紫外線照射装置。
  8. 前記紫外線照射ユニットは、前記紫外線照射ユニットの内壁に沿って配置された反射板を具備し、前記反射板は前記紫外線ランプから前記紫外線透過窓の方向へ紫外線を反射するよう傾斜して配置されている、ことを特徴とする請求項1記載の紫外線照射装置。
  9. 前記液体層形成チャネルは、チャネル内にを導入するための液体流入口、及び、前記チャネルから前記を放出するための液体流出口を有し、かつ、前記液体流出口における前記の温度を検出するための、前記液体流出口に配置された温度センサを具備する、ことを特徴とする請求項1記載の紫外線照射装置。
  10. 前記液体層形成チャネルは、前記温度センサにより検出された温度に従い、流量を制御するための、前記液体流入口の上流側に配置された流量制御器を具備する、ことを特徴とする請求項記載の紫外線照射装置。
  11. 半導体基板を紫外線で照射するための方法であって、
    リアクタ内部の基板支持テーブル上に前記半導体基板を載置する工程と、
    紫外線照射ユニット内に設けられた紫外線ランプと、リアクタと前記紫外線照射ユニットとの間に設けられた紫外線透過窓との間に設けられる液体層形成チャネルを通じて、液体を流通させることにより、液体層を形成する工程と、
    前記液体層及び前記紫外線透過窓を透過した前記紫外線ランプから放出される紫外線により前記半導体基板を照射する工程と、
    を備え、
    前記液体層は、200nmから250nmの波長の紫外線を実質的にほとんど吸収しない液体を流通させることにより形成され、前記液体は水であり
    前記液体層形成チャネルは、前記紫外線ランプを内包する、内側壁と外側壁から成る二重壁エンクロージャによって形成され、前記液体層を形成する工程は、前記内側壁と前記外側壁との間に水を流通させる工程を備え、
    前記液体層を形成する工程は、さらに、前記内側壁により包囲された内部空間に不活性ガスを導入する工程と、前記内部空間から前記不活性ガスを放出する工程を含む、ことを特徴とする方法。
  12. 前記半導体基板は、前記紫外線の照射に曝される低誘電率膜を有する、ことを特徴とする請求項11記載の方法。
  13. 前記液体層は前記紫外線透過窓と平行で、かつ、前記紫外線ランプより前記紫外線透過窓に近接して形成されている、ことを特徴とする請求項11記載の方法。
  14. 前記紫外線透過窓は、前記液体層形成チャネルとして機能し、前記液体層は前記紫外線透過窓の内部に形成される、ことを特徴とする請求項11記載の方法。
  15. 前記紫外線照射ユニットは、前記紫外線照射ユニットの内壁に沿って配置された反射板を具備し、前記照射する工程はさらに、前記反射板を使って前記紫外線ランプからの紫外線を前記紫外線透過窓の方向へ反射させる工程を含む、ことを特徴とする請求項11記載の方法。
  16. 前記液体層を形成する工程は、チャネル内にを導入する工程と、前記チャネルから前記を放出する工程と、前記チャネルから放出する前記の温度を検出する工程と、前記検出した温度に従い前記チャネル内に導入される前記の流量を制御する工程を含む、ことを特徴とする請求項11記載の方法。
  17. 前記の流量は、前記チャネルから放出するの温度を40℃またはそれ以下とするように制御される、ことを特徴とする請求項16記載の方法。
JP2008075405A 2007-03-23 2008-03-24 液体フィルタを有する紫外線照射装置及び方法 Active JP5026317B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/690614 2007-03-23
US11/690,614 US7763869B2 (en) 2007-03-23 2007-03-23 UV light irradiating apparatus with liquid filter

Publications (2)

Publication Number Publication Date
JP2008244481A JP2008244481A (ja) 2008-10-09
JP5026317B2 true JP5026317B2 (ja) 2012-09-12

Family

ID=39773764

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008075405A Active JP5026317B2 (ja) 2007-03-23 2008-03-24 液体フィルタを有する紫外線照射装置及び方法

Country Status (4)

Country Link
US (1) US7763869B2 (ja)
JP (1) JP5026317B2 (ja)
KR (1) KR20080086817A (ja)
TW (1) TW200845204A (ja)

Families Citing this family (349)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP2011082288A (ja) * 2009-10-06 2011-04-21 Panasonic Corp 半導体製造装置及びそれを用いた半導体装置の製造方法
FR2951949B1 (fr) * 2009-10-30 2012-01-20 Claranor Dispositif de traitement par lumiere pulsee refroidi .
PL2507058T3 (pl) * 2009-11-30 2015-11-30 Scodix Ltd Wałek dociskowy z wewnętrznym źródłem energii i sposób laminacji
TWI409594B (zh) * 2010-11-16 2013-09-21 Au Optronics Corp 曝光燈組與曝光機
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP6228918B2 (ja) * 2011-08-18 2017-11-08 モーメンテイブ・パーフオーマンス・マテリアルズ・ゲゼルシヤフト・ミツト・ベシユレンクテル・ハフツング 照射および成型ユニット
US20130068970A1 (en) * 2011-09-21 2013-03-21 Asm Japan K.K. UV Irradiation Apparatus Having UV Lamp-Shared Multiple Process Stations
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9287154B2 (en) * 2012-06-01 2016-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. UV curing system for semiconductors
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP2014091076A (ja) * 2012-11-02 2014-05-19 Sumitomo Heavy Ind Ltd 基板製造装置
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
DE102013011066A1 (de) * 2013-07-03 2015-01-08 Oerlikon Trading Ag, Trübbach Wärme-Lichttrennung für eine UV-Strahlungsquelle
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
JP6999878B2 (ja) * 2017-10-10 2022-01-19 セイコーエプソン株式会社 印刷装置、及び印刷方法
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
CN108126640A (zh) * 2018-01-23 2018-06-08 北京缔森科技发展有限公司 一种底照式高温高压光化学反应釜
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
EP3867048B1 (en) * 2018-10-16 2023-03-29 Transitions Optical, Ltd. Ultraviolet curing apparatus
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR102247922B1 (ko) * 2019-04-29 2021-05-04 강용훈 경화장치 냉각 시스템
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US20220143657A1 (en) * 2020-11-06 2022-05-12 Jelight Company, Inc. Ultraviolet specimen cleaning apparatus
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3783276A (en) * 1972-06-05 1974-01-01 Instrumentation Specialties Co Dual beam optical system
JPS5855038A (ja) 1981-09-25 1983-04-01 Toshiba Electric Equip Corp 光照射器具
JPS58158914A (ja) * 1982-03-16 1983-09-21 Semiconductor Res Found 半導体製造装置
US4550684A (en) * 1983-08-11 1985-11-05 Genus, Inc. Cooled optical window for semiconductor wafer heating
US4811493A (en) * 1987-08-05 1989-03-14 Burgio Joseph T Jr Dryer-cooler apparatus
US5259883A (en) * 1988-02-16 1993-11-09 Kabushiki Kaisha Toshiba Method of thermally processing semiconductor wafers and an apparatus therefor
JPH01241818A (ja) * 1988-03-24 1989-09-26 Toshiba Corp 光励起膜形成装置
US4949783A (en) * 1988-05-18 1990-08-21 Veeco Instruments, Inc. Substrate transport and cooling apparatus and method for same
JP2731855B2 (ja) * 1989-02-14 1998-03-25 アネルバ株式会社 減圧気相成長装置
US5181556A (en) * 1991-09-20 1993-01-26 Intevac, Inc. System for substrate cooling in an evacuated environment
US5910854A (en) 1993-02-26 1999-06-08 Donnelly Corporation Electrochromic polymeric solid films, manufacturing electrochromic devices using such solid films, and processes for making such solid films and devices
JPH06260410A (ja) * 1993-03-04 1994-09-16 Sony Corp 紫外線照射装置及び紫外線照射方法
DE69405451T2 (de) * 1993-03-16 1998-03-12 Koninkl Philips Electronics Nv Verfahren und Vorrichtung zur Herstellung eines strukturierten Reliefbildes aus vernetztem Photoresist auf einer flachen Substratoberfläche
US5447431A (en) * 1993-10-29 1995-09-05 Brooks Automation, Inc. Low-gas temperature stabilization system
JPH09506744A (ja) * 1993-12-17 1997-06-30 ブルックス オートメーション インコーポレイテッド ウェハの加熱冷却装置
US5561735A (en) * 1994-08-30 1996-10-01 Vortek Industries Ltd. Rapid thermal processing apparatus and method
JPH09237789A (ja) * 1996-02-29 1997-09-09 Toshiba Corp 遮蔽体および熱処理装置および熱処理方法
JPH10163219A (ja) * 1996-11-05 1998-06-19 Texas Instr Inc <Ti> 挿入シャッタを使用した炉温急昇降装置とデバイス製造方法
DE19650561A1 (de) * 1996-12-05 1998-06-10 Oase Pumpen Vorrichtung zur Behandlung von Flüssigkeiten
US5960158A (en) * 1997-07-11 1999-09-28 Ag Associates Apparatus and method for filtering light in a thermal processing chamber
US5937541A (en) * 1997-09-15 1999-08-17 Siemens Aktiengesellschaft Semiconductor wafer temperature measurement and control thereof using gas temperature measurement
US7070106B2 (en) 1998-03-24 2006-07-04 Metrologic Instruments, Inc. Internet-based remote monitoring, configuration and service (RMCS) system capable of monitoring, configuring and servicing a planar laser illumination and imaging (PLIIM) based network
US6129954A (en) 1998-12-22 2000-10-10 General Electric Company Method for thermally spraying crack-free mullite coatings on ceramic-based substrates
DE19916474A1 (de) * 1999-04-13 2000-10-26 Ist Metz Gmbh Bestrahlungsgerät
US6259062B1 (en) * 1999-12-03 2001-07-10 Asm America, Inc. Process chamber cooling
US6759098B2 (en) 2000-03-20 2004-07-06 Axcelis Technologies, Inc. Plasma curing of MSQ-based porous low-k film materials
US6755518B2 (en) * 2001-08-30 2004-06-29 L&P Property Management Company Method and apparatus for ink jet printing on rigid panels
US6594446B2 (en) * 2000-12-04 2003-07-15 Vortek Industries Ltd. Heat-treating methods and systems
TW559616B (en) * 2003-01-22 2003-11-01 Senno Technology Inc Ultraviolet-and-ozone disinfection apparatus having improvement on disinfection effect
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
KR101238142B1 (ko) * 2003-04-10 2013-02-28 가부시키가이샤 니콘 액침 리소그래피 장치용 운반 영역을 포함하는 환경 시스템
JP4760708B2 (ja) * 2004-06-09 2011-08-31 株式会社ニコン 露光装置、露光方法、及びデバイス製造方法、メンテナンス方法
WO2005124835A1 (ja) * 2004-06-21 2005-12-29 Nikon Corporation 露光装置及びデバイス製造方法
US20060286306A1 (en) 2005-06-17 2006-12-21 Asm Japan K.K. Method of producing advanced low dielectric constant film by UV light emission
US7589336B2 (en) * 2006-03-17 2009-09-15 Applied Materials, Inc. Apparatus and method for exposing a substrate to UV radiation while monitoring deterioration of the UV source and reflectors
US7527695B2 (en) * 2006-06-21 2009-05-05 Asahi Glass Company, Limited Apparatus and method for cleaning substrate
US20080220619A1 (en) 2007-03-09 2008-09-11 Asm Japan K.K. Method for increasing mechanical strength of dielectric film by using sequential combination of two types of uv irradiation

Also Published As

Publication number Publication date
US20080230721A1 (en) 2008-09-25
JP2008244481A (ja) 2008-10-09
US7763869B2 (en) 2010-07-27
KR20080086817A (ko) 2008-09-26
TW200845204A (en) 2008-11-16

Similar Documents

Publication Publication Date Title
JP5026317B2 (ja) 液体フィルタを有する紫外線照射装置及び方法
US20130068970A1 (en) UV Irradiation Apparatus Having UV Lamp-Shared Multiple Process Stations
JP4971262B2 (ja) 半導体基板をキュアリングするために紫外線照射を管理する方法
US7964858B2 (en) Ultraviolet reflector with coolant gas holes and method
US8203126B2 (en) Apparatus and method for exposing a substrate to a rotating irradiance pattern of UV radiation
US8597011B2 (en) Apparatus and method for exposing a substrate to UV radiation using a reflector having both elliptical and parabolic reflective sections
US8624210B2 (en) Ozone plenum as UV shutter or tunable UV filter for cleaning semiconductor substrates
KR101896607B1 (ko) 나노큐어 자외선 챔버용 석영 샤워헤드
US7692171B2 (en) Apparatus and method for exposing a substrate to UV radiation using asymmetric reflectors
JP3877157B2 (ja) 基板処理装置
US9287154B2 (en) UV curing system for semiconductors
CN101208770B (zh) 用于处理介电材料的设备和方法
US20070257205A1 (en) Apparatus and method for treating a substrate with uv radiation using primary and secondary reflectors
US20090093135A1 (en) Semiconductor manufacturing apparatus and method for curing material with uv light
KR20080026069A (ko) 자외선 조사 챔버의 세정방법
JP4830878B2 (ja) 真空紫外線モニタ及びそれを用いた真空紫外線照射装置
US20090093134A1 (en) Semiconductor manufacturing apparatus and method for curing materials with uv light
JPH07245274A (ja) 熱処理装置
WO2022137725A1 (ja) 基板処理装置、基板処理方法、および紫外線照射ユニット
TW200421433A (en) Substrate processing equipment
JPH08257554A (ja) 紫外線照射装置および水処理装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110317

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120125

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120126

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120316

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120611

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120620

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150629

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 5026317

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250