JP5008691B2 - Plasma processing method - Google Patents

Plasma processing method Download PDF

Info

Publication number
JP5008691B2
JP5008691B2 JP2009089397A JP2009089397A JP5008691B2 JP 5008691 B2 JP5008691 B2 JP 5008691B2 JP 2009089397 A JP2009089397 A JP 2009089397A JP 2009089397 A JP2009089397 A JP 2009089397A JP 5008691 B2 JP5008691 B2 JP 5008691B2
Authority
JP
Japan
Prior art keywords
etching
plasma
layer
gas
photoresist
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2009089397A
Other languages
Japanese (ja)
Other versions
JP2009164626A (en
Inventor
智代 山口
暁志 布瀬
究 藤本
昌伸 本田
一也 永関
明輝 高
隆 榎本
弘治 伊藤
彰規 北村
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2009089397A priority Critical patent/JP5008691B2/en
Publication of JP2009164626A publication Critical patent/JP2009164626A/en
Application granted granted Critical
Publication of JP5008691B2 publication Critical patent/JP5008691B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Description

本発明は、半導体装置の製造工程でなされるプラズマ処理方法に関する。   The present invention relates to a plasma processing method performed in a manufacturing process of a semiconductor device.

エッチング対象層をプラズマエッチングする際にはフォトレジスト等のレジストマスクが用いられている。特に最近では微細加工の要請に応えて約0.13μm以下の開口パターンを形成するのに適したArFフオトレジストやF2フォトレジスト、すなわち、ArFガスやFガスを発光源としたレーザー光で露光するフォトレジストがよく使用されている。 When performing plasma etching on the etching target layer, a resist mask such as a photoresist is used. Especially recently ArF photoresist or F2 photoresist suitable for forming about 0.13μm below the aperture pattern in response to the request of microfabrication, i.e., exposure to ArF gas or F 2 gas laser light with the light emitting source Photoresists are often used.

しかし、ArFフォトレジスト層やF2フォトレジスト層は耐プラズマ性が低いため、エッチング途中でフオトレジスト層の表面が荒れてしまうという問題がある。フォトレジスト層の表面が荒れてしまうことで、エッチングの進行とともに開口部の形状が変化して、設計した形状のエッチング孔やエッチング溝が形成できなくなってしまう。また、エッチング途中で、フォトレジスト層がなくなる箇所ができ、本来エッチングしたくない箇所もエッチングされてしまう。   However, since the ArF photoresist layer and the F2 photoresist layer have low plasma resistance, there is a problem that the surface of the photoresist layer becomes rough during etching. Since the surface of the photoresist layer becomes rough, the shape of the opening changes as the etching progresses, and the designed shape of the etching hole and the etching groove cannot be formed. In addition, a portion where the photoresist layer disappears is formed in the middle of etching, and a portion which is not originally desired to be etched is also etched.

フォトレジスト層の耐プラズマ性を向上させる方法として、フォトレジスト層表面に紫外線、電子線やイオンビームを照射する方法(特許文献1、2、3)、フォトレジストを加熱硬化する方法(特許文献4)や有機Si化合物に熱や光のエネルギーを与えて薄い硬化層をフォトレジスト層表面にコーティングする方法(特許文献5)がある。   As a method for improving the plasma resistance of the photoresist layer, a method of irradiating the surface of the photoresist layer with ultraviolet rays, an electron beam or an ion beam (Patent Documents 1, 2, and 3), and a method of heating and curing the photoresist (Patent Document 4). And a method of coating the surface of the photoresist layer with a thin hardened layer by applying heat or light energy to the organic Si compound (Patent Document 5).

上記のフォトレジスト層の耐プラズマ性を向上させる方法では、その後のエッチング工程で使用する容器とは別の容器内で耐プラズマ性の向上処理を行わなければならない。フォトレジスト層の耐プラズマ性の向上処理を行う容器からエッチング容器へ被処理体を搬送することは、搬送工程での歩留まりの低下や搬送時間によるスループットの低下を招く。さらに、耐プラズマ性の向上処理を行う容器をエッチング容器と別に設けることは、余分なスペースが必要であるばかりでなくコストアップを招く。   In the method for improving the plasma resistance of the photoresist layer, the plasma resistance improvement treatment must be performed in a container different from the container used in the subsequent etching process. Conveying the object to be processed from the container for improving the plasma resistance of the photoresist layer to the etching container causes a decrease in yield in the conveyance process and a decrease in throughput due to the conveyance time. Furthermore, providing the container for performing the plasma resistance improving process separately from the etching container not only requires an extra space, but also increases the cost.

また、耐プラズマ性の向上処理を行う容器をエッチング容器と別に設けず、エッチング容器に紫外線照射手段や加熱手段を付加することも可能だが、紫外線照射手段や加熱手段が必要であることには変わりなく、やはりコストアップを招いてしまう。   It is also possible to add an ultraviolet irradiation means and a heating means to the etching container without providing a container for improving the plasma resistance separately from the etching container, but the ultraviolet irradiation means and the heating means are necessary. There is still a cost increase.

一方、エッチング対象部を直接フォトレジスト層で覆うと、その後のフォトレジスト層を露光・現像して開口パターンを形成する工程で、開口パターンの設計寸法精度が落ちてしまう。このため、エッチング対象部とフォトレジストマスク層の間に反射防止層を挿入している。この反射防止層をCとFとを有する物質を含むガス、例えば、CとOの混合ガス、HBrとCFとHeの混合ガス、CHとCFとHeの混合ガスのプラズマでエッチングすることが提案されている(特許文献6)。反射防止層をエッチングするエッチングガスとしては、例えばCFとOとの混合ガスも知られている(特許文献7)。 On the other hand, if the portion to be etched is directly covered with a photoresist layer, the design dimension accuracy of the opening pattern is reduced in the step of exposing and developing the subsequent photoresist layer to form the opening pattern. For this reason, an antireflection layer is inserted between the etching target portion and the photoresist mask layer. This antireflection layer is a gas containing a substance containing C and F, for example, a mixed gas of C 4 F 8 and O 2, a mixed gas of HBr, CF 4 and He, a mixture of CH 2 F 2 , CF 4 and He. Etching with a plasma of gas has been proposed (Patent Document 6). As an etching gas for etching the antireflection layer, for example, a mixed gas of CF 4 and O 2 is also known (Patent Document 7).

しかしながら、反射防止層をCとOの混合ガスやCFとOの混合ガスのプラズマでエッチングした場合は、ArFレジスト層の表面が荒れたり、ArFレジスト層に縦筋が入ったり、マスク層であるArFフォトレジスト層も相当量エッチングされてしまいマスクとしての機能を果たせなくなることもある。 However, when the antireflection layer is etched with a plasma of a mixed gas of C 4 F 8 and O 2 or a mixed gas of CF 4 and O 2 , the surface of the ArF resist layer becomes rough or vertical stripes are formed in the ArF resist layer. Alternatively, the ArF photoresist layer, which is the mask layer, may be etched by a considerable amount and may not function as a mask.

特開平60−110124号公報JP-A-60-110124 特開平2−252233号公報JP-A-2-252233 特開昭57−157523号公報JP-A-57-157523 特開平4−23425号公報JP-A-4-23425 特開平2−40914号公報Japanese Patent Laid-Open No. 2-40914 特開平10−26162号公報JP-A-10-26162 特開平7−307328号公報Japanese Patent Laid-Open No. 7-307328

本発明の目的は、歩留まりの低下やスループットの低下をもたらさずに、かつコストアップを招くことなく、ArFフォトレジスト層等の有機層の耐エッチング性を向上させることができるプラズマ処理方法を提供することにある。
また、このようにして有機層の耐エッチング性を向上させつつプラズマエッチングを行うことができるプラズマ処理方法を提供することにある。
さらに、反射防止層やその下地のエッチング対象層をエッチングする際に、ArFフォトレジスト層やF2フォトレジスト層等のマスク層の耐プラズマ性を高く維持することができるプラズマ処理方法を提供することにある。
さらまた、ArFフォトレジスト層やF2フォトレジスト層等のマスク層の表面荒れを抑えながら、かつ良好なエッチング選択比を維持しながら、大きなエッチングレートで下地の反射防止層やエッチング対象層をエッチングすることができるプラズマ処理方法を提供することにある。
An object of the present invention is to provide a plasma processing method capable of improving the etching resistance of an organic layer such as an ArF photoresist layer without causing a decrease in yield or throughput and without causing an increase in cost. There is.
Another object of the present invention is to provide a plasma processing method capable of performing plasma etching while improving the etching resistance of the organic layer.
Furthermore, the present invention provides a plasma processing method capable of maintaining high plasma resistance of a mask layer such as an ArF photoresist layer or an F2 photoresist layer when etching an antireflection layer or an underlying etching target layer. is there.
Furthermore, the antireflection layer and the etching target layer are etched at a high etching rate while suppressing the surface roughness of the mask layer such as the ArF photoresist layer and the F2 photoresist layer and maintaining a good etching selectivity. An object of the present invention is to provide a plasma processing method that can perform the above-described process.

本発明の第1の観点によれば、エッチング対象層と、前記エッチング対象層を覆う有機反射防止膜と、前記有機反射防止膜を覆う開口パターンが形成されたArFフォトレジストまたはF2フォトレジストからなるフォトレジスト層とを有する被処理体を、Siを含む物質の露出部を有する構成部材を備えた処理容器内に配置する工程と、前記処理容器内にエッチングガスを導入する工程と、前記エッチングガスをプラズマ化し、前記フォトレジスト層の開口パターンを通して前記有機反射防止膜をエッチングする工程と、前記処理容器内にH、NおよびHeからなる群から選択された少なくとも1種の処理ガスを導入する工程と、前記処理ガスをプラズマ化して、前記フォトレジスト層をプラズマ処理する工程とを有し、前記フォトレジスト層をプラズマ処理する工程において、前記処理ガスのプラズマと、そのプラズマにより前記Siを含む物質の露出部を有する構成部材から供給されるSiとにより、前記フォトレジスト層表面に耐プラズマ性を有する保護膜が形成されるプラズマ処理方法が提供される。 According to a first aspect of the present invention, an etching target layer, an organic antireflection film covering the etching target layer, and an ArF photoresist or F2 photoresist formed with an opening pattern covering the organic antireflection film are formed. A step of disposing an object to be processed having a photoresist layer in a processing container having a constituent member having an exposed portion of a substance containing Si; a step of introducing an etching gas into the processing container; and the etching gas And etching the organic antireflection film through the opening pattern of the photoresist layer, and introducing at least one processing gas selected from the group consisting of H 2 , N 2 and He into the processing container a step of, the process gas into a plasma, the photoresist layer have a the step of plasma processing, the photo In the step of plasma-treating the dyst layer, the surface of the photoresist layer has plasma resistance due to the plasma of the processing gas and Si supplied from a constituent member having an exposed portion of the substance containing Si by the plasma. A plasma processing method is provided in which a protective film is formed .

本発明の第2の観点によれば、エッチング対象層と、前記エッチング対象層を覆う有機反射防止膜と、前記有機反射防止膜を覆う開口パターンが形成されたArFフォトレジストまたはF2フォトレジストからなるフォトレジスト層とを有する被処理体を、Siを含む物質の露出部を有する構成部材を備えた処理容器内に配置する工程と、前記処理容器内にHを導入する工程と、導入されたHをプラズマ化し、前記フォトレジスト層の開口パターンを通して前記有機反射防止膜をエッチングする工程とを有し、前記有機反射防止膜をエッチングする工程において、H プラズマと、そのプラズマにより前記Siを含む物質の露出部を有する構成部材から供給されるSiとにより、前記フォトレジスト層表面に耐プラズマ性を有する保護膜が形成されるプラズマ処理方法が提供される。 According to a second aspect of the present invention, an etching target layer, an organic antireflection film covering the etching target layer, and an ArF photoresist or F2 photoresist formed with an opening pattern covering the organic antireflection film are formed. A step of disposing an object to be processed having a photoresist layer in a processing container provided with a constituent member having an exposed portion of a substance containing Si; a step of introducing H 2 into the processing container; of H 2 into plasma, possess and etching the organic anti-reflective film through the opening pattern of the photoresist layer, in the step of etching the organic anti-reflective film, and H 2 plasma, the Si by plasma thereof Protection having plasma resistance on the surface of the photoresist layer by Si supplied from a constituent member having an exposed portion of the contained substance There plasma processing method to be formed is provided.

本発明の第3の観点によれば、処理容器の中にあるサセプタに、エッチング対象層とこのエッチング対象層を覆い開口が形成されたArFフォトレジストまたはF2フォトレジストからなるフォトレジスト層とを有する被処理体を載置する工程と、前記処理容器内に前記被処理体と表面の少なくとも一部がSiである部材とが存在する下で前記処理容器の中に不活性ガスを入れる工程と、前記不活性ガスの少なくとも一部をイオン化する高周波エネルギーを前記処理容器内に導入して、生成されたイオンにより前記少なくとも一部がSiである部材をスパッタし、前記フォトレジスト層の表面に耐プラズマ性を有するSi含有層を形成する工程と、前記処理容器の中にエッチングガスを導入する工程と、そのエッチングガスをプラズマ化する工程と、前記エッチングガスのプラズマにより、前記処理容器の中で前記フォトレジスト層の開口パターンを通して前記エッチング対象層をエッチングする工程とを有するプラズマ処理方法が提供される。 According to the third aspect of the present invention, the susceptor in the processing container has an etching target layer and a photoresist layer made of an ArF photoresist or F2 photoresist that covers the etching target layer and has an opening. Placing the object to be processed, and placing an inert gas into the processing container under the presence of the object to be processed and a member having at least part of the surface of Si in the processing container; High-frequency energy that ionizes at least a part of the inert gas is introduced into the processing container, and a member having at least a part of Si is sputtered by the generated ions, and a plasma-resistant surface is formed on the surface of the photoresist layer. forming a Si-containing layer having a sex, a step of introducing an etching gas into the processing container, which plasma the etching gas And degree, the plasma of the etching gas, a plasma processing method and a step of etching the etching target layer through the opening pattern of the photoresist layer in the processing chamber is provided.

本発明の第の観点によれば、エッチング対象層と、このエッチング対象層を覆う有機反射防止と、この有機反射防止を覆う開口パターンが形成されたArFフォトレジストまたはF2フォトレジストからなるフォトレジスト層とを有する被処理体を処理容器内に配置する工程と、この処理容器内に、Si含有ガス単独、またはSi含有ガスとCHF 、HeおよびH から選択された少なくとも1種のガスとからなるエッチングガスを導入する工程と、このエッチングガスをプラズマ化し、前記フォトレジスト層の開口パターンを通して有機反射防止をエッチングするとともに、前記エッチングガス中のSiにより前記フォトレジスト層の表面にSiを含む硬化層を形成する工程と、前記有機反射防止膜をエッチングする工程の後に、さらに、前記フォトレジスト層の開口パターンを通して前記エッチング対象層をプラズマエッチングする工程とを有するプラズマ処理方法が提供される。 According to a fourth aspect of the present invention, an etching target layer, an organic antireflection film covering the etching target layer, and an ArF photoresist or F2 photoresist formed with an opening pattern covering the organic antireflection film are formed. A step of disposing an object to be processed having a photoresist layer in the processing container; and in the processing container , the Si-containing gas alone or at least one selected from Si-containing gas and CHF 3 , He and H 2 A step of introducing an etching gas composed of a gas, and converting the etching gas into plasma, etching the organic antireflection film through the opening pattern of the photoresist layer, and forming Si on the surface of the photoresist layer by Si in the etching gas. forming a cured layer containing Si, after the step of etching the organic antireflective film Further, plasma processing method and a step of plasma etching the etching target layer through the opening pattern of the photoresist layer is provided.

本発明によれば、余分な工程を必要とすることなく、ArFフォトレジストまたはF2フォトレジストからなるフォトレジスト層の耐プラズマ性を高く維持することが可能となる。   According to the present invention, it is possible to maintain high plasma resistance of a photoresist layer made of ArF photoresist or F2 photoresist without requiring an extra step.

本発明のプラズマ処理方法が実施可能なプラズマ処理装置の一例を示す断面図。Sectional drawing which shows an example of the plasma processing apparatus which can implement the plasma processing method of this invention. 本発明のプラズマ処理方法が実施可能なプラズマ処理装置の他の例を示す断面図。Sectional drawing which shows the other example of the plasma processing apparatus which can implement the plasma processing method of this invention. 本発明の第1の実施形態の実施に用いる被処理体を模式的に示す断面図。Sectional drawing which shows typically the to-be-processed object used for implementation of the 1st Embodiment of this invention. 本発明の第2の実施形態の実施に用いる被処理体の状態を工程順に模式的に示す断面図。Sectional drawing which shows typically the state of the to-be-processed object used for implementation of the 2nd Embodiment of this invention in order of a process. 本発明の第2の実施形態の実施に用いる被処理体の状態を工程順に模式的に示す断面図。Sectional drawing which shows typically the state of the to-be-processed object used for implementation of the 2nd Embodiment of this invention in order of a process. 本発明の第3の実施形態の実施に用いる被処理体を状態を工程順に模式的に示す断面図。Sectional drawing which shows a to-be-processed object used for implementation of the 3rd Embodiment of this invention typically in order of a process. 本発明の第3の実施形態の実施に用いる被処理体を状態を工程順に模式的に示す断面図。Sectional drawing which shows a to-be-processed object used for implementation of the 3rd Embodiment of this invention typically in order of a process. 本発明の第4の実施形態の実施に用いる被処理体の状態を工程順に模式的に示す断面図。Sectional drawing which shows typically the state of the to-be-processed object used for implementation of the 4th Embodiment of this invention in order of a process. 本発明の第4の実施形態の実施に用いる被処理体の状態を工程順に模式的に示す断面図。Sectional drawing which shows typically the state of the to-be-processed object used for implementation of the 4th Embodiment of this invention in order of a process. 本発明の第4の実施形態の実施に用いる被処理体の状態を工程順に模式的に示す断面図。Sectional drawing which shows typically the state of the to-be-processed object used for implementation of the 4th Embodiment of this invention in order of a process. 本発明の第5の実施形態の実施に用いる被処理体の状態を工程順に模式的に示す断面図。Sectional drawing which shows typically the state of the to-be-processed object used for implementation of the 5th Embodiment of this invention in order of a process. 本発明の第5の実施形態の実施に用いる被処理体の状態を工程順に模式的に示す断面図。Sectional drawing which shows typically the state of the to-be-processed object used for implementation of the 5th Embodiment of this invention in order of a process. 本発明の第5の実施形態の実施に用いる被処理体の状態を工程順に模式的に示す断面図。Sectional drawing which shows typically the state of the to-be-processed object used for implementation of the 5th Embodiment of this invention in order of a process. 本発明の第5の実施形態の一連の工程を示すフローチャート。The flowchart which shows a series of processes of the 5th Embodiment of this invention. 本発明の第5の実施形態の変形例の実施に用いる被処理体の状態を工程順に模式的に示す断面図。Sectional drawing which shows typically the state of the to-be-processed object used for implementation of the modification of the 5th Embodiment of this invention in order of a process. 本発明の第5の実施形態の変形例の実施に用いる被処理体の状態を工程順に模式的に示す断面図。Sectional drawing which shows typically the state of the to-be-processed object used for implementation of the modification of the 5th Embodiment of this invention in order of a process. 本発明の第5の実施形態の変形例の実施に用いる被処理体の状態を工程順に模式的に示す断面図。Sectional drawing which shows typically the state of the to-be-processed object used for implementation of the modification of the 5th Embodiment of this invention in order of a process. 本発明の第5の実施形態の変形例における一連の工程を示すフローチャート。The flowchart which shows a series of processes in the modification of the 5th Embodiment of this invention. 本発明の第5の実施形態の実施例におけるプラズマ処理の効果を示すチャート。The chart which shows the effect of the plasma processing in the Example of the 5th Embodiment of this invention. 本発明の第5の実施形態の実施例におけるプラズマ処理の効果を示すチャート。The chart which shows the effect of the plasma processing in the Example of the 5th Embodiment of this invention. 本発明の第6の実施形態の実施に用いる被処理体を模式的に示す断面図。Sectional drawing which shows typically the to-be-processed object used for implementation of the 6th Embodiment of this invention. 本発明の第7の実施形態の実施に用いる被処理体の状態を工程順に模式的に示す断面図。Sectional drawing which shows typically the state of the to-be-processed object used for implementation of the 7th Embodiment of this invention in order of a process. 本発明の第7の実施形態の実施に用いる被処理体の状態を工程順に模式的に示す断面図。Sectional drawing which shows typically the state of the to-be-processed object used for implementation of the 7th Embodiment of this invention in order of a process. 本発明の第8の実施形態の実施に用いる被処理体の状態を工程順に模式的に示す断面図。Sectional drawing which shows typically the state of the to-be-processed object used for implementation of the 8th Embodiment of this invention in process order. 本発明の第8の実施形態の実施に用いる被処理体の状態を工程順に模式的に示す断面図。Sectional drawing which shows typically the state of the to-be-processed object used for implementation of the 8th Embodiment of this invention in process order. 本発明の第9の実施形態の実施に用いる被処理体を模式的に示す断面図。Sectional drawing which shows typically the to-be-processed object used for implementation of the 9th Embodiment of this invention. 本発明の第10の実施形態の実施に用いる被処理体の状態を工程順に模式的に示す断面図。Sectional drawing which shows typically the state of the to-be-processed object used for implementation of the 10th Embodiment of this invention in order of a process. 本発明の第10の実施形態の実施に用いる被処理体の状態を工程順に模式的に示す断面図。Sectional drawing which shows typically the state of the to-be-processed object used for implementation of the 10th Embodiment of this invention in order of a process.

以下、添付図面を参照して本発明の実施の形態について説明する。
図1は、本発明のプラズマ処理方法が実施可能なプラズマ処理装置の一例を示す断面図である。
Hereinafter, embodiments of the present invention will be described with reference to the accompanying drawings.
FIG. 1 is a cross-sectional view showing an example of a plasma processing apparatus capable of performing the plasma processing method of the present invention.

このプラズマ処理装置1は、処理容器2を有する。処理容器2は金属、例えば、表面が酸化処理されたアルミニウムにより形成されていて、保安接地されている。処理容器2内の底部には絶縁体3を介して、平行平板電極の下部電極として機能するサセプタ5が設けられている。このサセプタ5には、ハイパスフィルタ(HPF)6が接続されており、さらに、整合器51を介して第2の高周波電源50が接続されている。サセプタ5の上には静電チャック11が設けられ、その上には半導体ウエハ等の被処理体Wが載置される。   The plasma processing apparatus 1 has a processing container 2. The processing container 2 is made of metal, for example, aluminum whose surface is oxidized, and is grounded for safety. A susceptor 5 that functions as a lower electrode of a parallel plate electrode is provided at the bottom of the processing container 2 via an insulator 3. A high-pass filter (HPF) 6 is connected to the susceptor 5, and a second high frequency power supply 50 is connected via a matching unit 51. An electrostatic chuck 11 is provided on the susceptor 5, and a workpiece W such as a semiconductor wafer is placed thereon.

静電チャック11は、絶縁体間に電極12が介在された構成をしており、電極12に接続された直流電源13から直流電圧を印加することにより、被処理体Wを静電吸着する。そして、被処理体Wを囲むようにアルミナ、SiやSiO等からなるフォーカスリング15が配置されていて、エッチングの均一性を向上させている。 The electrostatic chuck 11 has a configuration in which an electrode 12 is interposed between insulators, and electrostatically attracts the workpiece W by applying a DC voltage from a DC power supply 13 connected to the electrode 12. A focus ring 15 made of alumina, Si, SiO 2 or the like is disposed so as to surround the object to be processed W, thereby improving etching uniformity.

また、サセプタ5の上方には、サセプタ5と対向するようにSi、SiOやアモルファスカーボン等からなるシャワーヘッド状の上部電極板24が支持体25に支持されて設けられている。上部電極板24と支持体25でサセプタ5に対向する平行平板電極の上部電極21を構成している。上部電極21には、ローパスフィルター42が接続されており、さらに整合器41を介して第1の高周波電源40が接続されている。 Above the susceptor 5, a showerhead-like upper electrode plate 24 made of Si, SiO 2 , amorphous carbon, or the like is supported by a support body 25 so as to face the susceptor 5. The upper electrode plate 24 and the support body 25 constitute a parallel plate electrode upper electrode 21 facing the susceptor 5. A low-pass filter 42 is connected to the upper electrode 21, and a first high-frequency power source 40 is connected via a matching unit 41.

上部電極21の上面の中央にはガス導入口26が設けられ、このガス導入口26にはガス供給管27が接続されており、このガス供給管27には、ガス導入口26側から順に、バルブ28、マスフローコントローラ29、処理ガス供給源30が接続されている。この処理ガス供給源30からは所定の処理ガスが供給される。   A gas introduction port 26 is provided at the center of the upper surface of the upper electrode 21, and a gas supply pipe 27 is connected to the gas introduction port 26. The gas supply pipe 27 is sequentially connected to the gas introduction port 26 from the side. A valve 28, a mass flow controller 29, and a processing gas supply source 30 are connected. A predetermined processing gas is supplied from the processing gas supply source 30.

一方、処理容器2の底部には排気管31が接続されており、この排気管31には排気装置35が接続されている。また、処理容器2の側壁にはゲートバルブ32があり、被処理体Wが、隣接するロードロック室(図示せず)との間で搬送されるようになっている。   On the other hand, an exhaust pipe 31 is connected to the bottom of the processing container 2, and an exhaust device 35 is connected to the exhaust pipe 31. Further, a gate valve 32 is provided on the side wall of the processing container 2 so that the object to be processed W is transported between adjacent load lock chambers (not shown).

このように構成される装置においては、まず、ゲートバルブ32を開放して、被処理体Wを処理容器2内に搬入し、静電チャック11上に配置する。次いで、ゲートバルブ32を閉じ、排気装置35によって処理容器2内を減圧した後、バルブ28を開放し、エッチングガス供給源30から所定の処理ガスを供給し処理容器2内の圧力を所定の値とする。   In the apparatus configured as described above, first, the gate valve 32 is opened, and the workpiece W is carried into the processing container 2 and placed on the electrostatic chuck 11. Next, after the gate valve 32 is closed and the inside of the processing container 2 is depressurized by the exhaust device 35, the valve 28 is opened, a predetermined processing gas is supplied from the etching gas supply source 30, and the pressure in the processing container 2 is set to a predetermined value. And

この状態で第1、第2の高周波電源40、50から高周波電力を供給して処理ガスをプラズマ化し、被処理体Wの所定の膜に対するプラズマ処理(耐プラズマ性向上処理またはプラズマエッチング)を実施する。この場合に、第1、第2の高周波電源40、50から高周波電力を供給するタイミングの前後に、静電チャック11内の電極12に直流電圧を印加して、被処理体Wを静電チャック11上に静電吸着させ、この状態で所定のプラズマ処理を実施する。   In this state, high-frequency power is supplied from the first and second high-frequency power sources 40 and 50 to turn the processing gas into plasma, and plasma processing (plasma resistance improvement processing or plasma etching) is performed on a predetermined film of the workpiece W. To do. In this case, a DC voltage is applied to the electrode 12 in the electrostatic chuck 11 before and after the timing of supplying the high-frequency power from the first and second high-frequency power supplies 40 and 50, so that the workpiece W is electrostatically chucked. 11 is electrostatically adsorbed and a predetermined plasma treatment is performed in this state.

図2は、本発明が実施されるプラズマ処理装置の他の例を示す断面図である。
このプラズマ処理装置61は、処理容器62を有する。処理容器62は小径の上部62aと大径の下部62bとからなる段つき円筒状をなし、金属、例えば、表面が酸化処理されたアルミニウムにより形成されていて接地されている。処理容器62内の底部には絶縁体63を介して、平行平板電極の下部電極として機能する導電性材料、例えば表面が酸化処理されたアルミニウムからなるサセプタ65が設けられている。サセプタ65の上には静電チャック71が設けられ、その上には半導体ウエハ等の被処理体Wが載置される。
FIG. 2 is a cross-sectional view showing another example of a plasma processing apparatus in which the present invention is implemented.
The plasma processing apparatus 61 has a processing container 62. The processing container 62 has a stepped cylindrical shape composed of a small-diameter upper portion 62a and a large-diameter lower portion 62b, and is formed of metal, for example, aluminum whose surface is oxidized and grounded. A susceptor 65 made of a conductive material functioning as a lower electrode of the parallel plate electrode, for example, aluminum whose surface is oxidized, is provided at the bottom of the processing container 62 via an insulator 63. An electrostatic chuck 71 is provided on the susceptor 65, and a workpiece W such as a semiconductor wafer is placed thereon.

静電チャック71は、絶縁体間に電極72が介在された構成をしており、電極72に接続された直流電源73を印加することにより、被処理体Wを静電吸着する。そして、被処理体Wを囲むようにSiやSiO等からなるフォーカスリング75が配置されていて、エッチングの均一性を向上させている。 The electrostatic chuck 71 has a configuration in which an electrode 72 is interposed between insulators, and electrostatically attracts the workpiece W by applying a DC power source 73 connected to the electrode 72. A focus ring 75 made of Si, SiO 2 or the like is disposed so as to surround the object to be processed W, thereby improving etching uniformity.

また、サセプタ65の上方には、サセプタ65と対向するようにシャワーヘッド状のSi等からなる上部電極板81が処理容器62の上部に支持されて設けられている。処理容器62がサセプタに対向する平行平板型電極としても機能している。処理容器62の上部62aの周囲には、マルチポールリング磁石82が設けられている。   Further, above the susceptor 65, an upper electrode plate 81 made of Si or the like in the form of a shower head is supported on the upper portion of the processing container 62 so as to face the susceptor 65. The processing vessel 62 also functions as a parallel plate electrode facing the susceptor. A multipole ring magnet 82 is provided around the upper part 62 a of the processing container 62.

処理容器62の上面の中央にはガス導入口86が設けられ、このガス導入口86にはガス供給管87が接続されており、このガス供給管87には、ガス導入口86側から順に、バルブ88、マスフローコントローラ89、処理ガス供給源90が接続されている。この処理ガス供給源90からは所定の処理ガスが供給される。   A gas introduction port 86 is provided at the center of the upper surface of the processing vessel 62, and a gas supply pipe 87 is connected to the gas introduction port 86. The gas supply pipe 87 is sequentially connected to the gas introduction port 86 from the side. A valve 88, a mass flow controller 89, and a processing gas supply source 90 are connected. A predetermined processing gas is supplied from the processing gas supply source 90.

一方、処理容器62の底部には排気管91が接続されており、この排気管91には排気装置95が接続されている。また、処理容器62の側壁にはゲートバルブ(図示せず)が設けられており、被処理体Wが、隣接するロードロック室(図示せず)との間で搬送されるようになっている。   On the other hand, an exhaust pipe 91 is connected to the bottom of the processing vessel 62, and an exhaust device 95 is connected to the exhaust pipe 91. Further, a gate valve (not shown) is provided on the side wall of the processing container 62 so that the object to be processed W is transported between adjacent load lock chambers (not shown). .

下部電極であるサセプタ65には、整合器100を介して第1の高周波電源101と第2の高周波電源102が接続されている。第1、第2の高周波電源101,102の周波数はそれぞれ例えば100MHzと3.2MHzである。
このように構成される装置においては、まず、ゲートバルブ(図示せず)を開放して、被処理体Wを処理容器62内に搬入し、静電チャック71上に配置する。次いで、ゲートバルブを閉じ、排気装置95によって処理容器62内を減圧した後、バルブ88を開放し、エッチングガス供給源90から所定の処理ガスを供給し処理容器62内の圧力を所定の値とする。
A first high-frequency power source 101 and a second high-frequency power source 102 are connected to the susceptor 65 serving as the lower electrode via a matching unit 100. The frequencies of the first and second high frequency power supplies 101 and 102 are, for example, 100 MHz and 3.2 MHz, respectively.
In the apparatus configured as above, first, a gate valve (not shown) is opened, and the workpiece W is carried into the processing container 62 and placed on the electrostatic chuck 71. Next, after closing the gate valve and reducing the pressure inside the processing container 62 by the exhaust device 95, the valve 88 is opened, and a predetermined processing gas is supplied from the etching gas supply source 90 so that the pressure in the processing container 62 becomes a predetermined value. To do.

この状態で第1、第2の高周波電源101、102から高周波電力を供給して処理ガスをプラズマ化し、被処理体Wの所定の膜に対するプラズマ処理(耐プラズマ性向上処理またはプラズマエッチング)を実施する。この場合に、第1、第2の高周波電源101、102から高周波電力を供給するタイミングの前後に、静電チャック71内の電極72に直流電圧を印加して、被処理体Wを静電チャック71上に静電吸着させ、この状態で所定のプラズマ処理を実施する。
次に、本発明のプラズマ処理方法の実施形態について説明する。
In this state, high-frequency power is supplied from the first and second high-frequency power supplies 101 and 102 to convert the processing gas into plasma, and plasma processing (plasma resistance improvement processing or plasma etching) is performed on a predetermined film of the workpiece W. To do. In this case, a DC voltage is applied to the electrode 72 in the electrostatic chuck 71 before and after the timing of supplying the high-frequency power from the first and second high-frequency power supplies 101 and 102, so that the workpiece W is electrostatically chucked. It is made to electrostatically adsorb on 71, and a predetermined plasma process is implemented in this state.
Next, an embodiment of the plasma processing method of the present invention will be described.

(第1の実施形態)
ここでは、図1に示すプラズマ処理装置1を用いて、図3に示すような、エッチング対象層であるSiO膜121とこれを覆うマスク層であるArFフォトレジストまたはF2フォトレジストからなるフォトレジスト層122とを有する被処理体Wにプラズマ照射して、フォトレジスト層122の耐プラズマ性を向上させる工程と、この工程の後にフォトレジスト層122をマスクとしてエッチング対象層121をプラズマエッチングする工程とを実施する。
(First embodiment)
Here, using the plasma processing apparatus 1 shown in FIG. 1, as shown in FIG. 3, a photoresist made of an SiO 2 film 121 that is an etching target layer and an ArF photoresist or F 2 photoresist that is a mask layer covering the SiO 2 film 121. A process of improving the plasma resistance of the photoresist layer 122 by irradiating the workpiece W having the layer 122 with plasma, and a process of plasma etching the etching target layer 121 using the photoresist layer 122 as a mask after this process; To implement.

ArFフォトレジストやF2フォトレジストとしては、脂環族含有アクリル樹脂、シクロオレフィン樹脂、シクロオレフィン−無水マレイン酸樹脂、メタクリル酸樹脂等を使用することができる。   As the ArF photoresist or F2 photoresist, alicyclic-containing acrylic resins, cycloolefin resins, cycloolefin-maleic anhydride resins, methacrylic acid resins, and the like can be used.

まず、ゲートバルブ32を開放して、被処理体Wを処理容器2内に搬入し、静電チャック11上に配置する。次いで、ゲートバルブ32を閉じ、排気装置35によって処理容器2内を減圧した後、バルブ28を開放し、処理ガス供給源30から処理ガス、例えばHを供給し、処理容器2内の圧力を所定の値、好ましくは13.3Pa(100mTorr)以下、例えば6.7Pa(50mTorr)とする。この状態で、上部電極21と下部電極であるサセプタ5に高周波電力を印加し、処理ガスをプラズマ化して被処理体W中のフォトレジス層122にプラズマ照射する。このとき、上下電極に高周波電力を印加するタイミングの前後に、直流電源13を静電チャック11内の電極12に印加して、被処理体Wを静電チャック11上に静電吸着させる。 First, the gate valve 32 is opened, and the workpiece W is carried into the processing container 2 and placed on the electrostatic chuck 11. Next, after closing the gate valve 32 and reducing the pressure inside the processing container 2 by the exhaust device 35, the valve 28 is opened and a processing gas, for example, H 2 is supplied from the processing gas supply source 30, and the pressure inside the processing container 2 is reduced. A predetermined value, preferably 13.3 Pa (100 mTorr) or less, for example, 6.7 Pa (50 mTorr). In this state, high frequency power is applied to the upper electrode 21 and the susceptor 5 which is the lower electrode, the processing gas is turned into plasma, and the photoresist layer 122 in the workpiece W is irradiated with plasma. At this time, the DC power source 13 is applied to the electrode 12 in the electrostatic chuck 11 before and after the timing of applying the high frequency power to the upper and lower electrodes, and the workpiece W is electrostatically adsorbed on the electrostatic chuck 11.

のプラズマに代えて、HとHe、Ne、Ar、Kr、Xeなどの不活性ガスとを含む処理ガスのプラズマや、他のHを有する物質のプラズマ、Hを有する物質と他の物質、例えば不活性ガスとを含む処理ガスのプラズマを照射してもよい。他のHを有する物質としては例えばNHを挙げることができる。これらのガスの照射によって、有機層であるフォトレジスト層122の耐プラズマ性が向上する。詳細なメカニズムは必ずしも明確ではないが、Hを有するプラズマが有機層であるフォトレジスト層122の架橋反応を促進したり、C−O結合やC−H結合がC−C結合に変わることで化学的結合が強化され、耐プラズマ性を向上させているものと考えられる。Hを有する物質としては、取扱いが容易であることから上記HやNHが好ましい。NHはNを有する物質でもあるが、処理ガスとして他のNを有する物質、例えばNを含んでいてもよい。Nも取扱いが容易であるという利点がある。 Instead of the plasma H 2, H 2 and He, Ne, Ar, Kr, plasma or process gas containing an inert gas, such as Xe, substances with other H plasma, material and other with H You may irradiate the plasma of the process gas containing a substance, for example, inert gas. Examples of other H-containing substances include NH 3 . Irradiation with these gases improves the plasma resistance of the photoresist layer 122 which is an organic layer. Although the detailed mechanism is not necessarily clear, the plasma containing H promotes the crosslinking reaction of the photoresist layer 122 which is an organic layer, or the chemical reaction is caused by changing the C—O bond or the C—H bond to the C—C bond. It is thought that the mechanical coupling is strengthened and the plasma resistance is improved. As the substance having H, the above H 2 and NH 3 are preferable because they are easy to handle. NH 3 is a substance having N, but may contain another substance having N as a processing gas, for example, N 2 . N 2 also has an advantage of easy handling.

処理ガスとしてNを有する物質を用いることによってもフォトレジスト層122の耐プラズマ性が向上するからHを有する物質を用いずにNを有する物質を用いてもよい。この場合の耐プラズマが向上する詳細なメカニズムは必ずしも明確ではないが、NとArFフォトレジスト中のCとが結合して、ArFフォトレジスト表面にCN系の保護膜ができ、ArFフォトレジストの耐プラズマ性が向上するものと考えられる。処理ガスにN等のNを有する物質が含まれている場合に、さらにHを有する物質が含まれていることが好ましい。Hの存在によりNとCとの結合が促進されると考えられるからである。Hを有する物質としては、H、CHF、CH、CHFの中から選択される1以上を使用することができる。 A substance having N may be used without using a substance having H because the plasma resistance of the photoresist layer 122 is also improved by using a substance having N as a processing gas. The detailed mechanism for improving plasma resistance in this case is not necessarily clear, but N and C in the ArF photoresist are combined to form a CN-based protective film on the surface of the ArF photoresist. It is considered that the plasma property is improved. In the case where the processing gas contains a substance having N such as N 2, it is preferable that a substance having H is further contained. This is because the presence of H is considered to promote the binding of N and C. As the substance having H, one or more selected from H 2 , CHF 3 , CH 2 F 2 , and CH 3 F can be used.

以上のようにして所定の時間だけプラズマを照射した後、処理ガスの供給および高周波電力の印加を停止する。
この後、処理容器2内の圧力をエッチング工程に適した所定の値、例えば2.0Pa(15mTorr)とし、処理ガス供給源30からエッチングガスを供給する。エッチングガスとしてはフロロカーボンを含むガス、例えばCを含むものが好ましい。具体的な例としてはC+O+Arを挙げることができる。エッチング対象部がSiO層であり、エッチングガスがCを含むガスの場合には、エッチング対象部であるSiO膜121の有機層であるフォトレジスト層122に対する選択比(エッチング対象部のエッチングレート/有機層のエッチングレート)が高い。Cの中でもより選択比の高い直鎖Cが好ましく、その中でも特に1,1,1,4,4,5,5,5−オクタフルオロ−2−ペンチン(以下「2−C」と記載する。)を用いた場合に、上記選択比は極めて大きくなる。また、エッチングガスとしてはCを含むものも好適である。Cを用いることにより、エッチング工程でArFフォトレジスト上にポリマーが堆積されるため、フォトレジストの目減りがなく、所望の開口形状を維持したままエッチングホールを形成することができる。
After the plasma is irradiated for a predetermined time as described above, the supply of the processing gas and the application of the high frequency power are stopped.
Thereafter, the pressure in the processing container 2 is set to a predetermined value suitable for the etching process, for example, 2.0 Pa (15 mTorr), and the etching gas is supplied from the processing gas supply source 30. As an etching gas, a gas containing a fluorocarbon, for example, a gas containing C 5 F 8 is preferable. Specific examples include C 5 F 8 + O 2 + Ar. When the etching target portion is a SiO 2 layer and the etching gas is a gas containing C 5 F 8 , the selectivity of the SiO 2 film 121 that is the etching target portion with respect to the photoresist layer 122 that is the organic layer (the etching target portion). (Etching rate of organic layer / etching rate of organic layer) is high. Among C 5 F 8 , linear C 5 F 8 having a higher selectivity is preferable, and among them, 1,1,1,4,4,5,5,5-octafluoro-2-pentyne (hereinafter referred to as “2- When “C 5 F 8 ” is used, the above selection ratio becomes extremely large. An etching gas containing C 4 F 6 is also suitable. By using C 4 F 6 , the polymer is deposited on the ArF photoresist in the etching process, so that the photoresist is not lost and an etching hole can be formed while maintaining a desired opening shape.

このようにエッチングガスを流すと同時に、上部電極21と下部電極であるサセプタ5に高周波電力を印加してエッチングガスをプラズマ化して、そのプラズマにより、フォトレジスト層122をマスクとしてSiO膜121をエッチングする。
エッチング中に、所定の発光強度を終点検出器(図示せず)によって検出し、これに基いてエッチングを終了する。
At the same time as flowing the etching gas in this way, high-frequency power is applied to the upper electrode 21 and the susceptor 5 which is the lower electrode to turn the etching gas into plasma, and the plasma forms the SiO 2 film 121 using the photoresist layer 122 as a mask. Etch.
During etching, a predetermined emission intensity is detected by an end point detector (not shown), and the etching is terminated based on this.

なお、エッチング対象部は、SiO膜に限るものではなく、TEOS、BPSG、PSG、SOG、熱酸化膜、HTO、FSG、有機系酸化Si膜、CORAL(ノベラス社)等の酸化膜(酸素化合物)や低誘電体有機絶縁膜等のエッチングに適用可能である。この場合に、エッチング対象部の材質によっては、エッチングガスとして、処理ガスに別のガスを添加しただけのガスを使用することができる。このように処理ガスのプラズマを照射する工程の後に別のガスを添加するだけでエッチングすることができれば、プラズマ放電を維持したまま、処理ガスのプラズマを照射する工程とエッチングする工程とを連続で行うことが可能である。具体例としては、処理ガスのプラズマを照射する工程で、処理ガスとしてHを用い、その後、エッチングガスとしてHとCFとArの混合ガスを用いて、エッチング対象部として例えば有機酸化膜をエッチングする工程を実施することが挙げられる。 The portion to be etched is not limited to the SiO 2 film, but is an oxide film (oxygen compound) such as TEOS, BPSG, PSG, SOG, thermal oxide film, HTO, FSG, organic oxide Si film, CORAL (Novelas). ) And a low dielectric organic insulating film or the like. In this case, depending on the material of the etching target portion, a gas obtained by adding another gas to the processing gas can be used as the etching gas. Thus, if etching can be performed simply by adding another gas after the process gas plasma irradiation step, the process gas plasma irradiation step and the etching step are continuously performed while maintaining the plasma discharge. Is possible. As a specific example, in the step of irradiating the plasma of the processing gas, H 2 is used as the processing gas, and then a mixed gas of H 2 , CF 4, and Ar is used as the etching gas, and an organic oxide film is used as the etching target portion Performing a step of etching.

また、ArFフォトレジストやF2フォトレジストのような耐プラズマ性の低いフォトレジスト材料に限らず、これらの代わりに他の有機フォトレジスト層でもよく、さらには、フォトレジストに限らず他の有機層であってもよい。プラズマ処理装置の構成も図1のものに限るものではない。   In addition, it is not limited to a photoresist material having low plasma resistance such as ArF photoresist or F2 photoresist, but instead of these, other organic photoresist layers may be used. Furthermore, not only photoresist but other organic layers may be used. There may be. The configuration of the plasma processing apparatus is not limited to that shown in FIG.

次に、上記第1の実施形態に係る方法の実施例について説明する。
ここでは、プラズマを照射する工程での諸条件としては、処理容器内圧力を6.7Pa(50mTorr)とし、処理ガスHの流量を0.05〜0.2L/min(50〜200sccm)とし、照射時間を30秒とし、上部電極には60MHzの周波数の高周波電力を500〜1000Wのパワーで印加し、下部電極には高周波電力を印加しなかった。また、エッチング工程での諸条件としては、処理容器内圧力を2.0Pa(15mTorr)とし、エッチングガスC、Ar、Oの流量をそれぞれ0.015L/min(15sccm)、0.38L/min(380sccm)、0.019L/min(19sccm)とし、上部電極には60MHzの周波数の高周波電力を2170Wのパワーで印加し、下部電極には2MHzの周波数の高周波電力を1550Wのパワーで印加した。
Next, examples of the method according to the first embodiment will be described.
Here, as various conditions in the step of irradiating plasma, the pressure in the processing container is set to 6.7 Pa (50 mTorr), and the flow rate of the processing gas H 2 is set to 0.05 to 0.2 L / min (50 to 200 sccm). The irradiation time was set to 30 seconds, high frequency power having a frequency of 60 MHz was applied to the upper electrode at a power of 500 to 1000 W, and no high frequency power was applied to the lower electrode. The various conditions in the etching process are as follows: the pressure in the processing vessel is 2.0 Pa (15 mTorr), the flow rates of the etching gases C 5 F 8 , Ar, and O 2 are 0.015 L / min (15 sccm), 38 L / min (380 sccm), 0.019 L / min (19 sccm), a high frequency power of 60 MHz frequency is applied to the upper electrode with a power of 2170 W, and a high frequency power of 2 MHz frequency is applied to the lower electrode with a power of 1550 W. Applied.

このような実施例と、プラズマを照射する工程を省略した比較例とで、エッチング工程でのSiO膜のArFフォトレジストマスクに対する選択比(SiO膜のエッチングレート/ArFフォトレジストマスクのエッチングレート)を比較した。被処理体Wの測定箇所4点全てについて、実施例のようにプラズマ照射を行うことにより、プラズマ照射を行わない比較例に比べて上記選択比が上昇した。上昇率は6〜19%だった。 In such an example and a comparative example in which the step of plasma irradiation is omitted, the selectivity of the SiO 2 film to the ArF photoresist mask in the etching process (SiO 2 film etching rate / ArF photoresist mask etching rate) ). By performing plasma irradiation at all four measurement points on the workpiece W as in the example, the selection ratio was increased compared to the comparative example in which plasma irradiation was not performed. The rate of increase was 6-19%.

(第2の実施形態)
ここでは、上記プラズマエッチング装置1を用いて、図4AのようなSiO膜131と、このSiO膜131を覆う反射防止膜132と、この反射防止膜132を覆うArFフォトレジストまたはF2フォトレジストからなるフォトレジスト層133を有する被処理体Wに対して、フォトレジスト層133のパターン開口を通して反射防止膜132をエッチングするとともに、フォトレジスト層133の耐プラズマ性を向上させる第1エッチング工程(図4A)と、この工程の後のフォトレジスト層133を通してSiO膜131をプラズマエッチングする第2エッチング工程(図4B)を実施する。
(Second Embodiment)
Here, using the plasma etching apparatus 1, the SiO 2 film 131 as shown in FIG. 4A, the antireflection film 132 covering the SiO 2 film 131, and the ArF photoresist or F2 photoresist covering the antireflection film 132 are used. A first etching step for etching the antireflection film 132 through the pattern opening of the photoresist layer 133 and improving the plasma resistance of the photoresist layer 133 with respect to the workpiece W having the photoresist layer 133 made of (see FIG. 4A) and a second etching step (FIG. 4B) in which the SiO 2 film 131 is plasma-etched through the photoresist layer 133 after this step.

まず、被処理体Wを処理容器2内に搬入・配置し、処理ガス供給源30から第1エッチングガスを兼ねた処理ガス、例えばNとHを供給するとともに、処理容器2内の圧力を所定の値、例えば107Pa(800mTorr)にする。この際の処理容器内圧力は107〜160Pa(800〜1200mTorr)が好ましい。107Paより低いとフォトレジスト層133、特にパターン開口の肩部もエッチングされてしまうからであり、160Paより大きいと開口部分のエッチングが進行しないからである。第1エッチングガスを兼ねた処理ガスとしては、Nを含むガス、例えばN、NHを用いることができ、その他にHを含むガス、例えば、H、CHF、CH、CHFの中から選択される1以上を使用することができる。 First, the object to be processed W is carried into and disposed in the processing container 2, and a processing gas that also serves as the first etching gas, such as N 2 and H 2, is supplied from the processing gas supply source 30, and the pressure in the processing container 2. Is set to a predetermined value, for example, 107 Pa (800 mTorr). In this case, the pressure in the processing container is preferably 107 to 160 Pa (800 to 1200 mTorr). This is because if it is lower than 107 Pa, the photoresist layer 133, particularly the shoulder of the pattern opening, is also etched, and if it is higher than 160 Pa, the etching of the opening does not proceed. As the processing gas that also serves as the first etching gas, a gas containing N, for example, N 2 or NH 3 can be used, and other gases containing H, for example, H 2 , CHF 3 , CH 2 F 2 , CH One or more selected from 3 F can be used.

次いで、上下部電極に高周波電力を印加し、第1エッチングガスをプラズマ化して、フォトレジスト層133をマスクとして反射防止膜132をエッチングする。反射防止膜としては、アモルファスカーボンや有機系高分子材料使用することができる。このエッチングは、同時にフォトレジスト層133の耐プラズマ性を向上させる処理も兼ねている。所定の時間だけエッチングしたところで第1エッチングを終了する。   Next, high frequency power is applied to the upper and lower electrodes, the first etching gas is turned into plasma, and the antireflection film 132 is etched using the photoresist layer 133 as a mask. As the antireflection film, amorphous carbon or an organic polymer material can be used. This etching also serves to improve the plasma resistance of the photoresist layer 133 at the same time. The first etching is finished when the etching is performed for a predetermined time.

このように処理ガスとエッチングガスを同じにすることで、フォトレジスト層133にプラズマを照射する工程と反射防止層132をエッチングする工程との間のガス切り替えが不要となり短時間での処理ができ、スループットの向上を図ることができる。また、反射防止層132のエッチングの際にArFレジストの耐プラズマ性向上処理ができるので、そのための余分な装置や空間が不要である。   By using the same processing gas and etching gas in this way, gas switching between the step of irradiating the photoresist layer 133 with plasma and the step of etching the antireflection layer 132 becomes unnecessary, and processing can be performed in a short time. Throughput can be improved. In addition, since the ArF resist can be improved in plasma resistance during the etching of the antireflection layer 132, an extra device and space are not required.

次いで、処理ガス(第1エッチングガス)をエッチングガス(第2エッチングガス)に切り替えて、第1エッチングと同様に、フォトレジスト133を通してSiO膜131をプラズマエッチングする第2エッチングを行う。この際のエッチングガスとしては、第1の実施形態と同様、フロロカーボンを含むガス、例えばCを含むものが好ましい。具体的な例としてはC+O+CO+Arを挙げることができる。Cの中でも、直鎖Cが好ましく、特に2−Cが好ましい。エッチングガスに用いるフロロカーボンとしてはCも好適である。 Next, the processing gas (first etching gas) is switched to the etching gas (second etching gas), and the second etching for plasma etching the SiO 2 film 131 through the photoresist 133 is performed in the same manner as the first etching. As the etching gas at this time, a gas containing a fluorocarbon, for example, a gas containing C 5 F 8 is preferable, as in the first embodiment. A specific example is C 5 F 8 + O 2 + CO + Ar. Among C 5 F 8 , straight chain C 5 F 8 is preferable, and 2-C 5 F 8 is particularly preferable. C 4 F 6 is also suitable as the fluorocarbon used for the etching gas.

なお、この第2の実施形態においても、エッチング対象部は、SiO膜に限るものではなく、TEOS、BPSG、PSG、SOG、熱酸化膜、HTO、FSG、有機系酸化Si膜、CORAL(ノベラス社)等の酸化膜(酸素化合物)や低誘電体有機絶縁膜等のエッチングに適用可能である。また、ArFフォトレジストやF2フォトレジストのような耐プラズマ性の低いフォトレジスト材料に限らず、他の有機フォトレジスト層でもよく、さらには、フォトレジストに限らず他の有機層であってもよい。プラズマ処理装置の構成も図1のものに限るものではない。 Also in the second embodiment, the etching target portion is not limited to the SiO 2 film, but TEOS, BPSG, PSG, SOG, thermal oxide film, HTO, FSG, organic-based Si oxide film, CORAL (NOVERUS) The present invention is applicable to etching of oxide films (oxygen compounds) and low dielectric organic insulating films. Moreover, it is not limited to a photoresist material having low plasma resistance such as ArF photoresist or F2 photoresist, but may be other organic photoresist layers, and may be other organic layers without being limited to photoresist. . The configuration of the plasma processing apparatus is not limited to that shown in FIG.

次に、上記第2の実施形態に係る方法の実施例について説明する。
ここでは、第1エッチングの諸条件としては、処理容器内圧力を107Pa(800mTorr)とし、処理ガス(第1エッチングガス)N、Hの流量をそれぞれ0.6L/min(600sccm)とし、上部電極には60MHzの周波数の高周波電力を1000Wのパワーで印加し、下部電極には2MHzの周波数の高周波電源を300Wのパワーで印加した。第2エッチングの諸条件としては、エッチングガスが1,2,3,3,4,4,5,5−オクタフルオロ−シクロ−1−ペンテン(以下「c−C」と記載する。)を含むガスの場合(実施例2−1)には、処理容器内圧力を2.0Pa(15mTorr)とし、エッチングガスc−C、Ar、Oの流量をそれぞれ0.015L/min(15sccm)、0.38L/min(380sccm)、0.019L/min(19sccm)とし、上部電極には周波数60MHz、パワー2170Wで、下部電極には周波数2MHz、パワー1550Wで高周波電力を印加し、エッチングガスが2−Cを含むガスの場合(実施例2−2)には、処理容器内圧力を2.7Pa(20mTorr)とし、エッチングガス2−C、Ar、O、COの流量をそれぞれ0.027L/min(27sccm)、0.5L/min(500sccm)、0.027L/min(27sccm)、0.05L/min(50sccm)とし、上部電極には周波数60MHz、パワー1600Wで、下部電極には周波数2MHz、パワー2000Wで高周波電力を印加した。
Next, an example of the method according to the second embodiment will be described.
Here, as various conditions of the first etching, the pressure in the processing vessel is set to 107 Pa (800 mTorr), the flow rates of the processing gas (first etching gas) N 2 and H 2 are set to 0.6 L / min (600 sccm), A high frequency power having a frequency of 60 MHz was applied to the upper electrode at a power of 1000 W, and a high frequency power source having a frequency of 2 MHz was applied to the lower electrode at a power of 300 W. As various conditions for the second etching, the etching gas is described as 1,2,3,3,4,4,5,5-octafluoro-cyclo-1-pentene (hereinafter referred to as “c-C 5 F 8 ”). ) (Example 2-1), the pressure in the processing vessel is 2.0 Pa (15 mTorr), and the flow rates of the etching gases c-C 5 F 8 , Ar, and O 2 are each 0.015 L / Min (15 sccm), 0.38 L / min (380 sccm), 0.019 L / min (19 sccm), high frequency power is applied to the upper electrode at a frequency of 60 MHz and power of 2170 W, and the lower electrode at a frequency of 2 MHz and power of 1550 W. , if the etching gas is a gas containing 2-C 5 F 8 (example 2-2), the processing vessel pressure was 2.7 Pa (20 mTorr), the etching gas -C 5 F 8, Ar, O 2, CO flow rates, respectively 0.027L / min (27sccm), 0.5L / min (500sccm), 0.027L / min (27sccm), 0.05L / min (50sccm The high frequency power was applied to the upper electrode at a frequency of 60 MHz and power of 1600 W, and the lower electrode at a frequency of 2 MHz and power of 2000 W.

これに対して、処理ガスがArFフォトレジストの耐プラズマ性の向上作用がないと思われるCFで第1エッチングを行った後、実施例2−1と同様にしてc−Cを含むガスで第2エッチングを行ったものを比較例2−1とし、実施例2−2と同様にして2−Cを含むガスで第2エッチングを行ったものを比較例2−2とした。結果を表1に示す。 On the other hand, after performing the first etching with CF 4 whose processing gas is considered not to have an effect of improving the plasma resistance of the ArF photoresist, c-C 5 F 8 is changed in the same manner as in Example 2-1. Comparative Example 2-1 was obtained by performing the second etching with the gas containing, and Comparative Example 2-2 by performing the second etching with the gas containing 2-C 5 F 8 in the same manner as in Example 2-2. It was. The results are shown in Table 1.

Figure 0005008691
Figure 0005008691

表1に示すように、反射防止膜をエッチングする第1エッチング工程で、NとHとの混合ガスのプラズマを用いたことにより、ArFフォトレジスト膜の耐プラズマ性が向上し、その後のSiO膜をエッチングする第2エッチング工程で、SiO膜のArFフォトレジスト膜に対する選択比(SiOのエッチングレート/ArFフォトレジストのエッチングレート)が高くなることが確認された。 As shown in Table 1, the plasma resistance of the ArF photoresist film is improved by using a plasma of a mixed gas of N 2 and H 2 in the first etching step of etching the antireflection film, and thereafter in the second etching step of etching the SiO 2 film, selectivity to the ArF photoresist film of the SiO 2 film (SiO 2 etching rate / ArF photoresist etch rate) that is higher it was confirmed.

(第3の実施形態)
ここでは、図2に示すプラズマエッチング装置61を用いて、図5Aのような、エッチング対象層であるSiO膜141と、このSiO膜141を覆う反射防止層142と、この反射防止膜142を覆うArFフォトレジストまたはF2フォトレジストからなるフォトレジスト層143を有する被処理体Wに対して、プラズマによりフォトレジスト層143の耐プラズマ性を向上させるとともにフォトレジスト層143の開口パターン143aを通して反射防止膜142をエッチングする工程(図5A)と、この工程の後のフォトレジスト層143を通してSiO膜141をプラズマエッチングする工程(図5B)を実施する。
(Third embodiment)
Here, using the plasma etching apparatus 61 shown in FIG. 2, as shown in FIG. 5A, the SiO 2 film 141 that is the etching target layer, the antireflection layer 142 covering the SiO 2 film 141, and the antireflection film 142 The plasma resistance of the photoresist layer 143 is improved by plasma and antireflection through the opening pattern 143a of the photoresist layer 143 is applied to the workpiece W having the photoresist layer 143 made of ArF photoresist or F2 photoresist covering the substrate. A step of etching the film 142 (FIG. 5A) and a step of plasma etching the SiO 2 film 141 through the photoresist layer 143 after this step (FIG. 5B) are performed.

この実施形態においてもArFフォトレジストおよびF2フォトレジストとしては、脂環族含有アクリル樹脂、シクロオレフィン樹脂、シクロオレフィン−無水マレイン酸樹脂を使用することができる。反射防止層としては、有機系高分子材料やアモルファスカーボンを使用することができる。   Also in this embodiment, as the ArF photoresist and the F2 photoresist, an alicyclic-containing acrylic resin, a cycloolefin resin, and a cycloolefin-maleic anhydride resin can be used. As the antireflection layer, an organic polymer material or amorphous carbon can be used.

まず、図示しないゲートバルブを開放して、被処理体Wを処理容器62内に搬入し、静電チャック71上に配置する。次いで、ゲートバルブを閉じ、排気装置95によって処理容器62内を減圧した後、バルブ88を開放し、処理ガス供給源90から処理ガス、例えばHを供給し、処理容器62内の圧力を所定の値とする。処理ガスはHのみであってもよいし、Ar等の希釈ガスを例えばHと同流量程度添加してもよい。処理ガスとしてHの代わりに他のHを有する物質を用いてもよい。 First, the gate valve (not shown) is opened, and the workpiece W is carried into the processing container 62 and placed on the electrostatic chuck 71. Then, the gate valve is closed, after reducing the pressure in the processing chamber 62 by the exhaust device 95, opening the valve 88, the processing from the processing gas supply source 90 gas, for example, supplying H 2, a predetermined pressure in the processing container 62 The value of The processing gas may be only H 2 , or a dilution gas such as Ar may be added at the same flow rate as H 2 , for example. A substance having other H instead of H 2 may be used as the processing gas.

この状態で第1、第2の高周波電源101,102から高周波電力を供給し、処理ガスをプラズマ化させて被処理体Wに作用させる。このとき、高周波電力を供給するタイミングの前後に、直流電源73を静電チャック71内の電極72に印加して、被処理体Wを静電チャック71上に静電吸着させる。   In this state, high-frequency power is supplied from the first and second high-frequency power supplies 101 and 102, and the processing gas is turned into plasma to act on the workpiece W. At this time, the DC power source 73 is applied to the electrode 72 in the electrostatic chuck 71 before and after the timing of supplying the high-frequency power, and the workpiece W is electrostatically attracted onto the electrostatic chuck 71.

以上のようにして、所定時間プラズマ処理を行って、フォトレジスト層143の耐プラズマ性を向上させるとともに反射防止層142をエッチングするが、この際の処理容器62内の圧力は13.3Pa(100mTorr)以下とすることが好ましい。このように低圧にしてHを含む処理ガスのプラズマをマスク層であるフォトレジスト層143に照射するとその表面が改質されてマスク層の耐プラズマ性が向上する。フォトレジスト層143の耐プラズマ性を向上させることにより、この後、フォトレジスト層143の開口パターン134aを介してエッチング対象層をプラズマエッチングエッチングする際にエッチング対象層のマスク層に対する選択比、すなわち、エッチング対象層のエッチングレート/マスク層のエッチングレートを高くすることができる。また、このエッチング工程でプラズマによってマスク層であるフォトレジスト層143に筋や溝が入ることを防止することができる。さらに、マスク層であるフォトレジスト層143の開口部が拡大するのを抑えることができる。マスク層であるフォトレジスト層143の耐プラズマ性が向上する詳細なメカニズムは必ずしも明確ではないが、フォトレジスト層143の表層にHラジカルが作用してフォトレジスト層内からCH等のガスを引き抜くことで、マスク層内の炭素間同士の化学結合がより強力なものに変化するためと考えられる。なお、処理ガスにはNを有する物質を含まない方が好ましい。処理ガス中にNを有する物質が含まれると、マスク層の側壁表面をCとNを主成分とする保護膜が覆ってしまい、耐プラズマ性を向上させる作用があると考えられているHラジカルが側壁表面から内部に浸透できなくなってしまい、マスク層の側壁表面の耐プラズマ性向上が厚い幅に渡ってできなくなるからである。処理におけるフォトレジスト層143へのダメージをより緩和する観点から、処理圧力は8〜30mTorrであることが好ましい。 As described above, the plasma treatment is performed for a predetermined time to improve the plasma resistance of the photoresist layer 143 and the antireflection layer 142 is etched. At this time, the pressure in the processing vessel 62 is 13.3 Pa (100 mTorr). ) The following is preferable. When the photoresist layer 143 that is a mask layer is irradiated with plasma of a processing gas containing H at a low pressure in this way, the surface thereof is modified and the plasma resistance of the mask layer is improved. By improving the plasma resistance of the photoresist layer 143, the selectivity ratio of the etching target layer to the mask layer when the etching target layer is plasma-etched through the opening pattern 134a of the photoresist layer 143, that is, The etching rate of the etching target layer / the etching rate of the mask layer can be increased. In addition, it is possible to prevent a streak or a groove from entering the photoresist layer 143 which is a mask layer by plasma in this etching process. Furthermore, it is possible to suppress the opening of the photoresist layer 143 that is a mask layer from being enlarged. Although the detailed mechanism for improving the plasma resistance of the photoresist layer 143 as a mask layer is not necessarily clear, H radicals act on the surface layer of the photoresist layer 143 to extract gas such as CH 4 from the photoresist layer. This is considered to be because the chemical bond between carbons in the mask layer changes to a stronger one. Note that it is preferable that the processing gas does not contain a substance containing N. When a substance having N is contained in the process gas, the protective layer mainly composed of C and N covers the side wall surface of the mask layer, and it is considered that the H radical is effective in improving the plasma resistance. This is because it becomes impossible to penetrate into the inside from the side wall surface, and the plasma resistance of the side wall surface of the mask layer cannot be improved over a thick width. From the viewpoint of further reducing damage to the photoresist layer 143 during processing, the processing pressure is preferably 8 to 30 mTorr.

また、第1の高周波電源101からサセプタ65にプラズマ形成用の高周波電力を供給していることによってもマスク層であるフォトレジスト層143の耐プラズマ性が向上する。この際の周波数は100MHz以上が好ましい。また、サセプタ65に第2の高周波電源102から上記のものとは別の高周波電力、好ましくは周波数が3MHz以上のものを供給することでプラズマ中の活性種、特にイオンを制御することができる。この別の高周波電力は100W以下であることが好ましい。低圧・低電力(低バイアス)の雰囲気下で処理することでマスク層であるフォトレジスト層143へのダメージを最小限にすることができるからである。また、低圧、低電力(低バイアス)の雰囲気下ではフォトレジスト層143の側壁からも内部までHラジカルが浸透するため、フォトレジスト層143の側壁表面から内部にかけての厚い部分で耐プラズマ性の向上を図ることができる。フォトレジスト層143は有機材料であり炭素を含有するのでこのような表面改質作用が著しいからである。また、フォトレジスト層143を構成するArFフォトレジストやF2フォトレジストについては、耐プラズマ性向上処理の前後でかなり耐プラズマ性が変化するため、微細加工の際にこのような処理を適用すると効果が絶大である。また、このような耐プラズマ性向上処理と同時に、エッチング対象層のエッチングのために必要な反射防止層142をエッチングするので、マスク層であるフォトレジスト層143をほとんどエッチングすることなく反射防止層142をエッチングすることができる。   Further, the plasma resistance of the photoresist layer 143, which is a mask layer, is also improved by supplying high-frequency power for plasma formation from the first high-frequency power source 101 to the susceptor 65. The frequency at this time is preferably 100 MHz or more. Further, by supplying high-frequency power different from the above-mentioned one from the second high-frequency power source 102 to the susceptor 65, and preferably having a frequency of 3 MHz or more, active species in plasma, particularly ions, can be controlled. The other high frequency power is preferably 100 W or less. This is because the damage to the photoresist layer 143, which is the mask layer, can be minimized by processing in an atmosphere of low pressure and low power (low bias). In addition, since H radicals penetrate from the side wall of the photoresist layer 143 to the inside in a low pressure and low power (low bias) atmosphere, the plasma resistance is improved at a thick portion from the side wall surface to the inside of the photoresist layer 143. Can be achieved. This is because the photoresist layer 143 is an organic material and contains carbon, so that such a surface modification action is remarkable. In addition, the ArF photoresist and the F2 photoresist constituting the photoresist layer 143 change considerably in plasma resistance before and after the plasma resistance improving process. Therefore, it is effective to apply such a process in the fine processing. It is huge. In addition, since the antireflection layer 142 necessary for etching the etching target layer is etched simultaneously with the plasma resistance improving process, the antireflection layer 142 is hardly etched without etching the photoresist layer 143 that is a mask layer. Can be etched.

このとき、上述のようにサセプタ65に100MHz以上の周波数の高周波電力を供給することで処理容器62内のH2が解離し様々な活性種となり、その活性種の中で主にHラジカルがマスク層であるフォトレジスト層143の耐プラズマ性向上に寄与し、主にHラジカルとイオンが反射防止層142のエッチングに寄与する。これらの活性種の寄与バランスが優れているためマスク層であるフォトレジスト層143の耐プラズマ性を向上させながら同時に反射防止層142を有効にエッチングすることができる。さらに、サセプタ65に第2の高周波電源102から3MHz以上の周波数の高周波電源から高周波電力を供給することでこの活性種中のイオンの動きを制御することができる。   At this time, by supplying the susceptor 65 with high-frequency power having a frequency of 100 MHz or more as described above, H2 in the processing vessel 62 is dissociated into various active species, and H radicals are mainly mask layers in the active species. This contributes to improving the plasma resistance of the photoresist layer 143, and mainly H radicals and ions contribute to the etching of the antireflection layer 142. Since the contribution balance of these active species is excellent, the antireflection layer 142 can be effectively etched at the same time while improving the plasma resistance of the photoresist layer 143 as a mask layer. Furthermore, by supplying high frequency power from the second high frequency power supply 102 to the susceptor 65 from a high frequency power supply having a frequency of 3 MHz or higher, the movement of ions in the active species can be controlled.

次に、上記処理ガスをエッチング対象層であるSiO膜141をエッチングするためのエッチングガス、例えばCとOとArの混合ガスのようなフロロカーボンを含むガスを供給し、第1および第2の高周波電源からサセプタ65に高周波電力を印加して上記処理ガスをプラズマ化し、そのプラズマによりフォトレジスト層143をマスクとしてSiO膜141をエッチングする。
エッチング中に、所定の発光強度を終点検出器(図示せず)によって検出し、これに基づいてエッチングを終了する。
Next, an etching gas for etching the SiO 2 film 141 which is the etching target layer, for example, a gas containing fluorocarbon such as a mixed gas of C 4 F 6 , O 2 and Ar is supplied as the processing gas, The high-frequency power is applied from the second high-frequency power source to the susceptor 65 to turn the processing gas into plasma, and the SiO 2 film 141 is etched by the plasma using the photoresist layer 143 as a mask.
During etching, a predetermined emission intensity is detected by an end point detector (not shown), and the etching is terminated based on this.

なお、本実施形態においても、エッチング対象部は、SiO膜に限るものではなく、TEOS、BPSG、PSG、SOG、熱酸化膜、HTO、FSG、有機系酸化Si膜、CORAL(ノベラス社)等の酸化膜(酸素化合物)や低誘電体有機絶縁膜等のエッチングに適用可能である。また、ArFフォトレジストやF2フォトレジストのような耐プラズマ性の低いフォトレジスト材料に限らず、他の有機フォトレジスト層でもよく、さらには、フォトレジストに限らず他のマスク層であってもよい。プラズマ処理装置の構成も図2のものに限るものではない。 Also in this embodiment, the etching target portion is not limited to the SiO 2 film, but TEOS, BPSG, PSG, SOG, thermal oxide film, HTO, FSG, organic-based Si oxide film, CORAL (NOBERAS), etc. It can be applied to etching of an oxide film (oxygen compound) or a low dielectric organic insulating film. Moreover, it is not limited to a photoresist material having low plasma resistance such as ArF photoresist or F2 photoresist, but may be other organic photoresist layers, and may be other mask layers without being limited to photoresist. . The configuration of the plasma processing apparatus is not limited to that shown in FIG.

次に、本実施形態に基づく実施例について説明する。
ここでは、まず、チャンバー内圧力を1.07Pa(80mTorr)、4.00Pa(30mTorr)、13.3Pa(100mTorr)の3通りとし、処理ガス供給源から処理ガスとしてHを供給した。第1および第2の高周波電源の周波数はそれぞれ100MHz、3.2MHzとし、その電力を2400W、500Wとした。また、第2の高周波電源から電力を供給しない場合(=0W)についても評価した。評価はマスク層の断面状態を顕微鏡(SEM)で観測して行った。
Next, examples based on this embodiment will be described.
Here, first, the internal pressure of the chamber was set to 1.07 Pa (80 mTorr), 4.00 Pa (30 mTorr), and 13.3 Pa (100 mTorr), and H 2 was supplied as a processing gas from the processing gas supply source. The frequencies of the first and second high-frequency power sources were 100 MHz and 3.2 MHz, respectively, and the power was 2400 W and 500 W. In addition, the case where power was not supplied from the second high-frequency power source (= 0 W) was also evaluated. The evaluation was performed by observing the cross-sectional state of the mask layer with a microscope (SEM).

その結果、圧力が1.07Pa(80mTorr)、4.00Pa(30mTorr)のときはマスク層への筋入り・溝入りや開口部の拡大はほとんどなかった。圧力が13.3Pa(100mTorr)のときにはマスク層への筋入り・溝入りや開口部の拡大は余りなかった。圧力が高くなると筋入り・溝入りが起きやすくなっていた。   As a result, when the pressure was 1.07 Pa (80 mTorr) and 4.00 Pa (30 mTorr), there was almost no streaking / grooving into the mask layer or expansion of the opening. When the pressure was 13.3 Pa (100 mTorr), there was not much streaking / grooving into the mask layer or expansion of the opening. When the pressure increased, it was easier for streaks and grooves to occur.

また、第2の高周波電源から供給される電力については、0Wのときが500Wのときに比べてマスク層への筋入り・溝入りや開口部の拡大が少なかった。これらの結果等から考慮すれば、第2の高周波電源から供給される電力は100W以下が好ましい。   In addition, the power supplied from the second high-frequency power source was less streaked / grooved into the mask layer and expanded in the opening at 0 W than at 500 W. Considering these results and the like, the power supplied from the second high-frequency power source is preferably 100 W or less.

さらに、圧力を1.07Pa(8.0mTorr)に固定して、Hの流量を50mL/min(sccm)、100mL/min(sccm)、120mL/min(sccm)、200mL/min(sccm)と変化させたところ、流量が少ないときの方がマスク層への筋入り・溝入りや開口部の拡大が少なかった。
この後のエッチング対象層であるSiO膜をエッチングする工程では、処理容器内にエッチングガスとしてCとOとArの混合ガスを用い、処理容器内の圧力を666Pa(50mTorr)とし、サセプタ65に供給する高周波電力は第1の高周波電源からは600W、第2の高周波電源からは1800Wとした。第1の高周波電源からの高周波電力の供給によりエッチングガスはプラズマ化し、エッチング対象層であるSiO膜がエッチングされた。終点検出法等によってエッチングを終了した後、同様にSEM観察を行った結果、エッチング対象層のプラズマエッチング終了後においても、マスク層の大幅減少、マスク層への筋入り・溝入りやマスク層の開口部の拡大は余りなかった。これにより、本発明によるマスク層の耐プラズマ性の向上効果が、エッチング対象層のプラズマエッチング後にも持続されていることが分かった。
Further, the pressure was fixed at 1.07 Pa (8.0 mTorr), and the flow rate of H 2 was 50 mL / min (sccm), 100 mL / min (sccm), 120 mL / min (sccm), and 200 mL / min (sccm). As a result of the change, when the flow rate was small, there were few streaks / grooves in the mask layer and expansion of the opening.
In the subsequent step of etching the SiO 2 film that is the etching target layer, a mixed gas of C 4 F 6 , O 2, and Ar is used as the etching gas in the processing container, and the pressure in the processing container is set to 666 Pa (50 mTorr). The high frequency power supplied to the susceptor 65 was 600 W from the first high frequency power source and 1800 W from the second high frequency power source. The supply of the high frequency power from the first high frequency power supply turned the etching gas into a plasma, and the SiO 2 film as the etching target layer was etched. After the etching was completed by the end point detection method or the like, the SEM observation was performed in the same manner. As a result, even after the plasma etching of the etching target layer was completed, the mask layer was greatly reduced, and the mask layer was stripped / grooved. There was not much enlargement of the opening. Thereby, it turned out that the improvement effect of the plasma resistance of the mask layer by this invention is maintained after the plasma etching of the etching object layer.

(第4の実施形態)
ここでは、上記図1に示すプラズマ処理装置1を用いて、図6Aのような、エッチング対象層であるSiO層151と、これを覆う反射防止層152と、反射防止層152を覆う開口パターン153aが形成されたマスク層であるArFフォトレジストまたはF2フォトレジストからなるフォトレジスト層153を有する被処理体Wに対して、フォトレジスト層153の開口パターンを介して反射防止膜152をエッチングする工程と、SiO層151をエッチングする工程とを実施する。
(Fourth embodiment)
Here, using the plasma processing apparatus 1 shown in FIG. 1, the SiO 2 layer 151 that is the etching target layer, the antireflection layer 152 that covers the layer, and the opening pattern that covers the antireflection layer 152, as shown in FIG. 6A. Etching the antireflection film 152 through the opening pattern of the photoresist layer 153 with respect to the object to be processed W having the photoresist layer 153 made of ArF photoresist or F2 photoresist which is a mask layer on which 153a is formed. And a step of etching the SiO 2 layer 151.

この実施形態においてもArFフォトレジストおよびF2フォトレジストとしては、脂環族含有アクリル樹脂、シクロオレフィン樹脂、シクロオレフィン−無水マレイン酸樹脂を使用することができる。反射防止層としては、有機系高分子材料やアモルファスカーボンを使用することができる。   Also in this embodiment, as the ArF photoresist and the F2 photoresist, an alicyclic-containing acrylic resin, a cycloolefin resin, and a cycloolefin-maleic anhydride resin can be used. As the antireflection layer, an organic polymer material or amorphous carbon can be used.

本実施形態においては、このようなエッチング工程を、フォトレジスト層153の開口パターン153aを通して反射防止膜152をプラズマエッチングする第1エッチング工程と、フォトレジスト層153の開口パターンを通してSiO層151を途中までエッチングする第2エッチング工程と、第2エッチング工程の後にSiO層151をさらにエッチングする第3エッチング工程の3段階で行う。これらのうち第2エッチング工程はSiO層151の初期エッチング工程として行われ、第3エッチング工程はSiO層151の主エッチング工程として行われる。 In the present embodiment, such an etching process includes a first etching process in which the antireflection film 152 is plasma-etched through the opening pattern 153a of the photoresist layer 153, and an SiO 2 layer 151 in the middle through the opening pattern of the photoresist layer 153. The second etching process is performed in three stages, and the third etching process is performed after the second etching process to further etch the SiO 2 layer 151. Among these, the second etching process is performed as an initial etching process of the SiO 2 layer 151, and the third etching process is performed as a main etching process of the SiO 2 layer 151.

まず、ゲートバルブ32を開放して、被処理体Wを処理容器2内に搬入し、静電チャック11上に配置する。次いで、ゲートバルブ32を閉じ、排気装置35によって処理容器2内を減圧した後、バルブ28を開放し、エッチングガス供給源30からHを供給し、処理容器2内の圧力を所定の値とする。この状態で第1、第2の高周波電源40、50から高周波電力を供給し、Hをプラズマ化して被処理体Wに作用させフォトレジスト層153の開口パターンを通して反射防止層152をエッチングする(第1のエッチング;図6A)。一方、第1、第2の高周波電源40、50から高周波電力を供給するタイミングの前後に、直流電源13を静電チャック11内の電極12に印加して、被処理体Wを静電チャック11上に静電吸着させる。エッチング中に、所定の発行強度を終点検出器(図示せず)によって検出し、これに基づいて高周波電力の供給を停止し第1エッチング工程を終了する。 First, the gate valve 32 is opened, and the workpiece W is carried into the processing container 2 and placed on the electrostatic chuck 11. Next, after the gate valve 32 is closed and the inside of the processing container 2 is depressurized by the exhaust device 35, the valve 28 is opened, H 2 is supplied from the etching gas supply source 30, and the pressure in the processing container 2 is set to a predetermined value. To do. In this state, high-frequency power is supplied from the first and second high-frequency power sources 40 and 50, and H 2 is turned into plasma to act on the workpiece W, and the antireflection layer 152 is etched through the opening pattern of the photoresist layer 153 ( First etch; FIG. 6A). On the other hand, the DC power source 13 is applied to the electrode 12 in the electrostatic chuck 11 before and after the timing of supplying the high-frequency power from the first and second high-frequency power sources 40 and 50, and the workpiece W is placed on the electrostatic chuck 11. Electrostatically adsorbed on top. During etching, a predetermined issuance intensity is detected by an end point detector (not shown), and based on this, the supply of high-frequency power is stopped and the first etching process is terminated.

次いで、同一処理容器内または別の処理容器内に第1エッチング工程と同様にしてCFとHの混合ガスを供給し、フォトレジスト層153の開口パターンを通してSiO層151を途中までエッチングする(第2エッチング工程;図6B)。所定のエッチング時間、例えば60秒が経過したらこの第2エッチング工程を終了する。その後、同一処理容器内または別の処理容器内に第2エッチング工程と同様にして第2エッチング工程とは異なるガス、例えば直鎖CとOとArの混合ガスを供給し、SiO層151をさらにエッチングする(第3エッチング工程;図6C)。終点検出に基づいてこの第3エッチング工程を終了する。 Next, a mixed gas of CF 4 and H 2 is supplied into the same processing container or another processing container in the same manner as in the first etching process, and the SiO 2 layer 151 is etched partway through the opening pattern of the photoresist layer 153. (Second etching step; FIG. 6B). When a predetermined etching time, for example, 60 seconds elapses, the second etching process is terminated. Thereafter, a gas different from the second etching step, for example, a mixed gas of linear C 5 F 8 , O 2 and Ar, is supplied into the same processing vessel or another processing vessel in the same manner as the second etching step, and SiO 2 The second layer 151 is further etched (third etching step; FIG. 6C). The third etching step is terminated based on the end point detection.

このように、CFとHのプラズマを用いたSiO層151の第2エッチング工程によって、マスク層であるArFフォトレジスト層153の表面に、特にSiO層151との境界付近により多く保護膜が形成され、その後の第3エッチング工程においてフォトレジスト層153の形状の変形を抑制することができる。また、第1エッチング工程において、反射防止層152をHのプラズマを用いてエッチングすることにより、第3エッチング工程におけるフォトレジスト層153の形状の変形をより有効に抑制することができる。これは、Hのプラズマによりマスク層であるフォトレジスト層153の表面近傍から酸素原子が脱離して構造的により強固な炭素間結合が形成されるためと考えられる。 As described above, the second etching process of the SiO 2 layer 151 using the plasma of CF 4 and H 2 protects more on the surface of the ArF photoresist layer 153 as the mask layer, particularly near the boundary with the SiO 2 layer 151. A film is formed, and deformation of the shape of the photoresist layer 153 can be suppressed in the subsequent third etching step. Further, in the first etching step, the antireflection layer 152 is etched using H 2 plasma, so that deformation of the shape of the photoresist layer 153 in the third etching step can be more effectively suppressed. This is presumably because oxygen atoms are desorbed from the vicinity of the surface of the photoresist layer 153, which is a mask layer, by H 2 plasma, and a structurally stronger carbon-carbon bond is formed.

このようなプラズマによるフォトレジスト層153の形状の変形を抑制する効果は、その材料が特にプラズマによって変形しやすいメタクリル酸樹脂(構造中にメタクリル酸が取り込まれている樹脂をいう)の場合に顕著なものとなるが、アクリル酸樹脂(構造中にアクリル酸が取り込まれている樹脂をいう)等、他の樹脂であっても同様の効果を得ることができる。ただし、フォトレジスト層の材料がアクリル酸樹脂の場合には、微細加工可能なマスク材の中でプラズマに対する変形耐性が比較的大きいので、反射防止層をエッチングする第1エッチングの際に必ずしもHガスを用いる必要はなく、Hよりもエッチングレートが高く、マスク層へのダメージがフロロカーボンの中でも最も少ないCFのプラズマを用いて高速で反射防止層152をエッチングすることができる。 The effect of suppressing the deformation of the shape of the photoresist layer 153 due to the plasma is remarkable when the material is a methacrylic acid resin (referred to as a resin in which methacrylic acid is incorporated in the structure) that is easily deformed by the plasma. However, similar effects can be obtained even with other resins such as an acrylic resin (referring to a resin in which acrylic acid is incorporated in the structure). However, when the material of the photoresist layer is an acrylic resin, the deformation resistance to plasma is relatively high among the mask materials that can be finely processed. Therefore, H 2 is not necessarily used in the first etching for etching the antireflection layer. There is no need to use a gas, and the antireflection layer 152 can be etched at high speed using CF 4 plasma, which has a higher etching rate than H 2 and has the least damage to the mask layer among fluorocarbons.

また、第3エッチング工程のエッチングガスとして、直鎖CとOとを含むガスを用いることにより、エッチング対象層であるSiO層151をより異方的に、より速くエッチングすることができる。なお、第3エッチング工程のエッチングガスは、これに限るものではないが、第2エッチング工程で用いられるCFとHの混合ガスとは別のガスであることが好ましい。これは、第2エッチング工程でマスク層の形状の変形を抑制する構造を形成した後、第3エッチング工程のエッチングガスに切り替えることにより、例えば、より異方的エッチングやより高速のエッチング等所望の機能を持たせることができるからである。SiO層151をより異方的に、より速くエッチングする観点からは、エッチングガスとしてフロロカーボンを含むガスを好適に用いることができるが、上述した直鎖CとOとを含むガスが特に好ましい。 Further, by using a gas containing linear C 5 F 8 and O 2 as an etching gas in the third etching step, the SiO 2 layer 151 that is an etching target layer is etched more anisotropically and faster. Can do. The etching gas in the third etching step is not limited to this, but is preferably a gas different from the mixed gas of CF 4 and H 2 used in the second etching step. This is because, after forming a structure that suppresses deformation of the shape of the mask layer in the second etching step, by switching to the etching gas in the third etching step, for example, more anisotropic etching, higher-speed etching, or the like This is because functions can be provided. From the viewpoint of etching the SiO 2 layer 151 more anisotropically and faster, a gas containing fluorocarbon can be suitably used as an etching gas, but the gas containing the above-mentioned linear C 5 F 8 and O 2 can be used. Is particularly preferred.

以上は、反射防止層152が存在する場合のエッチング工程について説明したが、反射防止層が存在しない場合には、上記第1のエッチング工程を省略して、最初に、CFとHをプラズマ化し、ArFフォトレジスト層の開口パターンを通してエッチング対象層であるSiO層を途中までエッチングする初期エッチング工程を実施し、この初期エッチング工程の後、好ましくはフロロカーボンを含むエッチングガス、より好ましくは上述した直鎖CとOとを含むガスをプラズマ化し、エッチング対象層であるSiO層の残部をエッチングする主エッチング工程を実施すればよい。この場合にもマスク層であるArFレジスト層表面に、特にエッチング対象層であるSiO層との境界付近により多く保護膜が形成され、その後の主エッチング工程におけるArFレジスト層の形状の変形を抑制することができる。 The etching process in the case where the antireflection layer 152 is present has been described above. However, if the antireflection layer 152 is not present, the first etching process is omitted, and CF 4 and H 2 are first plasma. And an initial etching step of etching the SiO 2 layer as an etching target partway through the opening pattern of the ArF photoresist layer is performed, and after this initial etching step, an etching gas preferably containing fluorocarbon, more preferably as described above A main etching step may be performed in which a gas containing linear C 5 F 8 and O 2 is turned into plasma and the remaining part of the SiO 2 layer that is the etching target layer is etched. Also in this case, a protective film is formed more on the surface of the ArF resist layer, which is a mask layer, especially near the boundary with the SiO 2 layer, which is an etching target layer, and the deformation of the shape of the ArF resist layer in the subsequent main etching process is suppressed. can do.

なお、本実施形態においても、エッチング対象部は、SiO膜に限るものではなく、TEOS、BPSG、PSG、SOG、熱酸化膜、HTO、FSG、有機系酸化Si膜、CORAL(ノベラス社)等の酸化膜(酸素化合物)や低誘電体有機絶縁膜等のエッチングに適用可能である。また、ArFフォトレジストやF2フォトレジストのような耐プラズマ性の低いフォトレジスト材料に限らず、他の有機フォトレジスト層でもよく、さらには、フォトレジストに限らず他のマスク層であってもよい。プラズマ処理装置の構成も図1のものに限るものではない。 Also in this embodiment, the etching target portion is not limited to the SiO 2 film, but TEOS, BPSG, PSG, SOG, thermal oxide film, HTO, FSG, organic-based Si oxide film, CORAL (NOBERAS), etc. It can be applied to etching of an oxide film (oxygen compound) or a low dielectric organic insulating film. Moreover, it is not limited to a photoresist material having low plasma resistance such as ArF photoresist or F2 photoresist, but may be other organic photoresist layers, and may be other mask layers without being limited to photoresist. . The configuration of the plasma processing apparatus is not limited to that shown in FIG.

次に、本実施形態に基づく実施例について説明する。
上記図6Aに示す被処理体の反射防止層152およびエッチング対象層であるSiO層151について、図1に示す装置を用いて表2に示す条件のNo.1〜6のエッチングを行った。なお、いずれのエッチングにおいても、第1の高周波電源の周波数を60MHzとし、第2の高周波電源の周波数を2MHzとした。
Next, examples based on this embodiment will be described.
For the antireflection layer 152 and the SiO 2 layer 151 as the etching target layer shown in FIG. Etching 1-6 was performed. In any etching, the frequency of the first high frequency power source was set to 60 MHz, and the frequency of the second high frequency power source was set to 2 MHz.

具体的には、No.1〜3はフォトレジスト層153としてアクリル酸樹脂のArFフォトレジストを用いたものであり、いずれも第3エッチング工程はCとOとArとを用いており、これらの中でNo.1は第1エッチング工程でCFを用い、第2エッチング工程を行わなかったもの、No.2は第1エッチング工程でCFを用い、第2エッチング工程でCFとHとを用いたもの、No.3は第1エッチング工程でHを用い、第2エッチング工程でCFとHとを用いたものである。また、No.4〜6はフォトレジスト層153としてメタクリル酸樹脂のArFフォトレジストを用いたものであり、いずれも第3エッチング工程は直鎖CとOとArとを用いており、これらの中でNo.4は第1エッチング工程でCFを用い、第2エッチング工程を行わなかったもの、No.5は第1エッチング工程でCFを用い、第2エッチング工程でCFとHとを用いたもの、No.6は第1エッチング工程でHを用い、第2エッチング工程でCFとHとを用いたものである。 Specifically, no. Nos. 1 to 3 use an ArF photoresist of acrylic resin as the photoresist layer 153, and the third etching process uses C 4 F 6 , O 2, and Ar. . No. 1 used CF 4 in the first etching step and did not perform the second etching step. No. 2 uses CF 4 in the first etching step, and uses CF 4 and H 2 in the second etching step. 3 uses H 2 in the first etching step and CF 4 and H 2 in the second etching step. No. Nos. 4 to 6 are obtained by using ArF photoresist of methacrylic acid resin as the photoresist layer 153. In each of the third etching steps, linear C 5 F 8 , O 2 and Ar are used. No. No. 4 used CF 4 in the first etching step and did not perform the second etching step. No. 5 uses CF 4 in the first etching step, and uses CF 4 and H 2 in the second etching step. No. 6 uses H 2 in the first etching process and CF 4 and H 2 in the second etching process.

全工程終了後、各条件のサンプルについてフォトレジスト層153の形状の変形を調査した。その結果、フォトレジスト層153としてアクリル酸樹脂を用いたNo.1〜3のうち、第2エッチング工程を行わなかったNo.1はフォトレジスト層の変形の指標である縦筋が存在していたが、第2エッチング工程を行ったNo.2、3では、第1エッチング工程で使用したガスにかかわらず、縦筋が存在していなかった。一方、ArFフォトレジスト層153としてアクリル酸樹脂より耐プラズマ性が低いメタクリル酸樹脂を用いたNo.4〜6のうち、第2エッチング工程を行わなかったNo.4は縦筋が存在していた。また、第1エッチング工程でCFを用い第2エッチング工程を行ったNo.5は縦筋が少なくなり、これにより第2エッチング工程により縦筋が抑制されることが確認された。第2エッチング工程を行い、かつ第1エッチング工程のガスをHにしたNo.6では縦筋が存在していなかった。つまり、フォトレジスト層153がプラズマに対する耐性の低い材料で構成されている場合、第2エッチング工程に加えて、第1エッチング工程でHにより反射防止層152をエッチングすることによりフォトレジスト層の変形の指標である縦筋が発生しなくなることが確認された。 After the completion of all the processes, the deformation of the shape of the photoresist layer 153 was investigated for the samples under each condition. As a result, No. 1 using an acrylic resin as the photoresist layer 153 was obtained. 1 to 3 in which the second etching step was not performed. No. 1 was a vertical streak that was an index of deformation of the photoresist layer. In 2 and 3, no vertical streak was present regardless of the gas used in the first etching step. On the other hand, as the ArF photoresist layer 153, a methacrylic acid resin having a plasma resistance lower than that of an acrylic resin is used. 4-6, No. 2 which did not perform the second etching step. 4 had longitudinal stripes. In addition, No. 2 in which the second etching process was performed using CF 4 in the first etching process. It was confirmed that No. 5 had fewer vertical streaks, thereby suppressing the vertical streaks by the second etching step. No. 2 in which the second etching step was performed and the gas in the first etching step was changed to H 2 . In 6 there was no longitudinal streak. That is, in the case where the photoresist layer 153 is made of a material having low resistance to plasma, in addition to the second etching step, the photoresist layer is deformed by etching the antireflection layer 152 with H 2 in the first etching step. It was confirmed that the vertical streak, which is an index of, no longer occurs.

Figure 0005008691
Figure 0005008691

(第5の実施形態)
ここでは、図1に示すプラズマ処理装置1を用いて、図7Aに示すような、Si等の下地層160上に形成されたSiO層等のエッチング対象層161(厚さ例:1500nm)と、このエッチング対象層161を覆う有機反射防止層162(厚さ例:60nm)と、この有機反射防止層162を覆う開口パターン163a(直径例:0.18μm)が形成されたArFフォトレジストまたはF2フォトレジストからなるフォトレジスト層163とを有する被処理体Wに対して、フォトレジスト層163の開口パターン163aを通して、有機反射防止層162をプラズマエッチングする工程と、次いでエッチング対象層161をプラズマエッチングして開口パターン161aを形成する工程を実施する。
(Fifth embodiment)
Here, using the plasma processing apparatus 1 shown in FIG. 1, an etching target layer 161 (thickness example: 1500 nm) such as a SiO 2 layer formed on a base layer 160 such as Si as shown in FIG. An ArF photoresist or F2 on which an organic antireflection layer 162 (thickness example: 60 nm) covering the etching target layer 161 and an opening pattern 163a (diameter example: 0.18 μm) covering the organic antireflection layer 162 are formed. A process of plasma etching the organic antireflection layer 162 through the opening pattern 163a of the photoresist layer 163 with respect to the object W having the photoresist layer 163 made of photoresist, and then plasma etching the layer 161 to be etched. The step of forming the opening pattern 161a is performed.

以下、図7A〜7Cおよび図8のフローチャートを参照して説明する。
フォトレジスト層163を構成するArFフォトレジストおよびF2フォトレジストとしては、脂環族含有アクリル樹脂、シクロオレフィン樹脂、シクロオレフィン−無水マレイン酸樹脂、メタクリル酸樹脂等を使用することができる。
有機反射防止層162としては、有機系高分子材料を適用することができる。
また、本実施形態では、プラズマ処理装置1の上部電極板24は、少なくとも表面が単結晶Si、SiC等のSiを含む材料で構成されている。
Hereinafter, a description will be given with reference to FIGS. 7A to 7C and the flowchart of FIG.
As the ArF photoresist and the F2 photoresist constituting the photoresist layer 163, an alicyclic-containing acrylic resin, cycloolefin resin, cycloolefin-maleic anhydride resin, methacrylic acid resin, or the like can be used.
As the organic antireflection layer 162, an organic polymer material can be applied.
In the present embodiment, the upper electrode plate 24 of the plasma processing apparatus 1 is made of a material containing Si such as single crystal Si or SiC at least on the surface.

まず、ゲートバルブ32を開放して、被処理体Wを処理容器2内に搬入し(STEP1)、静電チャック11上に配置する。次いで、ゲートバルブ32を閉じ、排気装置35によって処理容器2内を減圧した後、バルブ28を開放し、処理ガス供給源30からHガスを供給し(STEP2)、処理容器2内の圧力を所定の値とする。 First, the gate valve 32 is opened, and the workpiece W is carried into the processing container 2 (STEP 1) and placed on the electrostatic chuck 11. Next, after the gate valve 32 is closed and the inside of the processing container 2 is decompressed by the exhaust device 35, the valve 28 is opened, H 2 gas is supplied from the processing gas supply source 30 (STEP 2), and the pressure in the processing container 2 is reduced. Set to a predetermined value.

この状態で第1の高周波電源40、第2の高周波電源50から高周波電力を供給してHガスをプラズマ化し、フォトレジスト層163の開口パターンを通して有機反射防止層162をエッチングする(STEP3)(図7A)。一方、第1の高周波電源40、第2の高周波電源50から高周波電力を供給するタイミングの前後に、静電チャック11内の電極12に直流電圧を印加して、被処理体Wを静電チャック11上に静電吸着させる。所定の時間だけエッチングしたら高周波電力やエッチングガスの供給を停止して有機反射防止層162のエッチングを終了する(図7B)。プラズマ中の特定の物質の発光強度を終点検出器(図示せず)によって検出し、これに基づいてエッチング工程を終了してもよい。 In this state, high frequency power is supplied from the first high frequency power supply 40 and the second high frequency power supply 50 to turn H 2 gas into plasma, and the organic antireflection layer 162 is etched through the opening pattern of the photoresist layer 163 (STEP 3) ( FIG. 7A). On the other hand, a DC voltage is applied to the electrode 12 in the electrostatic chuck 11 before and after the timing of supplying the high-frequency power from the first high-frequency power supply 40 and the second high-frequency power supply 50 so that the workpiece W is electrostatic chucked. 11 is electrostatically adsorbed. After etching for a predetermined time, the supply of high-frequency power and etching gas is stopped and the etching of the organic antireflection layer 162 is finished (FIG. 7B). The emission intensity of a specific substance in the plasma may be detected by an end point detector (not shown), and the etching process may be terminated based on this.

本実施形態の場合、H2のプラズマによる有機反射防止層162のエッチング過程において、少なくとも表面がSiからなる上部電極板24から供給されるSiとHプラズマがフォトレジスト層163の表面に作用することにより、フォトレジスト層163の表面には、Si−OやSi−C等を含む薄い保護層163bが形成される。 In the case of this embodiment, in the etching process of the organic antireflection layer 162 by H 2 plasma, at least Si and H 2 plasma supplied from the upper electrode plate 24 whose surface is made of Si act on the surface of the photoresist layer 163. Thus, a thin protective layer 163b containing Si—O, Si—C, or the like is formed on the surface of the photoresist layer 163.

すなわち、Hのプラズマによる有機反射防止層162のエッチングの過程で、フォトレジスト層163の表面のCまたはHとの反応が起こり、その結果として、反応性の高いCやOがフォトレジスト層163の表面に多数存在する状態となり、これらの高反応性のCやOが上部電極板24から供給されたSiと反応し、Si−CあるいはSi−O等の物質を含む薄い保護層163bを形成すると考えられる。 That is, a reaction with C or H on the surface of the photoresist layer 163 occurs in the process of etching the organic antireflection layer 162 with H 2 plasma, and as a result, highly reactive C or O is converted into the photoresist layer 163. Many of these highly reactive C and O react with Si supplied from the upper electrode plate 24 to form a thin protective layer 163b containing a substance such as Si—C or Si—O. I think that.

このように、フォトレジスト層163の開口パターン163aを通して有機反射防止層162をプラズマエッチングする際に、フォトレジスト層163の表面に薄い保護層163bが形成され、別の余分な工程を必要とすることなく、フォトレジスト層163の耐プラズマ性を向上させることができる。したがって、有機反射防止層162をエッチングする際に表面荒れやストライエーションが入ることなく、フォトレジスト層163の耐プラズマ性を高く維持することができる。   As described above, when the organic antireflection layer 162 is plasma-etched through the opening pattern 163a of the photoresist layer 163, a thin protective layer 163b is formed on the surface of the photoresist layer 163, and another extra process is required. In addition, the plasma resistance of the photoresist layer 163 can be improved. Therefore, the plasma resistance of the photoresist layer 163 can be kept high without causing surface roughness or striation when the organic antireflection layer 162 is etched.

次いで、同一処理容器内または別の処理容器内で、エッチングガスとして例えばCとOとArを供給し(STEP4)、有機反射防止層162のエッチングと同様な手順でフォトレジスト層163の開口パターン163aを通してエッチング対象層161をプラズマエッチングする(STEP5)。これにより、エッチング対象層161に、たとえば高アスペクト比の開口パターン161aを形成する(図7C)。そして、エッチング対象層161のエッチング完了後、被処理体Wを、ゲートバルブ32を通じて処理容器2の外部に取り出す(STEP6)。 Next, in the same processing container or another processing container, for example, C 5 F 8 , O 2, and Ar are supplied as etching gases (STEP 4), and the photoresist layer 163 is processed in the same procedure as the etching of the organic antireflection layer 162. The etching target layer 161 is plasma etched through the opening pattern 163a (STEP 5). Thereby, for example, an opening pattern 161a having a high aspect ratio is formed in the etching target layer 161 (FIG. 7C). Then, after the etching of the etching target layer 161 is completed, the workpiece W is taken out of the processing container 2 through the gate valve 32 (STEP 6).

このエッチング対象層161のエッチングに際して、本実施形態の場合には、フォトレジスト層163の表面には保護層163bが形成されていることによって高い耐プラズマ性の状態にあるので、エッチング対象層161のプラズマエッチングにおいてもフォトレジスト層163の耐プラズマ性や、エッチング対象層161のフォトレジスト層163に対する選択比が高く維持される。このため、フォトレジスト層163の表面荒れや縦筋入りを生じさせず、エッチング対象層161を高いエッチングレートの条件にてプラズマエッチングすることができる。この結果、別の余分な工程を必要としないことと相まって、プラズマエッチング工程でのスループットが向上する。また、フォトレジスト層163の開口パターン163aにおける縦筋入りが発生しないので、フォトレジスト層163をマスクとしてエッチング対象層161に形成される開口パターン161aの精度も向上する。   In the etching of the etching target layer 161, in the case of the present embodiment, since the protective layer 163b is formed on the surface of the photoresist layer 163, the etching target layer 161 is in a high plasma resistance state. Also in the plasma etching, the plasma resistance of the photoresist layer 163 and the selection ratio of the etching target layer 161 to the photoresist layer 163 are kept high. For this reason, the etching target layer 161 can be plasma-etched under conditions of a high etching rate without causing the surface of the photoresist layer 163 to become rough or have vertical stripes. As a result, coupled with not requiring another extra step, the throughput in the plasma etching step is improved. Further, since vertical stripes do not occur in the opening pattern 163a of the photoresist layer 163, the accuracy of the opening pattern 161a formed in the etching target layer 161 using the photoresist layer 163 as a mask is also improved.

上記STEP2において、フォトレジスト層163の耐プラズマ性の向上の観点からは、Hの代わりにHe、Nを用いることができる。ただし、He、Nを用いる場合、有機反射防止層63はほとんどエッチングされない。なお、有機反射防止層162はなくてもよく、このときは、H、He、Nの少なくとも1種のプラズマ処理によって、専らフォトレジスト層163の耐プラズマ性の向上処理を行うことができる。 In STEP 2, from the viewpoint of improving the plasma resistance of the photoresist layer 163, He and N 2 can be used instead of H 2 . However, when He or N 2 is used, the organic antireflection layer 63 is hardly etched. The organic antireflection layer 162 may be omitted, and in this case, the plasma resistance improvement process of the photoresist layer 163 can be performed exclusively by at least one kind of plasma treatment of H 2 , He, and N 2. .

次に、図9A〜9Cおよび図10のフローチャートを参照して、本実施形態の変形例について説明する。
この変形例では、有機反射防止層162をCFガスのプラズマでエッチングした後、エッチング対象層161のエッチングに先立って、Hガスによるプラズマ処理にてフォトレジスト層163の表面に保護層163bを形成する例を示す。
Next, a modification of the present embodiment will be described with reference to the flowcharts of FIGS. 9A to 9C and FIG.
In this modification, after the organic antireflection layer 162 is etched with CF 4 gas plasma, the protective layer 163b is formed on the surface of the photoresist layer 163 by plasma treatment with H 2 gas prior to the etching of the etching target layer 161. An example of forming is shown.

すなわち、まず、ゲートバルブ32を開放して、被処理体Wを処理容器2内に搬入し(STEP11)、静電チャック11上に配置する。次いで、ゲートバルブ32を閉じ、排気装置35によって処理容器2内を減圧した後、バルブ28を開放し、処理ガス供給源30からCFガスを供給し(STEP12)、処理容器2内の圧力を所定の値とする。 That is, first, the gate valve 32 is opened, and the workpiece W is carried into the processing container 2 (STEP 11) and placed on the electrostatic chuck 11. Next, after the gate valve 32 is closed and the inside of the processing container 2 is depressurized by the exhaust device 35, the valve 28 is opened, CF 4 gas is supplied from the processing gas supply source 30 (STEP 12), and the pressure in the processing container 2 is reduced. Set to a predetermined value.

この状態で第1の高周波電源40、第2の高周波電源50から高周波電力を供給してCFガスをプラズマ化し、フォトレジスト層163の開口パターンを通して有機反射防止層162をエッチングする(STEP13)(図9A)。 In this state, high frequency power is supplied from the first high frequency power supply 40 and the second high frequency power supply 50 to convert the CF 4 gas into plasma, and the organic antireflection layer 162 is etched through the opening pattern of the photoresist layer 163 (STEP 13) ( FIG. 9A).

一方、第1の高周波電源40、第2の高周波電源50から高周波電力を供給するタイミングの前後に、静電チャック11内の電極12に直流電圧を印加して、被処理体Wを静電チャック11上に静電吸着させる。所定の時間だけエッチングしたら高周波電力やエッチングガスの供給を停止して有機反射防止層162のエッチングを終了する。プラズマ中の特定の物質の発光強度を終点検出器(図示せず)によって検出し、これに基づいてエッチング工程を終了してもよい。   On the other hand, a DC voltage is applied to the electrode 12 in the electrostatic chuck 11 before and after the timing of supplying the high-frequency power from the first high-frequency power supply 40 and the second high-frequency power supply 50 so that the workpiece W is electrostatic chucked. 11 is electrostatically adsorbed. After etching for a predetermined time, the supply of high-frequency power and etching gas is stopped and the etching of the organic antireflection layer 162 is finished. The emission intensity of a specific substance in the plasma may be detected by an end point detector (not shown), and the etching process may be terminated based on this.

次に、処理容器2に供給するガスをHガスに切り換えて(STEP14)、当該Hガスをプラズマ化し、Hプラズマと上部電極板24から供給されるSiとをフォトレジスト層163の表面に所定時間だけ作用させて、フォトレジスト層163の表面に、Si−OやSi−C等を含む薄い保護層163bを形成する(STEP15)(図9B)。 Next, the gas supplied to the processing container 2 is switched to H 2 gas (STEP 14), the H 2 gas is turned into plasma, and the H 2 plasma and Si supplied from the upper electrode plate 24 are converted into the surface of the photoresist layer 163. Then, a thin protective layer 163b containing Si—O, Si—C or the like is formed on the surface of the photoresist layer 163 (STEP 15) (FIG. 9B).

すなわち、この変形例の場合、フォトレジスト層163のプラズマ処理の過程で、フォトレジスト層163の表面のCまたはHと反応が起こり、その結果として、反応性が高いCやOがフォトレジスト層163の表面に多数存在する状態となり、高反応性のCやOが上部電極板24から供給されるSiと反応し、Si−OやSi−Cとなって薄い保護層163bが形成されると考えられる。このSi−OやSi−C等を含む薄い保護層163bにより、フォトレジスト層163の耐プラズマ性が向上する。   That is, in the case of this modification, a reaction with C or H on the surface of the photoresist layer 163 occurs in the course of the plasma treatment of the photoresist layer 163, and as a result, highly reactive C or O is converted into the photoresist layer 163. Many reactive C and O react with Si supplied from the upper electrode plate 24 to form a thin protective layer 163b as Si-O or Si-C. It is done. The thin protective layer 163b containing Si—O, Si—C, or the like improves the plasma resistance of the photoresist layer 163.

次いで、同一処理容器内または別の処理容器内で、エッチングガスとして例えばCとArとOを使用して(STEP16)、有機反射防止層162のエッチングと同様な手順でフォトレジスト層163の開口パターン163aを通してエッチング対象層161をプラズマエッチングする(ステップ17)。これにより、たとえば高アスペクト比の開口パターン161aを形成する(図9C)。そして、エッチング対象層161のエッチング完了後、被処理体Wを、ゲートバルブ32を通じて処理容器2の外部に取り出す(STEP18)。 Next, in the same processing container or another processing container, for example, using C 5 F 8 , Ar, and O 2 as etching gases (STEP 16), the photoresist layer is processed in the same procedure as the etching of the organic antireflection layer 162. The etching target layer 161 is plasma etched through the opening pattern 163a of 163 (step 17). Thereby, for example, an opening pattern 161a having a high aspect ratio is formed (FIG. 9C). Then, after the etching of the etching target layer 161 is completed, the workpiece W is taken out of the processing container 2 through the gate valve 32 (STEP 18).

このエッチング対象層161の開口パターン161aのエッチングに際して、本変形例の場合には、上述のように、フォトレジスト層163の表面は保護層163bが形成されることによって高い耐プラズマ性を持った状態にあるので、フォトレジスト層163の耐プラズマ性やエッチングの対マスク選択比が高く維持される。しかもフォトレジスト層163に表面荒れや縦筋入りを生じることなく、高いエッチングレートの条件にてプラズマエッチングによる開口パターン161aの形成を行うことができる。この結果、別に余分な工程を必要としないことと相まって、プラズマエッチング工程でのスループットが向上する。
上述のSTEP15における保護層163bの形成処理では、Hの代わりに、またはHとともに、N、Heを用いてもよい。
When etching the opening pattern 161a of the etching target layer 161, in the case of this modification, as described above, the surface of the photoresist layer 163 has a high plasma resistance due to the formation of the protective layer 163b. Therefore, the plasma resistance of the photoresist layer 163 and the etching selectivity to the mask are kept high. In addition, the opening pattern 161a can be formed by plasma etching under conditions of a high etching rate without causing surface roughness or vertical stripes in the photoresist layer 163. As a result, coupled with the fact that no extra process is required, the throughput in the plasma etching process is improved.
In process of forming the protective layer 163b in the above STEP15, instead of H 2, or together with H 2, it may be used N 2, the He.

なお、本実施形態において、エッチング対象層161は、例示したSiOに代表されるSi酸化物に限るものではなく、Si窒化物、Si炭化物等の他のSi化合物、単結晶Si、多結晶Si、有機材料、有機−無機ハイブリッド材料、金属、金属化合物等が適用可能である。また、本実施形態では、例示したArFフォトレジストやF2フォトレジストのような耐プラズマ性の低いフォトレジスト材料において特に有効であるが、これに限らず、電子線でリソグラフィーを行うEBレジスト、真空紫外線でリソグラフィーを行うEUVレジスト、KrFレジスト等の他の有機フォトレジスト層でも同様の効果を得ることができ、さらには、フォトレジスト層に限らず他のマスク層であってもよい。さらに、プラズマ処理装置の構成も図1のものに限るものではない。 In the present embodiment, the etching target layer 161 is not limited to the Si oxide represented by the exemplified SiO 2 , but other Si compounds such as Si nitride and Si carbide, single crystal Si, and polycrystalline Si. Organic materials, organic-inorganic hybrid materials, metals, metal compounds, and the like are applicable. In this embodiment, the present invention is particularly effective in a photoresist material having low plasma resistance such as the exemplified ArF photoresist and F2 photoresist. However, the present invention is not limited to this, and an EB resist that performs lithography with an electron beam, vacuum ultraviolet light, and the like. The same effect can be obtained with other organic photoresist layers such as an EUV resist and a KrF resist which are subjected to lithography in step 1. Further, the mask layer is not limited to the photoresist layer. Furthermore, the configuration of the plasma processing apparatus is not limited to that shown in FIG.

さらにまた、保護層を形成する際のSi源として上部電極板を用いたが、これに限らず、処理容器内の構成部材、例えばフォーカスリング、シールドリング、インナーチャンバーを少なくともその表面がSiを含むようにすることにより同様のSi源として用いることができる。ただし、上部電極板は、被処理体と対向して設けられているため、耐プラズマ性の向上処理が被処理体の面内で均一に行うことができるという利点があり、好ましい。   Furthermore, the upper electrode plate is used as the Si source when forming the protective layer. However, the present invention is not limited to this, and at least the surface of constituent members in the processing vessel such as a focus ring, a shield ring, and an inner chamber contains Si. By doing so, it can be used as a similar Si source. However, since the upper electrode plate is provided so as to face the object to be processed, there is an advantage that the treatment for improving the plasma resistance can be performed uniformly within the surface of the object to be processed, which is preferable.

次に、本実施形態に基づく実施例について説明する。
以下の各実施例および比較例での第1の高周波電源40、第2の高周波電源50の周波数はそれぞれ60MHz、13.56MHzとした。
Next, examples based on this embodiment will be described.
The frequencies of the first high-frequency power source 40 and the second high-frequency power source 50 in the following examples and comparative examples were 60 MHz and 13.56 MHz, respectively.

(1)[フォトレジスト層のプラズマ処理]
ここでは、エッチング対象層を覆う開口パターンが形成されたフォトレジスト層に対して、H2、N2、Heの各々をプラズマ化してプラズマ処理する実施例1〜3と、Arをプラズマ化してプラズマ処理する比較例1を行った。プラズマ処理は1分間行った。フォトレジスト層としてはArFフォトレジストを用いた。
(1) [Plasma treatment of photoresist layer]
Here, Examples 1 to 3 in which each of H 2 , N 2 , and He is plasma-processed and plasma-processed with respect to the photoresist layer in which the opening pattern covering the etching target layer is formed, and Ar is converted into plasma and plasma is processed Comparative Example 1 to be processed was performed. The plasma treatment was performed for 1 minute. ArF photoresist was used as the photoresist layer.

(実施例5−1)
処理容器内圧力:2.01Pa(15mTorr)
第1の高周波電源からの高周波電力:2200W
第2の高周波電源からの高周波電力:100W
処理ガスおよびその流量:Hを0.1L/min(100sccm)
(実施例5−2)
処理容器内圧力:2.01Pa(15mTorr)
第1の高周波電源からの高周波電力:2200W
第2の高周波電源からの高周波電力:100W
処理ガスおよびその流量:Nを0.1L/min(100sccm)
(実施例5−3)
処理容器内圧力:2.01Pa(15mTorr)
第1の高周波電源からの高周波電力:2200W
第2の高周波電源からの高周波電力:100W
処理ガスおよびその流量:Heを0.1L/min(100sccm)
(比較例5−1)
処理容器内圧力:2.01Pa(15mTorr)
第1の高周波電源からの高周波電力:2200W
第2の高周波電源からの高周波電力:100W
処理ガスおよびその流量:Arを0.1L/min(100sccm)
(Example 5-1)
Processing container pressure: 2.01 Pa (15 mTorr)
High frequency power from the first high frequency power source: 2200 W
High frequency power from the second high frequency power supply: 100 W
Process gas and its flow rate: H 2 0.1 L / min (100 sccm)
(Example 5-2)
Processing container pressure: 2.01 Pa (15 mTorr)
High frequency power from the first high frequency power source: 2200 W
High frequency power from the second high frequency power supply: 100 W
Process gas and its flow rate: N 2 0.1 L / min (100 sccm)
(Example 5-3)
Processing container pressure: 2.01 Pa (15 mTorr)
High frequency power from the first high frequency power source: 2200 W
High frequency power from the second high frequency power supply: 100 W
Process gas and its flow rate: He is 0.1 L / min (100 sccm)
(Comparative Example 5-1)
Processing container pressure: 2.01 Pa (15 mTorr)
High frequency power from the first high frequency power source: 2200 W
High frequency power from the second high frequency power supply: 100 W
Process gas and its flow rate: Ar 0.1 L / min (100 sccm)

図11Aおよび図11Bは、それぞれアクリル系およびメタクリル系のArFフォトレジストを用いたフォトレジスト層における、プラズマ処理直後の表面分析結果(H、N2、He、Arでそれぞれ示される線図)を示す図である。これらに示されるように、実施例5−1〜5−3では、アクリル系およびメタクリル系のいずれのArFフォトレジストにおいても、H、N2、Heの各々のプラズマによるプラズマ処理によって、フォトレジスト層の表面にSi−OやSi−C等に相当する結合エネルギーを持つ物質を含む保護層が存在することが観測された。 FIGS. 11A and 11B show surface analysis results (diagrams indicated by H 2 , N 2 , He, and Ar, respectively) immediately after plasma treatment in a photoresist layer using an acrylic and methacrylic ArF photoresist, respectively. FIG. As shown in these examples, in Examples 5-1 to 5-3, in both the acrylic and methacrylic ArF photoresists, the photoresist is treated by plasma treatment using H 2 , N 2 , and He plasmas. It was observed that a protective layer containing a substance having a binding energy corresponding to Si—O or Si—C exists on the surface of the layer.

これに対して、比較例5−1のArによるプラズマ処理の場合には、アクリル系およびメタクリル系のいずれのArFフォトレジストにおいても、上部電極板から供給されるSiの付着が観測されるのみであった。
フォトレジスト層の表面にSiが付着することによっても耐プラズマ性は向上するが、この場合にはアッシング後にエッチング対象層のホール付近にSiが付着する不都合が生じることがある。この点から、プラズマ処理には、H、N2、Heを使用するのがよいことが確認された。
On the other hand, in the case of the plasma treatment with Ar in Comparative Example 5-1, only adhesion of Si supplied from the upper electrode plate was observed in both acrylic and methacrylic ArF photoresists. there were.
Although the plasma resistance is improved by the adhesion of Si to the surface of the photoresist layer, in this case, there is a problem that Si adheres to the vicinity of the hole of the etching target layer after ashing. From this point, it was confirmed that it is preferable to use H 2 , N 2 , and He for the plasma treatment.

(2)[有機反射防止層のエッチング後のフォトレジスト層のプラズマ処理]
エッチング対象層と、エッチング対象層を覆う有機反射防止層と、有機反射防止層を覆う開口パターンが形成されたフォトレジスト層とを有する被処理体Wについて、以下の条件で有機反射防止層をエッチングし、その後、実施例5−1〜5−3、比較例5−1と同じ条件でArFフォトレジスト層163をプラズマ処理した(図9A,9B、図10のSTEP11〜15)。
処理容器内圧力:6.7Pa(50mTorr)
第1の高周波電源からの高周波電力:1000W
第2の高周波電源からの高周波電力:100W
エッチングガスおよびその流量:CFを0.1L/min(100sccm)
(2) [Plasma treatment of photoresist layer after etching of organic antireflection layer]
Etching the organic antireflection layer under the following conditions for the object to be processed W having an etching target layer, an organic antireflection layer covering the etching target layer, and a photoresist layer having an opening pattern covering the organic antireflection layer Thereafter, the ArF photoresist layer 163 was subjected to plasma treatment under the same conditions as in Examples 5-1 to 5-3 and Comparative Example 5-1 (FIGS. 9A and 9B, STEPs 11 to 15 in FIG. 10).
Processing container pressure: 6.7 Pa (50 mTorr)
High frequency power from the first high frequency power supply: 1000 W
High frequency power from the second high frequency power supply: 100 W
Etching gas and its flow rate: CF 4 0.1 L / min (100 sccm)

次いで、エッチング対象層61を以下の条件でエッチングした(図9C、図5のSTEP16〜18)。
処理容器内圧力:2.01Pa(15mTorr)
第1の高周波電源からの高周波電力:2170W
第2の高周波電源からの高周波電力:1550W
エッチングガスおよびその流量:
c−C:0.015L/min(15sccm)
Ar:0.380L/min(380sccm)
:0.019L/min(19sccm)
Next, the etching target layer 61 was etched under the following conditions (FIG. 9C, STEPs 16 to 18 in FIG. 5).
Processing container pressure: 2.01 Pa (15 mTorr)
High frequency power from the first high frequency power source: 2170 W
High frequency power from the second high frequency power source: 1550 W
Etching gas and its flow rate:
c-C 5 F 8: 0.015L / min (15sccm)
Ar: 0.380 L / min (380 sccm)
O 2 : 0.019 L / min (19 sccm)

以上のようにエッチング対象層のエッチングを行った後、電子顕微鏡写真で各被処理体のエッチング箇所の断面形状を観察した。その結果、ArFフォトレジストからなるフォトレジスト層をH、N2、He、Arでプラズマ処理した被処理体ではいずれもフォトレジスト層の表面荒れや縦筋入りはほとんど見られなかった。これに対して上記工程中においてフォトレジスト層のプラズマ処理を行わなかった被処理体ではフォトレジストの表面荒れや縦筋入りが見られた。 After etching the etching target layer as described above, the cross-sectional shape of the etched portion of each workpiece was observed with an electron micrograph. As a result, almost no surface roughness or vertical streaking of the photoresist layer was observed in any of the objects to be processed in which a photoresist layer made of ArF photoresist was plasma-treated with H 2 , N 2 , He, and Ar. On the other hand, surface roughness of the photoresist and vertical stripes were observed in the object to be processed in which the plasma treatment of the photoresist layer was not performed during the above process.

また、有機反射防止層のエッチング後でエッチング対象層のエッチング前にフォトレジスト層のプラズマ処理を行う場合は、有機反射防止層とエッチング対象層のエッチング前にフォトレジスト層163のプラズマ処理を行う場合に比べて、エッチング対象層のエッチング後のArFフォトレジスト層の表面荒れや縦筋入りは少なかった。したがって、有機反射防止層のエッチングでエッチング速度が大きくしかもArFフォトレジストへのダメージが比較的少ないCFプラズマを使用し、その後、ArFフォトレジスト層のプラズマ処理を行い、次いで、エッチング対象層のエッチングを行うようにすれば、スループット及びエッチング精度の向上が可能となる。 In the case where the photoresist layer is plasma-treated after the organic antireflection layer is etched and before the etching target layer is etched, the photoresist layer 163 is plasma-treated before the organic antireflection layer and the etching target layer are etched. In comparison with the above, there were few surface roughness and vertical stripes in the ArF photoresist layer after etching of the etching target layer. Therefore, CF 4 plasma is used which etches the organic antireflection layer at a high etching rate and causes relatively little damage to the ArF photoresist. Thereafter, the ArF photoresist layer is plasma-treated, and then the etching target layer is etched. If this is performed, throughput and etching accuracy can be improved.

(第6の実施形態)
ここでは、図1のプラズマ処理装置1を用いて、図12のような、例えばSiOからなる下地層171と、これを覆う反射防止層172と、反射防止層172を覆う開口パターンが形成されたArFフォトレジストまたはF2フォトレジストからなるフォトレジスト層173を有する被処理体Wに対して、フォトレジストマスク層173の開口パターン173aを介して反射防止層172をプラズマエッチングする工程を実施する。この実施形態においても、ArFフォトレジストおよびF2フォトレジストとしては、脂環族含有アクリル樹脂、シクロオレフィン樹脂、シクロオレフィン−無水マレイン酸樹脂等を使用することができる。また、反射防止層172としては、無機系のものでも、有機系のものでも使用可能であり、例えば炭素含有材料であるアモルファスカーボンや、有機高分子材料を使用することができる。
(Sixth embodiment)
Here, using the plasma processing apparatus 1 of FIG. 1, an underlayer 171 made of, for example, SiO 2 , an antireflection layer 172 covering the same, and an opening pattern covering the antireflection layer 172 are formed as shown in FIG. 12. A process of plasma etching the antireflection layer 172 through the opening pattern 173a of the photoresist mask layer 173 is performed on the workpiece W having the photoresist layer 173 made of ArF photoresist or F2 photoresist. Also in this embodiment, an alicyclic-containing acrylic resin, a cycloolefin resin, a cycloolefin-maleic anhydride resin, or the like can be used as the ArF photoresist and the F2 photoresist. Further, the antireflection layer 172 can be either an inorganic type or an organic type, and for example, amorphous carbon that is a carbon-containing material or an organic polymer material can be used.

エッチングに際しては、まず、ゲートバルブ32を開放して、被処理体Wを処理容器2内に搬入し、静電チャック11上に載置する。次いで、ゲートバルブ32を閉じ、排気装置35によって処理容器2内を減圧した後、バルブ28を開放し、処理ガス供給源30から上記処理ガス、例えばCとOとを供給し、処理容器2内の圧力を所定の値とする。 In etching, first, the gate valve 32 is opened, and the workpiece W is carried into the processing container 2 and placed on the electrostatic chuck 11. Next, the gate valve 32 is closed, the inside of the processing container 2 is decompressed by the exhaust device 35, the valve 28 is opened, and the processing gas, for example, C 2 F 4 and O 2 is supplied from the processing gas supply source 30, The pressure in the processing container 2 is set to a predetermined value.

この状態で、上部電極21と下部電極であるサセプタ5に高周波電源を印加し、処理ガスをプラズマ化して被処理体W中の反射防止層172をフォトレジストマスク層173の開口パターン173aを介してエッチングする。一方、上下電極に高周波電力を印加するタイミングの前後に、直流電圧を静電チャック11内の電極12に印加して、被処理体Wを静電チャック11上に静電吸着する。
エッチング中に、所定の発光強度を終点検出器(図示せず)によって検出し、これに基づいてエッチングを終了する。
In this state, a high frequency power source is applied to the upper electrode 21 and the susceptor 5 which is the lower electrode, the processing gas is turned into plasma, and the antireflection layer 172 in the object to be processed W is passed through the opening pattern 173a of the photoresist mask layer 173. Etch. On the other hand, a DC voltage is applied to the electrode 12 in the electrostatic chuck 11 before and after the timing of applying the high frequency power to the upper and lower electrodes, and the workpiece W is electrostatically adsorbed on the electrostatic chuck 11.
During etching, a predetermined emission intensity is detected by an end point detector (not shown), and the etching is terminated based on this.

本実施形態では、このようにCを含む処理ガス、例えばCとOとを含む処理ガスを用いて、フォトレジスト層173を介して反射防止層172をエッチングすることにより、フォトレジスト層173の表面荒れを抑え、反射防止層のフォトレジスト層に対する選択比を高く維持するとともに、反射防止層172のエッチングレートを大きくすることができる。 In this embodiment, the process gas thus containing C 2 F 4, for example by using a process gas containing C 2 F 4 and O 2, by etching the anti-reflective layer 172 through the photoresist layer 173 The surface roughness of the photoresist layer 173 can be suppressed, the selectivity of the antireflection layer to the photoresist layer can be maintained high, and the etching rate of the antireflection layer 172 can be increased.

なお、本発明は本実施形態に限定されることなく種々変形可能である。例えば、エッチング対象層として反射防止層の場合を示したが、これに限らず他の層をエッチングする場合であってもよい。また、Cを含有する処理ガスとしては、CとOとを含むものに限らない。さらに、CとOとを含む処理ガスを用いた場合には、マスク層としては、ArFフォトレジストやF2フォトレジストに限らず、他のフォトレジストを用いることもでき、さらには、非レジストマスク層を用いることもできる。また、エッチング装置の構成も図1のものに限るものではない。 The present invention is not limited to this embodiment, and various modifications can be made. For example, although the case of the antireflection layer is shown as the etching target layer, the present invention is not limited to this, and other layers may be etched. Further, as the process gas containing C 2 F 4, not limited to those containing the C 2 F 4 and O 2. Furthermore, when a processing gas containing C 2 F 4 and O 2 is used, the mask layer is not limited to ArF photoresist or F2 photoresist, and other photoresists can be used. A non-resist mask layer can also be used. Further, the configuration of the etching apparatus is not limited to that shown in FIG.

以下、本実施形態に基づく実施例について説明する。
まず、実施例の条件は次の通りとした。すなわち、処理容器内圧力を1.33Pa(10mTorr)と6.66Pa(50mTorr)にし、処理ガスのCとOの流量比をC:O=5:2、3:2、5:4、1:1、3:4にし、上部電極には周波数60MHzの高周波電力を600、1000、1400Wで、下部電極には周波数2MHzの高周波電力を100Wで印加した。
一方、比較例の条件は次の通りとした。すなわち、処理容器内圧力を6.66Pa(50mTorr)にし、処理ガスをCFとし、上部電極には周波数60MHzの高周波電力を1000Wで、下部電極には周波数2MHzの高周波電力を100Wで印加した。
Hereinafter, examples based on the present embodiment will be described.
First, the conditions of the examples were as follows. That is, the pressure in the processing vessel is 1.33 Pa (10 mTorr) and 6.66 Pa (50 mTorr), and the flow rate ratio of C 2 F 4 and O 2 of the processing gas is C 2 F 4 : O 2 = 5: 2, 3: The frequency was set to 2, 5: 4, 1: 1, and 3: 4, and high frequency power with a frequency of 60 MHz was applied to the upper electrode at 600, 1000, and 1400 W, and high frequency power with a frequency of 2 MHz was applied to the lower electrode at 100 W.
On the other hand, the conditions of the comparative example were as follows. That is, the internal pressure of the processing vessel was 6.66 Pa (50 mTorr), the processing gas was CF 4 , high frequency power with a frequency of 60 MHz was applied to the upper electrode at 1000 W, and high frequency power with a frequency of 2 MHz was applied to the lower electrode at 100 W.

このような条件でエッチングを行ったところ、反射防止層のArFフォトレジストマスク層に対する選択比(反射防止層のエッチングレート/ArFフォトレジストマスク層のエッチングレート)は、実施例と比較例であまり変わらなかったが、反射防止層のエッチングレートは、実施例では比較例の1.2〜3.6倍となった。また、比較例のみならず実施例においても、ArFフォトレジストマスク層の表面荒れは発生しなかった。このことから、実施例によりArFフォトレジストマスク層の表面荒れを生じさせずに、高エッチングレートで反射防止膜をエッチングできることが確認された。   When etching was performed under such conditions, the selectivity ratio of the antireflection layer to the ArF photoresist mask layer (etching rate of the antireflection layer / etching rate of the ArF photoresist mask layer) was much different between the example and the comparative example. However, the etching rate of the antireflection layer was 1.2 to 3.6 times that of the comparative example. In addition to the comparative example, the surface roughness of the ArF photoresist mask layer did not occur in the examples. From this, it was confirmed that the antireflection film can be etched at a high etching rate without causing the surface roughness of the ArF photoresist mask layer according to the example.

(第7の実施形態)
ここでは、上記図1に示すプラズマ処理装置1を用いて、図13Aのようなエッチング対象であるSiO層181とこれを覆う反射防止層182とさらにこれを覆うArFフォトレジストまたはF2フォトレジストからなるフォトレジスト層183とを有する被処理体Wにおいて、フォトレジスト層183の開口パターン183aを通して反射防止層182をエッチングする工程と、この工程の後のSiO層181をエッチングする工程について説明する。この実施形態においても、ArFフォトレジストおよびF2フォトレジストとしては、脂環族含有アクリル樹脂、シクロオレフィン樹脂、シクロオレフィン−無水マレイン酸樹脂を使用することができる。反射防止層としては、有機高分子材料やアモルファスカーボンを使用することができる。
(Seventh embodiment)
Here, using the plasma processing apparatus 1 shown in FIG. 1, the SiO 2 layer 181 to be etched as shown in FIG. 13A, the antireflection layer 182 covering the SiO 2 layer 181, and the ArF photoresist or F2 photoresist covering the SiO 2 layer 181 are covered. A process of etching the antireflection layer 182 through the opening pattern 183a of the photoresist layer 183 and a process of etching the SiO 2 layer 181 after this process in the workpiece W having the photoresist layer 183 will be described. Also in this embodiment, as the ArF photoresist and the F2 photoresist, an alicyclic-containing acrylic resin, a cycloolefin resin, and a cycloolefin-maleic anhydride resin can be used. As the antireflection layer, an organic polymer material or amorphous carbon can be used.

まず、ゲートバルブ32を開放して、被処理体Wを処理容器2内に搬入し、静電チャック11上に配置する。次いで、ゲートバルブ32を閉じ、排気装置35によって処理容器2内を減圧した後、バルブ28を開放し、処理ガス供給源30からCとFとを有する物質とHを含有する物質とを含むエッチングガスを供給し、処理容器2内の圧力を所定の値、例えば6.66Pa(50mTorr)とする。この状態で、上部電極21と下部電極であるサセプタ5に高周波電源を印加し、エッチングガスをプラズマ化して被処理体W中の反射防止層182をエッチングする(図13A)。これにより、反射防止層182のエッチング終了後のフォトレジスト層183の残膜量を多くすることができ、その次のエッチング対象部のエッチング工程で所望の開口形状を有する孔や溝を形成することができる。   First, the gate valve 32 is opened, and the workpiece W is carried into the processing container 2 and placed on the electrostatic chuck 11. Next, after the gate valve 32 is closed and the inside of the processing container 2 is depressurized by the exhaust device 35, the valve 28 is opened, and etching including a substance containing C and F and a substance containing H from the processing gas supply source 30 is performed. Gas is supplied, and the pressure in the processing container 2 is set to a predetermined value, for example, 6.66 Pa (50 mTorr). In this state, a high frequency power source is applied to the upper electrode 21 and the susceptor 5 which is the lower electrode, and the etching gas is turned into plasma to etch the antireflection layer 182 in the workpiece W (FIG. 13A). As a result, the amount of the remaining film of the photoresist layer 183 after the etching of the antireflection layer 182 can be increased, and a hole or groove having a desired opening shape is formed in the etching process of the subsequent etching target portion. Can do.

このエッチングの際に用いられるCとFとを含有する物質としては、ArFフォトレジスト層に与えるダメージが少ないCFが例示される。また、Hを有する物質としては、ハイドロカーボン、H、ハイドロフルオロカーボンを用いることができる。ハイドロカーボンとしてはCH等が例示される。ハイドロフルオロカーボンとしては、Fの原子数に対するHの原子数の比が3以上の物質が好適であり、そのような物質としてCHFが例示される。CHFを用いる場合には、エッチングガス中のCとFとを有する物質の流量に対するCHFの流量の比を0.04〜0.07とすることにより、CHFを全く入れないときに比べて反射防止層のエッチング終了後のArFフォトレジスト層の残膜量をかなり増加させることができる。 An example of the substance containing C and F used in this etching is CF 4 that causes little damage to the ArF photoresist layer. Further, as the substance having H, hydrocarbon, H 2 , or hydrofluorocarbon can be used. Examples of the hydrocarbon include CH 4 and the like. As the hydrofluorocarbon, a substance having a ratio of the number of H atoms to the number of F atoms of 3 or more is suitable, and CH 3 F is exemplified as such a substance. When CH 3 F is used, CH 3 F is not added at all by setting the ratio of the flow rate of CH 3 F to the flow rate of the substance having C and F in the etching gas to 0.04 to 0.07. As compared with the case, the remaining amount of the ArF photoresist layer after the etching of the antireflection layer can be considerably increased.

一方、上下電極に高周波電源を印加するタイミングの前後に、直流電源13を静電チャック11内の電極12に印加して、被処理体Wを静電チャック11上に静電吸着する。このようにして反射防止層182のエッチングが終了したらエッチングガスおよび高周波電力の供給を停止する。   On the other hand, the DC power supply 13 is applied to the electrode 12 in the electrostatic chuck 11 before and after the timing of applying the high frequency power to the upper and lower electrodes, and the workpiece W is electrostatically adsorbed on the electrostatic chuck 11. When the etching of the antireflection layer 182 is completed in this way, the supply of the etching gas and the high frequency power is stopped.

次いで、処理容器2内に別のエッチングガス、例えばCとOとArの混合ガスを供給し、処理容器2内の圧力を所定の値、例えば2.00Pa(15mTorr)に調整する。上部電極21と下部電極であるサセプタ5に高周波電源を印加し、このエッチングガスをプラズマ化して被処理体W中のSiO層181をエッチングする(図13B)。エッチング中に、所定の発光強度を終点検出器(図示せず)によって検出し、これに基いてエッチングを終了する。 Next, another etching gas, for example, a mixed gas of C 5 F 8 , O 2 and Ar is supplied into the processing container 2, and the pressure in the processing container 2 is adjusted to a predetermined value, for example, 2.00 Pa (15 mTorr). . A high frequency power source is applied to the upper electrode 21 and the susceptor 5 as the lower electrode, and this etching gas is turned into plasma to etch the SiO 2 layer 181 in the workpiece W (FIG. 13B). During etching, a predetermined emission intensity is detected by an end point detector (not shown), and the etching is terminated based on this.

なお、エッチング対象部は、上記のようなSiO層に限るものではなく、TEOS、BPSG、PSG、SOG、熱酸化膜、HTO、FSG、有機系酸化Si膜、CORAL(ノベラス社)等の酸化膜(酸素化合物)や低誘電体有機絶縁膜等のエッチングに適用可能である。また、適用されるプラズマエッチング装置の構成も図1のものに限るものではない。 The portion to be etched is not limited to the SiO 2 layer as described above, but is oxidized by TEOS, BPSG, PSG, SOG, thermal oxide film, HTO, FSG, organic oxide Si film, CORAL (Novelas), etc. It can be applied to etching of a film (oxygen compound) or a low dielectric organic insulating film. Further, the configuration of the plasma etching apparatus to be applied is not limited to that shown in FIG.

次に、本実施形態に基づく実施例について説明する。
被処理体は図13AのようなSiO層(膜厚は2μm)とこれを覆う反射防止層(膜厚は60nm)とさらにこれを覆うArFフォトレジスト層(膜厚は360nm)を有するものを用いた。
Next, examples based on this embodiment will be described.
The object to be processed has an SiO 2 layer (film thickness is 2 μm) as shown in FIG. 13A, an antireflection layer (film thickness is 60 nm) covering this, and an ArF photoresist layer (film thickness is 360 nm) covering this. Using.

実施例の反射防止層のエッチング条件は以下のとおりとした。すなわち、処理容器2内の圧力を6.66Pa(50mTorr)とし、エッチングガスをCF(流量は100mL/min(sccm))とCHF(流量は4または7mL/min(sccm))の混合ガスとし、上部電極には60MHzの周波数の高周波電源から1000Wの高周波電力を印加し、下部電極には2MHzの周波数の高周波電源から100Wの高周波電力を印加した。また、CHFに代えて、それぞれH(流量は5、10または15mL/min(sccm))、CH(流量は5または10mL/min(sccm))およびCHF(流量は10、30、50または70mL/min(sccm))を用いたエッチングガスでも同様にエッチングを行った。
比較例ではエッチングガスをCFのみ(流量は100mL/min(sccm))とし、その他のエッチング条件は実施例と同じとした。
The etching conditions for the antireflection layer of the example were as follows. That is, the pressure in the processing container 2 is 6.66 Pa (50 mTorr), and the etching gas is a mixture of CF 4 (flow rate is 100 mL / min (sccm)) and CH 3 F (flow rate is 4 or 7 mL / min (sccm)). A high frequency power of 1000 W was applied to the upper electrode from a high frequency power source having a frequency of 60 MHz, and a high frequency power of 100 W was applied to the lower electrode from a high frequency power source having a frequency of 2 MHz. In place of CH 3 F, H 2 (flow rate is 5, 10 or 15 mL / min (sccm)), CH 2 F 2 (flow rate is 5 or 10 mL / min (sccm)) and CHF 3 (flow rate is 10 , 30, 50, or 70 mL / min (sccm)).
In the comparative example, the etching gas was CF 4 only (flow rate was 100 mL / min (sccm)), and other etching conditions were the same as in the example.

以上の実施例および比較例の条件で反射防止膜182のエッチングを行い、一定のエッチング時間経過後のArFフォトレジスト層の残膜の厚さを測定したところ以下の結果が得られた。   The antireflection film 182 was etched under the conditions of the above Examples and Comparative Examples, and the thickness of the remaining film of the ArF photoresist layer after a lapse of a certain etching time was measured. The following results were obtained.

実施例において、CHFを用いた場合には、その流量が4mL/minでは375nm、7mL/minでは405nmであった。Hを用いた場合には、その流量が5mL/minでは345nm、10mL/minと15mL/minでは360nmであった。CHを用いた場合は、その流量が5mL/minでは345nm、10mL/minでは400nmであった。CHFを用いた場合は、その流量が10mL/minでは350nm、30mL/minでは360nm、50mL/minでは360nm、70mL/minでは390nmであった。これに対して、比較例では330nmであった。 In Examples, when CH 3 F was used, the flow rate was 375 nm at 4 mL / min and 405 nm at 7 mL / min. When H 2 was used, the flow rate was 345 nm at 5 mL / min and 360 nm at 10 mL / min and 15 mL / min. When CH 2 F 2 was used, the flow rate was 345 nm at 5 mL / min and 400 nm at 10 mL / min. When CHF 3 was used, the flow rate was 350 nm at 10 mL / min, 360 nm at 30 mL / min, 360 nm at 50 mL / min, and 390 nm at 70 mL / min. On the other hand, in the comparative example, it was 330 nm.

以上より、いずれの実施例においても比較例より残膜の厚さは増加していることが確認された。これはArFフォトレジスト層をエッチングするF活性種がHを有するガスから生成したH活性種と適度に反応してHF等のガスになり処理容器外へ排出されたためと考えられる。   From the above, it was confirmed that the thickness of the remaining film was increased in all Examples as compared with the Comparative Example. This is presumably because the F active species that etch the ArF photoresist layer react appropriately with the H active species generated from the gas containing H to become a gas such as HF and are discharged out of the processing vessel.

また、これらの実施例の中でも特にCHFが優れていた。CHFの流量が少量であるにもかかわらず残膜量が多かったのは、分子中のH原子の数がF原子の数に比べて多かったためと考えられる。ただし、Hのような化学的に安定している物質では、H活性種が生成してもF活性種と反応するよりも別のH活性種と反応して再結合する方が優勢であると推測され、残膜量は他の物質のときと比べて多くはなかった。 Of these examples, CH 3 F was particularly excellent. The reason why the amount of the remaining film was large despite the small flow rate of CH 3 F is thought to be that the number of H atoms in the molecule was larger than the number of F atoms. However, in the case of a chemically stable substance such as H 2 , even if an H active species is generated, it is more preferential to react with another H active species and recombine than to react with an F active species. It was speculated that the amount of remaining film was not much compared with other substances.

したがって、物質自体がある程度不安定であってこの物質中にH原子が多く存在するもの、例えばハイドロカーボン(CH、C等)やハイドロフルオロカーボン(特に、Fの原子数に対するHの原子数の比が3以上のもの、例えばCHF)等をエッチングガスに入れるとよいことが確認された。また、CHFを使用する場合には、CとFとを有する物質であるCFの流量に対するCHFの流量の比が0.04〜0.07という少量であっても残膜量を多くすることができることも確認された。 Therefore, the substance itself is unstable to some extent, and there are many H atoms in the substance, for example, hydrocarbons (CH 4 , C 2 H 4 etc.) and hydrofluorocarbons (particularly H atoms relative to the number of F atoms) It has been confirmed that a number ratio of 3 or more, for example, CH 3 F) or the like may be put into the etching gas. Further, when CH 3 F is used, the amount of remaining film is not limited even if the ratio of the flow rate of CH 3 F to the flow rate of CF 4 , which is a substance having C and F, is as small as 0.04 to 0.07. It was also confirmed that it can be increased.

(第8の実施形態)
ここでは、上記図1に示すプラズマ処理装置1を用いて、図14Aのような、エッチング対象層であるSiO層191とこれを覆う反射防止層192とさらにこれを覆うArFフォトレジストまたはF2フォトレジストからなるフォトレジスト層193とを有する被処理体Wに対して、フォトレジスト層193の開口パターン193aを通して反射防止層192をエッチングする工程と、この工程の後のSiO層191をエッチングする工程とを実施する。この実施形態においてもArFフォトレジストおよびF2レジストとしては、脂環族含有アクリル樹脂、シクロオレフィン樹脂、シクロオレフィン−無水マレイン酸樹脂を使用することができる。反射防止層としては、有機高分子材料やアモルファスカーボンを使用することができる。
(Eighth embodiment)
Here, using the plasma processing apparatus 1 shown in FIG. 1, the SiO 2 layer 191 that is the etching target layer, the antireflection layer 192 that covers the SiO 2 layer 191 and the ArF photoresist or F2 photoresist that covers the same as shown in FIG. 14A. A process of etching the antireflection layer 192 through the opening pattern 193a of the photoresist layer 193 with respect to the workpiece W having the photoresist layer 193 made of resist, and a process of etching the SiO 2 layer 191 after this process And carry out. Also in this embodiment, an alicyclic-containing acrylic resin, a cycloolefin resin, and a cycloolefin-maleic anhydride resin can be used as the ArF photoresist and the F2 resist. As the antireflection layer, an organic polymer material or amorphous carbon can be used.

まず、ゲートバルブ32を開放して、被処理体Wを処理容器2内に搬入し、静電チャック11上に配置する。次いで、ゲートバルブ32を閉じ、排気装置35によって処理容器2内を減圧した後、バルブ28を開放し、処理ガス供給源30からCとFとを有する物質とCOとを含む第1エッチングガス、例えばCFとCOの混合ガスを供給し、処理容器2内の圧力を所定の値、例えば13.3Pa(100mTorr)とする。この状態で、上部電極21と下部電極であるサセプタ5に高周波電源を印加し、第1エッチングガスをプラズマ化して被処理体W中の反射防止層192をエッチングする(図14A)。一方、上下電極に高周波電源を印加するタイミングの前後に、直流電源13を静電チャック11内の電極12に印加して、被処理体Wを静電チャック11上に静電吸着する。反射防止層193のエッチングが終了したら第1エッチングガスおよび高周波電力の供給を停止する。 First, the gate valve 32 is opened, and the workpiece W is carried into the processing container 2 and placed on the electrostatic chuck 11. Next, after the gate valve 32 is closed and the inside of the processing container 2 is depressurized by the exhaust device 35, the valve 28 is opened, and a first etching gas containing CO and a substance having C and F from the processing gas supply source 30, For example, a mixed gas of CF 4 and CO is supplied, and the pressure in the processing container 2 is set to a predetermined value, for example, 13.3 Pa (100 mTorr). In this state, a high frequency power source is applied to the upper electrode 21 and the susceptor 5 that is the lower electrode, and the first etching gas is turned into plasma to etch the antireflection layer 192 in the workpiece W (FIG. 14A). On the other hand, the DC power supply 13 is applied to the electrode 12 in the electrostatic chuck 11 before and after the timing of applying the high frequency power to the upper and lower electrodes, and the workpiece W is electrostatically adsorbed on the electrostatic chuck 11. When the etching of the antireflection layer 193 is completed, the supply of the first etching gas and the high frequency power is stopped.

次いで、処理容器2内に第2エッチングガス、例えばC、Cのようなフルオロカーボンを含むガス、具体的にはCまたはCとOとArの混合ガスを供給し、処理容器2内の圧力を第2エッチングの所定の値、例えば2.00Pa(15mTorr)に調整する。上部電極21と下部電極であるサセプタ5に高周波電源を印加し、第2エッチングガスをプラズマ化して被処理体W中のSiO層191をエッチングする(図14B)。エッチング中に、所定の発光強度を終点検出器(図示せず)によって検出し、これに基づいてエッチングを終了する。 Then, the mixture of the second etching gas, for example, C 5 F 8, C 4 gas containing fluorocarbons such as F 6, in particular C 5 F 8 or C 4 F 6, O 2, and Ar into the processing chamber 2 Gas is supplied, and the pressure in the processing container 2 is adjusted to a predetermined value for the second etching, for example, 2.00 Pa (15 mTorr). A high frequency power source is applied to the upper electrode 21 and the susceptor 5 as the lower electrode, and the second etching gas is turned into plasma to etch the SiO 2 layer 191 in the workpiece W (FIG. 14B). During etching, a predetermined emission intensity is detected by an end point detector (not shown), and the etching is terminated based on this.

なお、エッチング対象部は、上記のようなSiO層に限るものではなく、TEOS、BPSG、PSG、SOG、熱酸化膜、HTO、FSG、有機系酸化Si膜、CORAL(ノベラス社)等の酸化膜(酸素化合物)や低誘電体有機絶縁膜等のエッチングに適用可能である。また、適用されるプラズマエッチング装置の構成も図1のものに限るものではない。 The portion to be etched is not limited to the SiO 2 layer as described above, but is oxidized by TEOS, BPSG, PSG, SOG, thermal oxide film, HTO, FSG, organic oxide Si film, CORAL (Novelas), etc. It can be applied to etching of a film (oxygen compound) or a low dielectric organic insulating film. Further, the configuration of the plasma etching apparatus to be applied is not limited to that shown in FIG.

次に、本実施形態に基づく実施例について説明する。
被処理体として図14Aのものを用いた。実施例の第1エッチング条件は以下のとおりとした。すなわち、処理容器2内の圧力を6.66Pa(50mTorr)または13.3Pa(100mTorr)とし、第1エッチングガスの流量をCF:75、100または200mL/min(sccm)、CO:25、100または200mL/min(sccm)とし、上部電極には60MHzの周波数の高周波電源から1000、1500または2000Wの高周波電力を印加し、下部電極には2MHzの周波数の高周波電源から100Wの高周波電力を印加した。
Next, examples based on this embodiment will be described.
The thing to be processed of FIG. 14A was used. The first etching conditions in the examples were as follows. That is, the pressure in the processing container 2 is 6.66 Pa (50 mTorr) or 13.3 Pa (100 mTorr), the flow rate of the first etching gas is CF 4 : 75, 100 or 200 mL / min (sccm), CO: 25,100 Alternatively, a high frequency power of 1000, 1500 or 2000 W was applied to the upper electrode from a high frequency power source of 60 MHz, and a high frequency power of 100 W was applied to the lower electrode from a high frequency power source of 2 MHz. .

比較例の第1エッチング条件は以下のとおりとした。すなわち、容器内圧力を6.66Pa(50mTorr)とし、第1エッチングガスとしてCFのみを100mL/min(sccm)の流量で添加し(COは添加せず)、高周波電源の周波数、印加電力は実施例と同じとした。 The first etching conditions of the comparative example were as follows. That is, the internal pressure of the container is 6.66 Pa (50 mTorr), and only CF 4 is added as a first etching gas at a flow rate of 100 mL / min (sccm) (CO is not added). The same as the example.

実施例および比較例の第2エッチング条件は以下のとおりとした。すなわち、処理容器内圧力を2.00Pa(15mTorr)とし、第2エッチングガスのC、O、Arの流量をそれぞれ15、19、380mL/min(sccm)とし、上部電極には60MHzの周波数の高周波電源から2170Wの高周波電力を印加し、下部電極には2MHzの周波数の高周波電源から1550Wの高周波電力を印加した。 The second etching conditions of the examples and comparative examples were as follows. That is, the pressure in the processing vessel is set to 2.00 Pa (15 mTorr), the flow rates of the second etching gases C 5 F 8 , O 2 , and Ar are set to 15, 19, 380 mL / min (sccm), respectively, and the upper electrode has a frequency of 60 MHz. A high frequency power of 2170 W was applied from a high frequency power source with a frequency of 1550 W, and a high frequency power of 1550 W was applied to the lower electrode from a high frequency power source with a frequency of 2 MHz.

以上のような条件で第1エッチングおよび第2エッチングを行った結果、第2エッチング工程でのSiO層のArFフォトレジスト層に対する選択比(SiO層のエッチングレート/ArFフォトレジスト層のエッチングレート)は、実施例が比較例を大きく上回った。例えば、実施例の第1エッチング条件が、圧力:13.3Pa(100mTorr)、CF4流量:75mL/min(sccm)、CO流量:25mL/min(sccm)、上部電極印加電力:1000Wのときの上記選択比は9.7であり、比較例の上記選択比は6.3であった。
また、第2エッチング工程のCに代えてCを使用したときにも、上記選択比は実施例(第1エッチングガスがCFとCO)が比較例(第1エッチングガスがCFのみ)を上回った。
As a result of performing the first etching and the second etching under the conditions as described above, the selection ratio of the SiO 2 layer to the ArF photoresist layer in the second etching step (SiO 2 layer etching rate / ArF photoresist layer etching rate) ), The example greatly exceeded the comparative example. For example, when the first etching conditions in the example are pressure: 13.3 Pa (100 mTorr), CF4 flow rate: 75 mL / min (sccm), CO flow rate: 25 mL / min (sccm), and upper electrode applied power: 1000 W The selectivity was 9.7, and the selectivity of the comparative example was 6.3.
In addition, when C 4 F 6 is used instead of C 5 F 8 in the second etching step, the above selection ratio is the example (first etching gas is CF 4 and CO) and the comparative example (first etching gas). Exceeded CF 4 only).

なお、CとFとを有する物質とCOとを含むガスのプラズマによって炭素間結合を有する保護膜がArFフォトレジスト層表面に形成されると考えられるため、単にArFフォトレジスト層表面にCとFとを有する物質とCOとを含むガスのプラズマを照射するだけでArFフォトレジスト層の耐プラズマ性を向上させることができる。
また、本発明は、ArFフォトレジスト層の場合ほど耐プラズマ性の向上効果はないものの、ArFフォトレジスト層以外のマスク層にも適用することができる。
さらに、第2エッチングガスとしてはCやCを含むガスに限らず、フロロカーボン、ハイドロフロロカーボン等の他のフッ素含有化合物を含むガスも使用することができる。
Note that it is considered that a protective film having a carbon-carbon bond is formed on the surface of the ArF photoresist layer by a plasma of a gas containing a substance containing C and F and CO. Therefore, the C and F are simply formed on the surface of the ArF photoresist layer. The plasma resistance of the ArF photoresist layer can be improved only by irradiating with a plasma of a gas containing a substance containing and CO.
In addition, the present invention can be applied to mask layers other than the ArF photoresist layer, although the effect of improving the plasma resistance is not as high as that of the ArF photoresist layer.
Furthermore, the second etching gas is not limited to a gas containing C 5 F 8 or C 4 F 6, but a gas containing another fluorine-containing compound such as fluorocarbon or hydrofluorocarbon can also be used.

(第9の実施形態)
ここでは、上記図1に示すプラズマ処理装置1を用いて、図15のような、エッチング対象であるSiO層201と、これを覆う有機反射防止層202と、この有機反射防止層202を覆う開口パターン203aが形成されたArFフォトレジストまたはF2フォトレジストからなるフォトレジスト層203とを有する被処理体Wに対して、フォトレジスト層203の開口パターンを通して、有機反射防止層202をプラズマエッチングする工程と、次いでSiO層201をプラズマエッチングする工程とを実施する。この実施形態においてもArFフォトレジストおよびF2レジストとしては、脂環族含有アクリル樹脂、シクロオレフィン樹脂、シクロオレフィン−無水マレイン酸樹脂を使用することができる。有機反射防止層202は、例えば有機系高分子材料で形成されている。
まず、ゲートバルブ32を開放して、被処理体Wを処理容器2内に搬入し、静電チャック11上に配置する。次いで、ゲートバルブ32を閉じ、排気装置35によって処理容器2内を減圧した後、バルブ28を開放し、エッチングガス供給源30から、Si含有物質であるSiFを含むエッチングガスを供給し処理容器2内の圧力を所定の値とする。SiFの代わりに他のSiを含む物質を用いてもよいが、有機反射防止層202のエッチング速度を大きくする観点からSiFが好ましい。
エッチングガスにはSi含有物質の他にCHF、HBr、HeまたはHを含んでもよく、例えばSiFとHを用いる。
(Ninth embodiment)
Here, the plasma processing apparatus 1 shown in FIG. 1 is used to cover the SiO 2 layer 201 to be etched, the organic antireflection layer 202 covering the same, and the organic antireflection layer 202 as shown in FIG. Step of plasma-etching the organic antireflection layer 202 through the opening pattern of the photoresist layer 203 with respect to the object W having the photoresist layer 203 made of ArF photoresist or F2 photoresist formed with the opening pattern 203a. Then, a step of plasma etching the SiO 2 layer 201 is performed. Also in this embodiment, an alicyclic-containing acrylic resin, a cycloolefin resin, and a cycloolefin-maleic anhydride resin can be used as the ArF photoresist and the F2 resist. The organic antireflection layer 202 is made of, for example, an organic polymer material.
First, the gate valve 32 is opened, and the workpiece W is carried into the processing container 2 and placed on the electrostatic chuck 11. Next, after the gate valve 32 is closed and the inside of the processing container 2 is depressurized by the exhaust device 35, the valve 28 is opened, and an etching gas containing SiF 4 that is a Si-containing material is supplied from the etching gas supply source 30. The pressure in 2 is set to a predetermined value. It may be a substance containing another Si instead of SiF 4 but, SiF 4 from the viewpoint of increasing the etching rate of the organic antireflective layer 202 is preferred.
The etching gas may contain CHF 3 , HBr, He, or H 2 in addition to the Si-containing material. For example, SiF 4 and H 2 are used.

この状態で第1、第2の高周波電源40、50から高周波電力を供給してエッチングガスをプラズマ化し、フォトレジスト層203の開口パターン203aを通して有機反射防止層202をエッチングする。一方、第1、第2の高周波電源40、50から高周波電力を供給するタイミングの前後に、静電チャック11内の電極12に直流電圧を印加して、被処理体Wを静電チャック11上に静電吸着させる。所定の時間だけエッチングしたら高周波電力やエッチングガスの供給を停止して有機反射防止層202のエッチングを終了する。所定の発行強度を終点検出器(図示せず)によって検出し、これに基づいてエッチング工程を終了してもよい。   In this state, high frequency power is supplied from the first and second high frequency power sources 40 and 50 to turn the etching gas into plasma, and the organic antireflection layer 202 is etched through the opening pattern 203a of the photoresist layer 203. On the other hand, a DC voltage is applied to the electrode 12 in the electrostatic chuck 11 before and after the timing of supplying the high-frequency power from the first and second high-frequency power supplies 40 and 50, so that the workpiece W is placed on the electrostatic chuck 11. To be electrostatically adsorbed. After etching for a predetermined time, the supply of high-frequency power and etching gas is stopped and the etching of the organic antireflection layer 202 is finished. A predetermined issuance intensity may be detected by an end point detector (not shown), and the etching process may be terminated based on this.

次いで、同一処理容器内または別の処理容器内で、有機反射防止層202のエッチングと同様な手順でフォトレジスト層の開口パターン203aを通してSiO層201をプラズマエッチングする。このときのエッチングガスとしては、例えばCとOとArを使用することができるがこれに限定されるものではない。 Next, in the same processing container or in another processing container, the SiO 2 layer 201 is plasma etched through the opening pattern 203a of the photoresist layer in the same procedure as the etching of the organic antireflection layer 202. For example, C 4 F 6 , O 2, and Ar can be used as the etching gas at this time, but the etching gas is not limited to this.

このように、フォトレジスト層203の開口パターンを通して有機反射防止膜202をプラズマエッチングする際に、Siを含むガスであるSiFガスを用いるので、エッチング中にフォトレジスト層203の表面にSiを含む薄い硬化層が形成され、フォトレジスト層203の耐プラズマ性を向上させることができる。したがって、有機反射防止層202をエッチングする際に表面荒れや縦筋入りが入ることなく、耐プラズマ性の低いArFフォトレジストまたはF2フォトレジストからなるフォトレジスト層203の耐プラズマ性を高く維持することができる。この場合に、有機反射防止層202のエッチングガスがHを含有する場合には、フォトレジスト層203の表面のC=O結合が化学的により強固なC−C結合またはC=C結合に変換するため、上述のフォトレジスト層203表面へのSiを含む薄い硬化層の形成と相まって、耐プラズマ性をより向上させることができる。 As described above, when the organic antireflection film 202 is plasma-etched through the opening pattern of the photoresist layer 203, SiF 4 gas, which is a gas containing Si, is used. Therefore, the surface of the photoresist layer 203 contains Si during the etching. A thin hardened layer is formed, and the plasma resistance of the photoresist layer 203 can be improved. Accordingly, when etching the organic antireflection layer 202, the plasma resistance of the photoresist layer 203 made of ArF photoresist or F2 photoresist having low plasma resistance is kept high without causing surface roughness or vertical streak. Can do. In this case, when the etching gas of the organic antireflection layer 202 contains H 2 , the C═O bond on the surface of the photoresist layer 203 is converted into a chemically stronger C—C bond or C═C bond. Therefore, in combination with the formation of a thin cured layer containing Si on the surface of the photoresist layer 203 described above, plasma resistance can be further improved.

また、このようにして有機反射防止層202をエッチングした後に、フォトレジスト層203の開口パターン203aを通してエッチング対象層であるSiO層201のエッチングを実施するので、有機反射防止層202をエッチングした際に耐プラズマ性が向上したフォトレジスト層203は、エッチング対象であるSiO層201のプラズマエッチングにおいてもプラズマ耐性が高く維持され、フォトレジスト層の表面荒れや縦筋入りを生じさせずプラズマエッチングすることができる。 Further, after the organic antireflection layer 202 is etched in this way, the etching of the SiO 2 layer 201 as the etching target layer is performed through the opening pattern 203a of the photoresist layer 203. Therefore, when the organic antireflection layer 202 is etched In addition, the photoresist layer 203 with improved plasma resistance is maintained with high plasma resistance even in the plasma etching of the SiO 2 layer 201 to be etched, and plasma etching is performed without causing surface roughness or vertical stripes in the photoresist layer. be able to.

なお、エッチング対象層は、上記SiOに代表されるSi酸化物に限るものではなく、Si窒化物、Si炭化物等の他のSi化合物、単結晶Si、多結晶Si、有機材料、有機−無機ハイブリッド材料、金属、金属化合物等が適用可能である。また、プラズマ処理装置の構成も図1のものに限るものではない。 Note that the etching target layer is not limited to the Si oxide typified by the SiO 2 , but other Si compounds such as Si nitride, Si carbide, single crystal Si, polycrystalline Si, organic material, organic-inorganic Hybrid materials, metals, metal compounds, etc. are applicable. Further, the configuration of the plasma processing apparatus is not limited to that shown in FIG.

次に、本実施形態に基づく実施例について説明する。
ここでは、図15の構造の被処理体を用いて、Siを含む物質を有する様々なエッチングガスを用いた有機反射防止層のエッチング(実施例9−1〜9−7)と、Siを含む物質を有しないエッチングガスを用いた有機反射防止層のエッチング(比較例9−1,9−2)を行った。
Next, examples based on this embodiment will be described.
Here, etching of the organic antireflection layer (Examples 9-1 to 9-7) using various etching gases having a substance containing Si using the object to be processed having the structure of FIG. 15 and Si are included. The organic antireflection layer was etched (Comparative Examples 9-1 and 9-2) using an etching gas having no substance.

各実施例および比較例での第1の高周波電源、第2の高周波電源の周波数はそれぞれ60MHz、13.56MHzとした。また、以下の条件での各実施例および比較例での有機反射防止層のエッチングの後に、後述するエッチング条件の下でSiO層のプラズマエッチングを行った。
・有機反射防止層のエッチング
(実施例9−1)
処理容器内圧力:0.67Pa(5mTorr)
第1の高周波電源からの高周波電力:300W
第2の高周波電源からの高周波電力:60W
エッチングガスおよびその流量:
SiFを0.08L/min(80sccm)
(実施例9−2)
処理容器内圧力:6.7Pa(50mTorr)
第1の高周波電源からの高周波電力:700W
第2の高周波電源からの高周波電力:100W
エッチングガスおよびその流量:
SiFを0.1L/min(100sccm)
(実施例9−3)
処理容器内圧力:0.67Pa(5mTorr)
第1の高周波電源からの高周波電力:300W
第2の高周波電源からの高周波電力:60W
エッチングガスおよびその流量:
SiFを0.04L/min(40sccm)
CHFを0.04L/min(40sccm)
(実施例9−4)
処理容器内圧力:0.67Pa(5mTorr)
第1の高周波電源からの高周波電力:300W
第2の高周波電源からの高周波電力:60W
エッチングガスおよびその流量:
SiFを0.04L/min(40sccm)
HBrを0.04L/min(40sccm)
(実施例9−5)
処理容器内圧力:0.67Pa(5mTorr)
第1の高周波電源からの高周波電力:300W
第2の高周波電源からの高周波電力:60W
エッチングガスおよびその流量:
SiFを0.04L/min(40sccm)
Heを0.04L/min(40sccm)
(実施例9−6)
処理容器内圧力:0.67Pa(5mTorr)
第1の高周波電源からの高周波電力:300W
第2の高周波電源からの高周波電力:60W
エッチングガスおよびその流量:
SiFを0.04L/min(40sccm)
HBrを0.02L/min(20sccm)
Heを0.02L/min(20sccm)
(実施例9−7)
処理容器内圧力:6.7Pa(50mTorr)
第1の高周波電源からの高周波電力:1000W
第2の高周波電源からの高周波電力:100W
エッチングガスおよびその流量:
SiFを0.03L/min(30sccm)
を0.02L/min(20sccm)
(比較例9−1)
処理容器内圧力:0.93Pa(7mTorr)
第1の高周波電源からの高周波電力:100W
第2の高周波電源からの高周波電力:250W
エッチングガスおよびその流量:
CFを0.072L/min(72sccm)
CHFを0.026L/min(26sccm)
を0.006L/min(6sccm)
(比較例9−2)
処理容器内圧力:6.7Pa(50mTorr)
第1の高周波電源からの高周波電力:1000W
第2の高周波電源からの高周波電力:100W
エッチングガスおよびその流量:
CFを0.1L/min(100sccm)
The frequencies of the first high-frequency power source and the second high-frequency power source in each example and comparative example were 60 MHz and 13.56 MHz, respectively. In addition, after etching the organic antireflection layer in each of the examples and comparative examples under the following conditions, plasma etching of the SiO 2 layer was performed under the etching conditions described later.
Etching of organic antireflection layer (Example 9-1)
Processing container pressure: 0.67 Pa (5 mTorr)
High frequency power from the first high frequency power supply: 300 W
High frequency power from the second high frequency power source: 60W
Etching gas and its flow rate:
SiF 4 is 0.08 L / min (80 sccm)
(Example 9-2)
Processing container pressure: 6.7 Pa (50 mTorr)
High frequency power from the first high frequency power source: 700 W
High frequency power from the second high frequency power supply: 100 W
Etching gas and its flow rate:
SiF 4 0.1 L / min (100 sccm)
(Example 9-3)
Processing container pressure: 0.67 Pa (5 mTorr)
High frequency power from the first high frequency power supply: 300 W
High frequency power from the second high frequency power source: 60W
Etching gas and its flow rate:
SiF 4 is 0.04 L / min (40 sccm)
CHF 3 is 0.04 L / min (40 sccm)
(Example 9-4)
Processing container pressure: 0.67 Pa (5 mTorr)
High frequency power from the first high frequency power supply: 300 W
High frequency power from the second high frequency power source: 60W
Etching gas and its flow rate:
SiF 4 is 0.04 L / min (40 sccm)
HBr 0.04L / min (40sccm)
(Example 9-5)
Processing container pressure: 0.67 Pa (5 mTorr)
High frequency power from the first high frequency power supply: 300 W
High frequency power from the second high frequency power source: 60W
Etching gas and its flow rate:
SiF 4 is 0.04 L / min (40 sccm)
He is 0.04 L / min (40 sccm)
(Example 9-6)
Processing container pressure: 0.67 Pa (5 mTorr)
High frequency power from the first high frequency power supply: 300 W
High frequency power from the second high frequency power source: 60W
Etching gas and its flow rate:
SiF 4 is 0.04 L / min (40 sccm)
HBr 0.02L / min (20sccm)
He is 0.02 L / min (20 sccm)
(Example 9-7)
Processing container pressure: 6.7 Pa (50 mTorr)
High frequency power from the first high frequency power supply: 1000 W
High frequency power from the second high frequency power supply: 100 W
Etching gas and its flow rate:
SiF 4 is 0.03 L / min (30 sccm)
H 2 0.02 L / min (20 sccm)
(Comparative Example 9-1)
Processing container pressure: 0.93 Pa (7 mTorr)
High frequency power from the first high frequency power supply: 100 W
High frequency power from the second high frequency power supply: 250 W
Etching gas and its flow rate:
CF 4 is 0.072 L / min (72 sccm)
CHF 3 is 0.026 L / min (26 sccm)
O 2 0.006 L / min (6 sccm)
(Comparative Example 9-2)
Processing container pressure: 6.7 Pa (50 mTorr)
High frequency power from the first high frequency power supply: 1000 W
High frequency power from the second high frequency power supply: 100 W
Etching gas and its flow rate:
CF 4 0.1 L / min (100 sccm)

・SiO層のエッチング
(実施例9−1、9−3〜9−6および比較例9−1)
処理容器内圧力:16Pa(120mTorr)
第1の高周波電源からの高周波電力:550W
第2の高周波電源からの高周波電力:350W
エッチングガスおよびその流量:
CFを0.1L/min(100sccm)
CHFを0.06L/min(60sccm)
(実施例9−2、9−7および比較例9−2)
処理容器内圧力:2.7Pa(20mTorr)
第1の高周波電源からの高周波電力:1800W
第2の高周波電源からの高周波電力:1150W
エッチングガスおよびその流量:
を0.025L/min(25sccm)
を0.026L/min(26sccm)
Arを0.7L/min(700sccm)
Etching of SiO 2 layer (Examples 9-1, 9-3 to 9-6 and Comparative example 9-1)
Processing vessel pressure: 16 Pa (120 mTorr)
High frequency power from the first high frequency power source: 550 W
High frequency power from the second high frequency power source: 350 W
Etching gas and its flow rate:
CF 4 0.1 L / min (100 sccm)
CHF 3 is 0.06 L / min (60 sccm)
(Examples 9-2, 9-7 and Comparative Example 9-2)
Processing container pressure: 2.7 Pa (20 mTorr)
High frequency power from the first high frequency power source: 1800W
High frequency power from the second high frequency power source: 1150 W
Etching gas and its flow rate:
C 4 F 6 is 0.025 L / min (25 sccm)
O 2 0.026 L / min (26 sccm)
Ar 0.7 L / min (700 sccm)

以上のようにSiO層201のエッチングを行った後、電子顕微鏡写真で各実施例および比較例の被処理体Wのエッチング箇所の断面形状を観察した。その結果、実施例9−1〜9−7ではいずれもArFフォトレジスト層203の表面荒れや縦筋入りはほとんど見られなかったが、比較例9−1、9−2ではいずれもArFフォトレジスト層203の表面荒れや縦筋入りが見られた。 After the etching of the SiO 2 layer 201 as described above, the cross-sectional shape of the etched portion of the workpiece W of each example and comparative example was observed with an electron micrograph. As a result, in Examples 9-1 to 9-7, almost no surface roughness or vertical streak was observed in the ArF photoresist layer 203, but in Comparative Examples 9-1 and 9-2, both were ArF photoresists. Surface roughness of the layer 203 and vertical stripes were observed.

(第10の実施形態)
ここでは、図16Aに示すような、SiO膜に代表されるSi酸化物からなるエッチング対象層211とこれを覆うArFフォトレジストまたはF2フォトレジストからなるマスク層212とを有する被処理体Wに対し、図1のプラズマ処理装置を用いて、以下に示す一連の工程を実施する。この実施形態においてもArFフォトレジストやF2フォトレジストとしては、脂環族含有アクリル樹脂、シクロオレフィン樹脂、シクロオレフィン−無水マレイン酸樹脂、メタクリル酸樹脂等を使用することができる。本実施形態においては、図1の装置におけるシャワーヘッドでもある上部電極板24がSiで構成されている。
(Tenth embodiment)
Here, as shown in FIG. 16A, an object to be processed W having an etching target layer 211 made of Si oxide typified by a SiO 2 film and a mask layer 212 made of ArF photoresist or F2 photoresist covering the etching target layer 211 is formed. On the other hand, the following series of steps are performed using the plasma processing apparatus of FIG. Also in this embodiment, as the ArF photoresist or F2 photoresist, an alicyclic-containing acrylic resin, cycloolefin resin, cycloolefin-maleic anhydride resin, methacrylic acid resin, or the like can be used. In the present embodiment, the upper electrode plate 24 that is also a shower head in the apparatus of FIG. 1 is made of Si.

まず、ゲートバルブ32を開放して、被処理体Wを処理容器2内に搬入し、静電チャック11上に配置する。次いで、ゲートバルブ32を閉じ、排気装置35によって処理容器2内を減圧した後、バルブ28を開放し、処理ガス供給源30から不活性ガス、例えばArを供給し、処理容器2内の圧力を例えば1.33Pa(10mTorr)とする。不活性ガスとしてはKr、Xe等、他のものを用いてもよい。この状態で、上部電極21と下部電極であるサセプタ5にそれぞれ高周波電源40および50から高周波電力を印加し、不活性ガスの少なくとも一部をイオン化してSiからなる上部電極板24をスパッタする。一方、上下電極に高周波電力を印加するタイミングの前後に、直流電源13を静電チャック11内の電極12に印加して、被処理体Wを静電チャック11上に静電吸着させる。   First, the gate valve 32 is opened, and the workpiece W is carried into the processing container 2 and placed on the electrostatic chuck 11. Next, after the gate valve 32 is closed and the inside of the processing container 2 is depressurized by the exhaust device 35, the valve 28 is opened, an inert gas such as Ar is supplied from the processing gas supply source 30, and the pressure in the processing container 2 is reduced. For example, it is set to 1.33 Pa (10 mTorr). Other inert gases such as Kr and Xe may be used. In this state, high frequency power is applied from the high frequency power sources 40 and 50 to the susceptor 5 which is the upper electrode 21 and the lower electrode, respectively, and at least a part of the inert gas is ionized to sputter the upper electrode plate 24 made of Si. On the other hand, the DC power source 13 is applied to the electrode 12 in the electrostatic chuck 11 before and after the timing of applying the high frequency power to the upper and lower electrodes, and the workpiece W is electrostatically adsorbed on the electrostatic chuck 11.

この際に、上部電極21に印加する高周波電力が不活性ガスのイオン化を促すエネルギーである。このようにしてSiからなる上部電極板24をスパッタすることにより、図16Bに示すように、マスク層212の表面にSi含有層213を形成することができる。マスク層212の表面にSi含有層213を形成する時間は、短すぎると耐プラズマ性向上の効果が余りなく、長すぎるとマスク層212の開口部分のエッチング対象層211表面にもSi含有層が多く形成されてしまいその後のエッチングを阻害してしまうから適当な時間を選択することが好ましい。例えば、上部電極21に印加する高周波電力の周波数:60MHz、電力:2000W、サセプタ5に印加する高周波電力の周波数:2MHz電力:100Wの条件を採用することができるが、この条件では、上記処理の時間は60〜90秒間の範囲が好ましかった。   At this time, the high frequency power applied to the upper electrode 21 is energy that promotes ionization of the inert gas. By sputtering the upper electrode plate 24 made of Si in this manner, the Si-containing layer 213 can be formed on the surface of the mask layer 212 as shown in FIG. 16B. If the time for forming the Si-containing layer 213 on the surface of the mask layer 212 is too short, the effect of improving the plasma resistance is not sufficient, and if it is too long, the Si-containing layer is also formed on the surface of the etching target layer 211 in the opening portion of the mask layer 212. It is preferable to select an appropriate time since a large amount is formed and the subsequent etching is hindered. For example, the conditions of the frequency of the high frequency power applied to the upper electrode 21: 60 MHz, the power: 2000 W, and the frequency of the high frequency power applied to the susceptor 5: 2 MHz power: 100 W can be adopted. Time was preferably in the range of 60-90 seconds.

また、電力については、上部電極印加電力を1250W、サセプタ印加電力を400Wとした場合(いわゆるVPPを低くした場合)よりも上記条件の方がSi含有層形成時のマスク層の開口形状の変化を少なくすることができた。VPPが高過ぎるとマスク層の開口が拡がってしまい、その後のエッチング工程で設計した開口パターンの孔や溝が作成できなくなってしまう。
上述のようなマスク層表面へのSi含有層形成が終わったら、高周波電力の印加を停止する。
As for the power, 1250 W upper electrode applied power, the change in the opening shape of the mask layer during towards the condition Si-containing layer than the case where the susceptor applied power was 400W (when the so-called V PP low) Was able to be reduced. If VPP is too high, the opening of the mask layer is expanded, and it becomes impossible to create holes and grooves of the opening pattern designed in the subsequent etching process.
After the formation of the Si-containing layer on the mask layer surface as described above, the application of high-frequency power is stopped.

この後、処理容器2内にエッチングガスを導入し、上部電極21とサセプタ5に高周波電力を印加し、エッチング対象層211をエッチングする。例えばエッチング対象層211がSi酸化物で形成されている場合は、C、C、Cの中から選択される少なくとも1つを含むガスであることが好ましい。このようなエッチングガスとしては、CとOとArの混合ガスが例示される。また、処理容器2内の圧力は2.67Pa(20mTorr)、上部電極21とサセプタ5に印加する高周波電力はそれぞれ1600Wと800Wが例示される。この際の高周波電力の周波数は、ともにスパッタリング時と同じ60MHz、2MHzが例示される。高周波電力の印加によりエッチングガスはプラズマ化し、例えばSi酸化物からなるエッチング対象層211をエッチングする。エッチングが終了したらエッチングガスおよび高周波電力の印加を停止する。 Thereafter, an etching gas is introduced into the processing container 2, high frequency power is applied to the upper electrode 21 and the susceptor 5, and the etching target layer 211 is etched. For example, in the case where the etching target layer 211 is formed of Si oxide, it is preferable that the gas includes at least one selected from C 4 F 6 , C 4 F 8 , and C 5 F 8 . As such an etching gas, a mixed gas of C 4 F 6 , O 2 and Ar is exemplified. The pressure in the processing container 2 is 2.67 Pa (20 mTorr), and the high frequency power applied to the upper electrode 21 and the susceptor 5 is 1600 W and 800 W, respectively. The frequency of the high frequency power at this time is exemplified by 60 MHz and 2 MHz which are the same as those during sputtering. By applying the high frequency power, the etching gas is turned into plasma, and the etching target layer 211 made of, for example, Si oxide is etched. When the etching is completed, the application of the etching gas and the high frequency power is stopped.

上記例示の条件でSi酸化物からなるエッチング対象層211をエッチングしたところ、マスク層212に対するエッチング対象層211の選択比(エッチング対象層のエッチングレート/マスク層のエッチングレート)は28.8であった。マスク層212表面へのSi含有層形成を行っていないときのエッチングでは上記選択比は8.2であった。
このようにしてエッチングを行った後、引き続きSi含有層213が表面に形成されたマスク層212を除去する工程(アッシング工程)を実施する。ここではSi含有層213が表面に形成されたマスク層212の除去を多段階で行う場合の例を示す。
When the etching target layer 211 made of Si oxide was etched under the above-described conditions, the selection ratio of the etching target layer 211 to the mask layer 212 (etching rate of the etching target layer / etching rate of the mask layer) was 28.8. It was. In the etching when the Si-containing layer was not formed on the surface of the mask layer 212, the selectivity was 8.2.
After etching is performed in this manner, a step (ashing step) of removing the mask layer 212 having the Si-containing layer 213 formed on the surface is subsequently performed. Here, an example in which removal of the mask layer 212 having the Si-containing layer 213 formed on the surface is performed in multiple stages is shown.

第1段階では、処理容器2内にフッ素を含むガス、例えばCFを導入し、所定時間上部電極21とサセプタ5に高周波電力を印加し、マスク層212に形成されたSi含有層213をほぼ完全に除去する。Si含有層が残っていると次の第2段階で、マスク層212を除去し終わったときに被処理体の表面にSi含有物が付着していることがあるからである。この際に、処理容器2内の圧力は6.66Pa(50mTorr)、上部電極21とサセプタ5に印加する高周波電力はそれぞれ1600Wと800W、周波数はともにスパッタリング時と同じ60MHz、2MHzが例示される。この条件で例えば90秒間処理することによりSi含有層213をほぼ完全に除去することができる。 In the first stage, a gas containing fluorine, such as CF 4, is introduced into the processing container 2, high-frequency power is applied to the upper electrode 21 and the susceptor 5 for a predetermined time, and the Si-containing layer 213 formed on the mask layer 212 is almost formed. Remove completely. This is because if the Si-containing layer remains, the Si-containing material may adhere to the surface of the object to be processed when the mask layer 212 is completely removed in the second step. At this time, the pressure in the processing container 2 is 6.66 Pa (50 mTorr), the high-frequency power applied to the upper electrode 21 and the susceptor 5 is 1600 W and 800 W, respectively, and the frequencies are both 60 MHz and 2 MHz, which are the same as during sputtering. For example, the Si-containing layer 213 can be almost completely removed by treating for 90 seconds under these conditions.

また、この際のガスとして、CFにOとArを添加したガスを用いた場合には、ArFフォトレジストからなるマスク層212にダメージを与えてしまった。したがって、CF単独のガスを使用するか、CFにOやAr等を添加する場合には少量であることが好ましい。 Further, when a gas in which O 2 and Ar are added to CF 4 is used as the gas at this time, the mask layer 212 made of ArF photoresist is damaged. Accordingly, it is preferable to use a small amount of CF 4 alone or when adding O 2 or Ar to CF 4 .

フッ素化合物を含有するガスとしては、CF以外のガスを用いてもよいが、Si含有層213の下地のArFフォトレジスト等からなるマスク層212へのダメージを少なくする観点からCFを用いることが好ましい。 As the gas containing a fluorine compound, a gas other than CF 4 may be used, but CF 4 is used from the viewpoint of reducing damage to the mask layer 212 made of an ArF photoresist or the like underlying the Si-containing layer 213. Is preferred.

第2段階では、所定の処理ガスを導入し、上部電極21と下部電極であるサセプタ5に高周波電力印加して、Si含有層213が大部分除去された後のマスク層212自体を除去する。このとき、処理ガスとしては、フッ素化合物を含まないガス、例えばOガス単独、またはOとNやArとを含む混合ガス、またはOとNとHとの混合ガス等を用いることが好ましい。 In the second stage, a predetermined processing gas is introduced and high frequency power is applied to the upper electrode 21 and the susceptor 5 which is the lower electrode to remove the mask layer 212 itself after the Si-containing layer 213 is largely removed. At this time, as the processing gas, a gas not containing a fluorine compound, for example, O 2 gas alone, a mixed gas containing O 2 , N 2, or Ar, or a mixed gas of O 2 , N 2, and H 2 is used. It is preferable to use it.

この第2段階の処理を実際に行った。この場合に、圧力、高周波電力、高周波電源の周波数等は上記第1段階における例から変更せずに、処理ガスのみを変更させてアッシングを行った。ここではOを使用した。マスク層212を除去した被処理体を観察すると、孔や溝の開口形状及び断面形状はほぼ設計したとおりであった。また、Si含有物の被処理体への付着も起こらなかった。 This second stage of processing was actually performed. In this case, ashing was performed by changing only the processing gas without changing the pressure, the high-frequency power, the frequency of the high-frequency power source, and the like from the example in the first stage. Here, O 2 was used. When the object to be processed was removed from which the mask layer 212 was removed, the opening shape and cross-sectional shape of the holes and grooves were almost as designed. Moreover, adhesion of Si-containing materials to the object to be processed did not occur.

本実施形態では、以上のように平行平板型電極に高周波電力を印加した際のエネルギーにより不活性ガスをイオン化し、これによりSiからなる上部電極板24をスパッタしてマスク層212の表面に付着しSi含有層を形成するので、マスク層自体のときに比べて耐プラズマ性をかなり向上させることができる。特に、マスク層212として耐プラズマ性が低いArFフォトレジストまたはF2フォトレジストを用いた場合には、その耐プラズマ性向上効果は著しい。   In the present embodiment, as described above, the inert gas is ionized by the energy when high-frequency power is applied to the parallel plate type electrode, and thereby the upper electrode plate 24 made of Si is sputtered and adhered to the surface of the mask layer 212. Since the Si-containing layer is formed, the plasma resistance can be significantly improved as compared with the mask layer itself. In particular, when ArF photoresist or F2 photoresist having low plasma resistance is used as the mask layer 212, the plasma resistance improvement effect is remarkable.

また、エッチング対象層をエッチングした後のアッシングにおいて、Si含有層213の除去とマスク層212自体の除去に分けて多段階に除去するので、Si含有層213とマスク層が形成されている場合でもそれぞれの層の性質に適した除去をすることができる。もちろん一度にSi含有層213とマスク層212を除去することも可能である。いずれを採用するかは、多段階での除去と一度での除去との総合的な利点及び欠点を比較して決定すればよい。   Further, in the ashing after the etching target layer is etched, the removal is performed in multiple stages by removing the Si-containing layer 213 and the mask layer 212 itself, so even if the Si-containing layer 213 and the mask layer are formed. Removal suitable for the properties of each layer can be performed. Of course, it is possible to remove the Si-containing layer 213 and the mask layer 212 at a time. Which one is to be adopted may be determined by comparing the overall advantages and disadvantages of multi-stage removal and one-time removal.

なお、スパッタリングによりマスク層にSi含有層を形成する際のターゲットとしては、上記例示のシャワーヘッドである上部電極板に限らず、処理容器内に配置された、表面の少なくとも一部がSiである部材であれば、フォーカスリング等の他の部材であってもよいし、新たにターゲットとしてSi部材を配置してもよい。また、デバイス加工していない他のSiウエハ自体(ベアウエハ)を処理容器内に入れてターゲットとして使用することができる。また、ターゲットとして用いるSiとしては単結晶Siがスパッタリングを行うのに都合がよい。   Note that the target for forming the Si-containing layer on the mask layer by sputtering is not limited to the upper electrode plate which is the above-exemplified shower head, and at least a part of the surface disposed in the processing vessel is Si. As long as it is a member, it may be another member such as a focus ring, or a Si member may be newly disposed as a target. In addition, another Si wafer itself (bare wafer) that has not undergone device processing can be placed in a processing container and used as a target. Moreover, as Si used as a target, single crystal Si is convenient for performing sputtering.

さらに、上記例ではスパッタリングをプラズマエッチングを行うための平行平板型装置を利用して高周波エネルギーを用いて行ったが、これに限らず、少なくとも不活性ガスの一部がイオン化するエネルギーを与えられるものを採用することができる。例えばエネルギーとしては高周波エネルギーに限らずマイクロ波エネルギー等を使用することができる。また、高周波エネルギーを用いる場合でも、上記平行平板とは異なり、アンテナン高周波電力を印加して誘導電磁界を形成する方式を用いることもできる。   Furthermore, in the above example, sputtering was performed using high-frequency energy using a parallel plate type apparatus for performing plasma etching. However, the present invention is not limited to this, and at least a part of the inert gas can be ionized. Can be adopted. For example, the energy is not limited to high frequency energy, and microwave energy or the like can be used. Even when high-frequency energy is used, a method of forming an induction electromagnetic field by applying antennan high-frequency power can be used, unlike the parallel plate.

さらにまた、マスク層212の表面にSi含有層213を形成する方法はスパッタリングに限らない。例えば、マスク層212の表面にCVDでSi含有層213を形成してもよい。CVDでSi含有層213を形成する場合には、原料となるガスとしては有機シラン系ガスや無機シラン系ガスを使用することができるが、無機シラン系ガスのほうが好ましい。この場合のCVDは、これらのガスを用いて常法に従って実施することができる。   Furthermore, the method of forming the Si-containing layer 213 on the surface of the mask layer 212 is not limited to sputtering. For example, the Si-containing layer 213 may be formed on the surface of the mask layer 212 by CVD. When the Si-containing layer 213 is formed by CVD, an organic silane-based gas or an inorganic silane-based gas can be used as a raw material gas, but an inorganic silane-based gas is preferable. The CVD in this case can be performed according to a conventional method using these gases.

マスク層212の表面にSi含有層213を形成する方法として、エッチングガスにSiF等のSi化合物を加える方法を採用することもできる。これにより、ArFフォトレジストまたはF2レジストからなるマスク層212の耐プラズマ性向上とエッチング対象層211のエッチングを同時に行うことができる。 As a method of forming the Si-containing layer 213 on the surface of the mask layer 212, a method of adding a Si compound such as SiF 4 to the etching gas can be employed. Thereby, the plasma resistance improvement of the mask layer 212 made of ArF photoresist or F2 resist and the etching of the etching target layer 211 can be performed simultaneously.

エッチング対象層としては、上記Si酸化物に限るものではなく、例えばSiC、SiN、有機低誘電体、SiOF、金属、金属化合物等、種々の材料のものを適用可能である。ただし、マスク層の表面に形成された層はSiを主成分とするため、エッチング対象層がSiである被処理体には適用が困難である。マスク層表面とエッチング対象層が同じ材質だとエッチングレートがほぼ同じになるからである。また、マスク層としては、ArFフォトレジストやF2フォトレジストのような耐プラズマ性の低いフォトレジスト材料に限らず、他の有機フォトレジスト層でもよく、さらには、フォトレジストに限らず他のマスク層であってもよい。   The etching target layer is not limited to the above-described Si oxide, and various materials such as SiC, SiN, organic low dielectric, SiOF, metal, and metal compound can be applied. However, since the layer formed on the surface of the mask layer contains Si as a main component, it is difficult to apply to a target object whose etching target layer is Si. This is because when the mask layer surface and the etching target layer are made of the same material, the etching rate is almost the same. The mask layer is not limited to a photoresist material having low plasma resistance such as ArF photoresist or F2 photoresist, but may be another organic photoresist layer, and is not limited to a photoresist, and other mask layers. It may be.

1,61;プラズマ処理装置
2,62;処理容器
5,65;サセプタ(下部電極)
21;上部電極
24,81;上部電極板
30,90;処理ガス供給源
40,101;第1の高周波電源
50,102;第2の高周波電源
121,131,141,151,181,191,201;SiO膜(エッチング対象層)
122,133,143,163,173,183,193,203;フォトレジスト層
132,142,152,172,182,192;反射防止層
161,211;エッチング対象層
162,202;有機反射防止層
163b;保護層
171;下地層
172;反射防止層
173;フォトレジスト層
212;マスク層
213;Si含有層
1, 61; Plasma processing apparatus 2, 62; Processing vessel 5, 65; Susceptor (lower electrode)
21; upper electrode 24, 81; upper electrode plate 30, 90; processing gas supply source 40, 101; first high frequency power source 50, 102; second high frequency power source 121, 131, 141, 151, 181, 191, 201 ; SiO 2 film (etching target layer)
122, 133, 143, 163, 173, 183, 193, 203; Photoresist layer 132, 142, 152, 172, 182, 192; Antireflection layer 161, 211; Etching target layer 162, 202; Organic antireflection layer 163b Protective layer 171; underlayer 172; antireflection layer 173; photoresist layer 212; mask layer 213; Si-containing layer

Claims (18)

エッチング対象層と、前記エッチング対象層を覆う有機反射防止膜と、前記有機反射防止膜を覆う開口パターンが形成されたArFフォトレジストまたはF2フォトレジストからなるフォトレジスト層とを有する被処理体を、Siを含む物質の露出部を有する構成部材を備えた処理容器内に配置する工程と、
前記処理容器内にエッチングガスを導入する工程と、
前記エッチングガスをプラズマ化し、前記フォトレジスト層の開口パターンを通して前記有機反射防止膜をエッチングする工程と、
前記処理容器内にH、NおよびHeからなる群から選択された少なくとも1種の処理ガスを導入する工程と、
前記処理ガスをプラズマ化して、前記フォトレジスト層をプラズマ処理する工程と
を有し、
前記フォトレジスト層をプラズマ処理する工程において、前記処理ガスのプラズマと、そのプラズマにより前記Siを含む物質の露出部を有する構成部材から供給されるSiとにより、前記フォトレジスト層表面に耐プラズマ性を有する保護膜が形成されるプラズマ処理方法。
An object to be processed having an etching target layer, an organic antireflection film covering the etching target layer, and a photoresist layer made of an ArF photoresist or F2 photoresist in which an opening pattern covering the organic antireflection film is formed. Placing in a processing vessel comprising a component having an exposed portion of a substance containing Si;
Introducing an etching gas into the processing vessel;
Plasmaizing the etching gas and etching the organic antireflection film through an opening pattern of the photoresist layer;
Introducing at least one processing gas selected from the group consisting of H 2 , N 2 and He into the processing vessel;
Into plasma the process gas, the photoresist layer have a the step of plasma processing,
In the step of plasma-treating the photoresist layer, plasma processing is performed on the surface of the photoresist layer by plasma of the processing gas and Si supplied from a component having an exposed portion of the substance containing Si by the plasma. The plasma processing method in which the protective film which has this is formed .
前記エッチングガスはCFを含む請求項1に記載のプラズマ処理方法。 The plasma processing method according to claim 1, wherein the etching gas contains CF 4 . 前記プラズマ処理工程の後、前記エッチング対象層のエッチングを行う工程をさらに有する請求項1または請求項2に記載のプラズマ処理方法。   The plasma processing method according to claim 1, further comprising a step of etching the etching target layer after the plasma processing step. エッチング対象層と、前記エッチング対象層を覆う有機反射防止膜と、前記有機反射防止膜を覆う開口パターンが形成されたArFフォトレジストまたはF2フォトレジストからなるフォトレジスト層とを有する被処理体を、Siを含む物質の露出部を有する構成部材を備えた処理容器内に配置する工程と、
前記処理容器内にHを導入する工程と、
導入されたHをプラズマ化し、前記フォトレジスト層の開口パターンを通して前記有機反射防止膜をエッチングする工程と
を有し、
前記有機反射防止膜をエッチングする工程において、H プラズマと、そのプラズマにより前記Siを含む物質の露出部を有する構成部材から供給されるSiとにより、前記フォトレジスト層表面に耐プラズマ性を有する保護膜が形成されるプラズマ処理方法。
An object to be processed having an etching target layer, an organic antireflection film covering the etching target layer, and a photoresist layer made of an ArF photoresist or F2 photoresist in which an opening pattern covering the organic antireflection film is formed. Placing in a processing vessel comprising a component having an exposed portion of a substance containing Si;
Introducing H 2 into the processing vessel;
The introduced H 2 into plasma, the organic antireflection film possess and etching through the opening pattern of the photoresist layer,
In the step of etching the organic antireflection film, the photoresist layer surface has plasma resistance due to H 2 plasma and Si supplied from a component having an exposed portion of the substance containing Si by the plasma. A plasma processing method in which a protective film is formed .
前記有機反射防止膜をエッチングする工程の後、前記エッチング対象層のエッチングを行う工程をさらに有する請求項4に記載のプラズマ処理方法。   The plasma processing method according to claim 4, further comprising a step of etching the etching target layer after the step of etching the organic antireflection film. 前記Siを含む物質は単結晶SiまたはSiCからなる請求項1から請求項5のいずれか1項に記載のプラズマ処理方法。   The plasma processing method according to claim 1, wherein the substance containing Si is made of single crystal Si or SiC. 前記Siを含む物質の露出部を有する構成部材は、前記処理容器内に設けられた被処理体の対向電極である請求項1から請求項6のいずれか1項に記載のプラズマ処理方法。   The plasma processing method according to claim 1, wherein the constituent member having the exposed portion of the substance containing Si is a counter electrode of an object to be processed provided in the processing container. 処理容器の中にあるサセプタに、エッチング対象層とこのエッチング対象層を覆い開口が形成されたArFフォトレジストまたはF2フォトレジストからなるフォトレジスト層とを有する被処理体を載置する工程と、
前記処理容器内に前記被処理体と表面の少なくとも一部がSiである部材とが存在する下で前記処理容器の中に不活性ガスを入れる工程と、
前記不活性ガスの少なくとも一部をイオン化する高周波エネルギーを前記処理容器内に導入して、生成されたイオンにより前記少なくとも一部がSiである部材をスパッタし、前記フォトレジスト層の表面に耐プラズマ性を有するSi含有層を形成する工程と、
前記処理容器の中にエッチングガスを導入する工程と、
そのエッチングガスをプラズマ化する工程と、
前記エッチングガスのプラズマにより、前記処理容器の中で前記フォトレジスト層の開口パターンを通して前記エッチング対象層をエッチングする工程と
を有するプラズマ処理方法。
Placing an object to be processed having an etching target layer and a photoresist layer made of an ArF photoresist or F2 photoresist covering the etching target layer and formed with an opening on a susceptor in the processing container;
Putting an inert gas into the processing container under the presence of the object to be processed and a member having at least part of the surface of Si in the processing container;
High-frequency energy that ionizes at least a part of the inert gas is introduced into the processing container, and a member having at least a part of Si is sputtered by the generated ions, and a plasma-resistant surface is formed on the surface of the photoresist layer. Forming a Si-containing layer having properties ;
Introducing an etching gas into the processing vessel;
Converting the etching gas into plasma,
And a step of etching the etching target layer through an opening pattern of the photoresist layer in the processing container with plasma of the etching gas.
前記表面の少なくとも一部がSiである部材は、前記被処理体の周りにあるフォーカスリングである請求項8に記載のプラズマ処理方法。   The plasma processing method according to claim 8, wherein the member having at least a part of the surface made of Si is a focus ring around the object to be processed. 前記表面の少なくとも一部がSiである部材は、前記エッチングガスを前記処理容器内に導入するシャワーヘッドである請求項8に記載のプラズマ処理方法。   The plasma processing method according to claim 8, wherein the member having at least part of the surface made of Si is a shower head for introducing the etching gas into the processing container. 前記エッチング対象層はSi酸化物であり、前記エッチングガスはC、C、Cの中から選ばれる少なくとも1つを含む請求項8から請求項10のいずれか1項に記載のプラズマ処理方法。 The etching target layer is a Si oxide, any one of the etching gas is C 4 F 6, C 4 F 8, C 5 claim 10 claim 8 including at least one selected from among F 8 The plasma processing method according to item. 前記プラズマエッチングする工程の後に、前記フォトレジスト層を多段階でプラズマ除去する工程をさらに有する請求項8から請求項11のいずれか1項に記載のプラズマ処理方法。 After said plasma etching process, a plasma treatment method according to claim 8 in any one of claims 11, further comprising the step of plasma removal of the photoresist layer in multiple steps. 前記フォトレジスト層を多段階でプラズマ除去する工程は、フッ素化合物を含むガスのプラズマで前記フォトレジスト層の一部を除去する第1除去工程と、フッ素化合物を含まないガスのプラズマで第1除去工程で残された前記フォトレジスト層の少なくとも一部を除去する第2除去工程を有する請求項12に記載のプラズマ処理方法。 The steps of removing the photoresist layer in multiple stages include a first removal step of removing a part of the photoresist layer with a plasma of a gas containing a fluorine compound, and a first removal of the plasma with a gas not containing the fluorine compound. The plasma processing method according to claim 12 , further comprising a second removal step of removing at least a part of the photoresist layer left in the step. 前記第1除去工程で用いるガスはCFである請求項13に記載のプラズマ処理方法。 The plasma processing method according to claim 13 , wherein the gas used in the first removal step is CF 4 . エッチング対象層と、このエッチング対象層を覆う有機反射防止と、この有機反射防止を覆う開口パターンが形成されたArFフォトレジストまたはF2フォトレジストからなるフォトレジスト層とを有する被処理体を処理容器内に配置する工程と、
この処理容器内に、Si含有ガス単独、またはSi含有ガスとCHF 、HeおよびH から選択された少なくとも1種のガスとからなるエッチングガスを導入する工程と、
このエッチングガスをプラズマ化し、前記フォトレジスト層の開口パターンを通して有機反射防止をエッチングするとともに、前記エッチングガス中のSiにより前記フォトレジスト層の表面にSiを含む硬化層を形成する工程と、
前記有機反射防止膜をエッチングする工程の後に、さらに、前記フォトレジスト層の開口パターンを通して前記エッチング対象層をプラズマエッチングする工程と
を有するプラズマ処理方法。
Processing an object to be processed having an etching target layer, an organic antireflection film covering the etching target layer, and a photoresist layer made of ArF photoresist or F2 photoresist formed with an opening pattern covering the organic antireflection film Placing in a container;
Introducing into the processing vessel an Si-containing gas alone or an etching gas composed of an Si-containing gas and at least one gas selected from CHF 3 , He and H 2 ;
Plasmaizing this etching gas, etching the organic antireflection film through the opening pattern of the photoresist layer, and forming a cured layer containing Si on the surface of the photoresist layer with Si in the etching gas ;
A plasma processing method comprising: after the step of etching the organic antireflection film, further comprising the step of plasma etching the etching target layer through an opening pattern of the photoresist layer .
前記Siを含む物質は、SiFである請求項15に記載のプラズマ処理方法。 The plasma processing method according to claim 15 , wherein the substance containing Si is SiF 4 . 前記エッチング対象をプラズマエッチングする工程は、前記処理容器の中にSi化合物を含むエッチングガスを導入し、そのエッチングガスをプラズマ化することにより行われる請求項15または請求項16に記載のプラズマ処理方法。 The plasma processing according to claim 15 or 16 , wherein the step of plasma etching the etching target layer is performed by introducing an etching gas containing a Si compound into the processing vessel and converting the etching gas into plasma. Method. 前記Si化合物は、SiFである請求項17に記載のプラズマ処理方法。 The plasma processing method according to claim 17 , wherein the Si compound is SiF 4 .
JP2009089397A 2002-06-27 2009-04-01 Plasma processing method Expired - Fee Related JP5008691B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2009089397A JP5008691B2 (en) 2002-06-27 2009-04-01 Plasma processing method

Applications Claiming Priority (19)

Application Number Priority Date Filing Date Title
JP2002187422 2002-06-27
JP2002187422 2002-06-27
JP2002214628 2002-07-24
JP2002214628 2002-07-24
JP2002271589 2002-09-18
JP2002271588 2002-09-18
JP2002271588 2002-09-18
JP2002271589 2002-09-18
US42078802P 2002-10-24 2002-10-24
US60/420,788 2002-10-24
US42356602P 2002-11-05 2002-11-05
US60/423,566 2002-11-05
JP2003003540 2003-01-09
JP2003003540 2003-01-09
JP2003110225 2003-04-15
JP2003110225 2003-04-15
JP2003151416 2003-05-28
JP2003151416 2003-05-28
JP2009089397A JP5008691B2 (en) 2002-06-27 2009-04-01 Plasma processing method

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2004548902A Division JPWO2004003988A1 (en) 2002-06-27 2003-06-24 Plasma processing method

Publications (2)

Publication Number Publication Date
JP2009164626A JP2009164626A (en) 2009-07-23
JP5008691B2 true JP5008691B2 (en) 2012-08-22

Family

ID=30004139

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009089397A Expired - Fee Related JP5008691B2 (en) 2002-06-27 2009-04-01 Plasma processing method

Country Status (5)

Country Link
JP (1) JP5008691B2 (en)
CN (1) CN100440449C (en)
AU (1) AU2003244166A1 (en)
TW (1) TWI265569B (en)
WO (1) WO2004003988A1 (en)

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040171260A1 (en) * 2002-06-14 2004-09-02 Lam Research Corporation Line edge roughness control
US7547635B2 (en) 2002-06-14 2009-06-16 Lam Research Corporation Process for etching dielectric films with improved resist and/or etch profile characteristics
JP4643916B2 (en) * 2004-03-02 2011-03-02 株式会社アルバック Method and apparatus for dry etching of interlayer insulating film
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US20060011578A1 (en) * 2004-07-16 2006-01-19 Lam Research Corporation Low-k dielectric etch
JP4537818B2 (en) * 2004-09-30 2010-09-08 株式会社日立ハイテクノロジーズ Plasma processing method
US7053003B2 (en) * 2004-10-27 2006-05-30 Lam Research Corporation Photoresist conditioning with hydrogen ramping
JP4602171B2 (en) * 2005-06-22 2010-12-22 東京エレクトロン株式会社 Plasma etching method, plasma etching apparatus, control program, and computer storage medium
JP2007123766A (en) * 2005-10-31 2007-05-17 Tokyo Electron Ltd Etching method, plasma processing apparatus, and storage medium
JP4827081B2 (en) * 2005-12-28 2011-11-30 東京エレクトロン株式会社 Plasma etching method and computer-readable storage medium
JP4928832B2 (en) * 2006-05-25 2012-05-09 東京エレクトロン株式会社 Etching method and computer-readable recording medium
JP5108489B2 (en) * 2007-01-16 2012-12-26 株式会社日立ハイテクノロジーズ Plasma processing method
WO2010004997A1 (en) * 2008-07-11 2010-01-14 東京エレクトロン株式会社 Plasma processing apparatus
JP2010041028A (en) * 2008-07-11 2010-02-18 Tokyo Electron Ltd Substrate processing method
JP5128421B2 (en) * 2008-09-04 2013-01-23 東京エレクトロン株式会社 Plasma processing method and resist pattern modification method
JP5207541B2 (en) * 2008-12-26 2013-06-12 富士フイルム株式会社 Liquid repellent film forming method, nozzle plate, ink jet head, and electronic apparatus
JP5486883B2 (en) 2009-09-08 2014-05-07 東京エレクトロン株式会社 Processing method of workpiece
CN102041508B (en) * 2009-10-23 2012-07-25 中芯国际集成电路制造(上海)有限公司 Groove etching method
JP5781808B2 (en) * 2010-03-31 2015-09-24 東京エレクトロン株式会社 Plasma processing method and plasma processing apparatus
JP5809396B2 (en) * 2010-06-24 2015-11-10 東京エレクトロン株式会社 Substrate processing method and substrate processing apparatus
JP2012028431A (en) * 2010-07-21 2012-02-09 Toshiba Corp Method of manufacturing semiconductor device
JP5642001B2 (en) * 2011-03-25 2014-12-17 東京エレクトロン株式会社 Plasma etching method
JP5142236B1 (en) 2011-11-15 2013-02-13 エルシード株式会社 Etching method
KR20130063871A (en) * 2011-12-07 2013-06-17 삼성전자주식회사 Magnetic device and method of manufacturing the same
JP6050944B2 (en) * 2012-04-05 2016-12-21 東京エレクトロン株式会社 Plasma etching method and plasma processing apparatus
CN103377885B (en) * 2012-04-27 2016-03-16 南亚科技股份有限公司 Form the method for opening
JP6008608B2 (en) * 2012-06-25 2016-10-19 東京エレクトロン株式会社 Resist mask processing method
JP6030886B2 (en) * 2012-08-09 2016-11-24 東京エレクトロン株式会社 Plasma etching method and plasma etching apparatus
WO2014042192A1 (en) * 2012-09-13 2014-03-20 東京エレクトロン株式会社 Method for treatment of treated substrate, and plasma treatment device
JP6063264B2 (en) 2012-09-13 2017-01-18 東京エレクトロン株式会社 Method for processing substrate and plasma processing apparatus
KR102148336B1 (en) * 2013-11-26 2020-08-27 삼성전자주식회사 Method of treating a surface, method of fabricating a semiconductor device and the semiconductor device so fabricated
JP6243722B2 (en) * 2013-12-10 2017-12-06 東京エレクトロン株式会社 Etching method
JP6329839B2 (en) * 2014-07-29 2018-05-23 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing method
JP6438831B2 (en) * 2015-04-20 2018-12-19 東京エレクトロン株式会社 Method for etching an organic film
JP2016027658A (en) * 2015-09-07 2016-02-18 エルシード株式会社 Etching method
JP2017092376A (en) 2015-11-16 2017-05-25 東京エレクトロン株式会社 Etching method
JP6643875B2 (en) * 2015-11-26 2020-02-12 東京エレクトロン株式会社 Etching method
US9508556B1 (en) * 2016-01-29 2016-11-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating fin field effect transistor and semiconductor device
JP7137927B2 (en) * 2017-12-20 2022-09-15 キオクシア株式会社 Semiconductor device manufacturing method
CN109994379B (en) * 2017-12-29 2021-10-19 长鑫存储技术有限公司 Double patterning method and double patterning structure
US11106126B2 (en) * 2018-09-28 2021-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing EUV photo masks
JP7175162B2 (en) * 2018-11-05 2022-11-18 東京エレクトロン株式会社 Plasma etching method and plasma etching apparatus for object to be processed
JP7174634B2 (en) * 2019-01-18 2022-11-17 東京エレクトロン株式会社 Method for etching a film

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04151668A (en) * 1990-10-15 1992-05-25 Mitsubishi Electric Corp Formation of pattern
JPH04184916A (en) * 1990-11-20 1992-07-01 Fujitsu Ltd Resist mask forming method and dry etching method
JP2953348B2 (en) * 1991-12-30 1999-09-27 ソニー株式会社 Resist pattern forming method, antireflection film forming method, antireflection film, and semiconductor device
JPH06163479A (en) * 1992-11-17 1994-06-10 Sony Corp Dry etching method
JP3348454B2 (en) * 1993-02-05 2002-11-20 ソニー株式会社 Antioxidant method
JPH07106310A (en) * 1993-09-29 1995-04-21 Victor Co Of Japan Ltd Dry etching method
JP2882301B2 (en) * 1995-01-13 1999-04-12 日本電気株式会社 Method for manufacturing semiconductor device
US5888413A (en) * 1995-06-06 1999-03-30 Matsushita Electric Industrial Co., Ltd. Plasma processing method and apparatus
JPH09306893A (en) * 1996-05-15 1997-11-28 Tokyo Ohka Kogyo Co Ltd Method of removing antireflective film
JPH10261628A (en) * 1996-10-24 1998-09-29 Hyundai Electron Ind Co Ltd Formation of contact hole of semiconductor device
JPH10233386A (en) * 1997-02-19 1998-09-02 Sony Corp Dry etching
JPH10268526A (en) * 1997-03-24 1998-10-09 Toshiba Corp Production of semiconductor device and pattern forming method
US6013582A (en) * 1997-12-08 2000-01-11 Applied Materials, Inc. Method for etching silicon oxynitride and inorganic antireflection coatings
JP2000269198A (en) * 1999-03-19 2000-09-29 Toshiba Corp Method and apparatus for plasma treatment
JP2001110784A (en) * 1999-10-12 2001-04-20 Hitachi Ltd Apparatus and method for plasma treatment
JP3447647B2 (en) * 2000-02-25 2003-09-16 株式会社日立製作所 Sample etching method
JP2002043590A (en) * 2000-07-24 2002-02-08 Fuji Electric Co Ltd Semiconductor device and its manufacturing method
JP4605878B2 (en) * 2000-09-25 2011-01-05 パナソニック株式会社 Semiconductor device and manufacturing method thereof

Also Published As

Publication number Publication date
CN100440449C (en) 2008-12-03
CN1663030A (en) 2005-08-31
AU2003244166A1 (en) 2004-01-19
JP2009164626A (en) 2009-07-23
WO2004003988A1 (en) 2004-01-08
TW200401365A (en) 2004-01-16
TWI265569B (en) 2006-11-01

Similar Documents

Publication Publication Date Title
JP5008691B2 (en) Plasma processing method
US7473377B2 (en) Plasma processing method
KR101029947B1 (en) A method for plasma etching performance enhancement
US7977390B2 (en) Method for plasma etching performance enhancement
US6326307B1 (en) Plasma pretreatment of photoresist in an oxide etch process
US6869542B2 (en) Hard mask integrated etch process for patterning of silicon oxide and other dielectric materials
US7601246B2 (en) Methods of sputtering a protective coating on a semiconductor substrate
US20090286400A1 (en) Plasma process with photoresist mask pretreatment
Standaert et al. Patterning of fluorine-, hydrogen-, and carbon-containing SiO 2-like low dielectric constant materials in high-density fluorocarbon plasmas: comparison with SiO 2
US6008139A (en) Method of etching polycide structures
TWI508162B (en) Plasma processing methods and computer readable memory media
JP4825911B2 (en) Plasma etching and photoresist strip process with defluorination and wafer defluorination steps in intervening chamber
KR20080018110A (en) Method for plasma etching performance enhancement
JP2005072518A (en) Manufacturing method of semiconductor device and apparatus thereof
TW201701068A (en) Conformal strippable carbon film for line-edge-roughness reduction for advanced patterning
JP4558296B2 (en) Plasma ashing method
TWI594320B (en) Method of forming pattern
KR100984634B1 (en) Plasma etching method, plasma etching apparatus and storage medium
KR101075045B1 (en) A method for plasma etching performance enhancement
JP2006032908A (en) Manufacturing method of semiconductor device
US7943523B2 (en) Plasma etching method and computer readable storage medium
CN100541720C (en) Method of plasma processing
CN1643665A (en) Method of plasma etching
KR102662180B1 (en) Etching method
WO2017090484A1 (en) Etching method

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111220

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120220

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120529

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120529

R150 Certificate of patent or registration of utility model

Ref document number: 5008691

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150608

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees