JP5004401B2 - 基板の温度均一性を制御するための方法及び装置 - Google Patents

基板の温度均一性を制御するための方法及び装置 Download PDF

Info

Publication number
JP5004401B2
JP5004401B2 JP2002530254A JP2002530254A JP5004401B2 JP 5004401 B2 JP5004401 B2 JP 5004401B2 JP 2002530254 A JP2002530254 A JP 2002530254A JP 2002530254 A JP2002530254 A JP 2002530254A JP 5004401 B2 JP5004401 B2 JP 5004401B2
Authority
JP
Japan
Prior art keywords
support
temperature
substrate
zone
pyrometer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2002530254A
Other languages
English (en)
Other versions
JP2004513510A (ja
Inventor
ボグスラフスキー,ヴァディム
ガラリー,アレクサンダー
パテル,アミーシュ・エヌ
レイマー,ジェフリー・シー
Original Assignee
ビーコ・インストゥルメンツ・インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ビーコ・インストゥルメンツ・インコーポレイテッド filed Critical ビーコ・インストゥルメンツ・インコーポレイテッド
Publication of JP2004513510A publication Critical patent/JP2004513510A/ja
Application granted granted Critical
Publication of JP5004401B2 publication Critical patent/JP5004401B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01JMEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
    • G01J5/00Radiation pyrometry, e.g. infrared or optical thermometry
    • G01J5/0022Radiation pyrometry, e.g. infrared or optical thermometry for sensing the radiation of moving bodies
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)

Description

【0001】
[発明の分野]
本発明は、基板温度の測定及び制御に関係している。特に、本発明は、基板上に被膜を堆積させている間、同基板の温度均一性を制御するための方法及び装置に関するものである。
【0002】
[発明の背景]
工業界の多方面において、中実基板上に薄い層もしくは膜を形成するプロセスが採用されている。例えば、半導体デバイスの製造に際しては、基板上に様々な材料を堆積させるために、化学蒸着法及びその他の堆積技術が使用されている。半導体デバイスの製造中、プレーナーシリコン又はガリウムヒ素ウェーハ或いはその他の適当な材料の加熱基板は、種々のガスに晒され、該ガスが反応してウェーハの表面上に所望材料を堆積させている。一般的に、堆積材料は、下層のウェーハの結晶格子構造を再現するエピタキシャル膜を形成するものである。
【0003】
その後、これらの被覆ウェーハは、レーザ、トランジスタ、発光ダイオード及びその他多くのデバイスのような半導体デバイスを形成するために、良く知られた更なる処理を受けることになる。例えば、発光ダイオードを製造する場合、ウェーハ上に堆積された諸層は、該ダイオードの能動素子を形成している。堆積層の厚み、組成及び品質は、結果として製造される半導体デバイスの特性を決定するものである。従って、堆積工程は、各ウェーハの前面上に均一な組成及び厚みの膜を堆積させることができねばならない。均一性についての必要性は、より大径のウェーハの使用に伴なって、また、幾枚かのウェーハ上に同時に被膜を堆積させる装置の使用に伴なって、次第により厳しく迫ってきている。
【0004】
図1に示した代表的な従来の堆積装置において、ウェーハ10は、サセプタ14に装着されたウェーハ支持体12に取付けられている。サセプタ14は、ウェーハ支持体の回転を可能にする回転支持スピンドル16に取付けられている。これらサセプタ14、ウェーハ支持体12及びウェーハ10は、囲ったプロセス反応器18内に配置されるのが一般的である。サセプタ14の下方には加熱アセンブリ20が対称的に配置され、該加熱アセンブリがサセプタを加熱しており、従って、これによりサセプタ上に装着されたウェーハ支持体12及びウェーハ10が加熱される結果となる。支持体12の回転は、堆積領域一面に流れる原料物質ガス又は蒸発気の均一性ばかりでなく、堆積領域全体にわたる温度均一性を高めることを企図している。技術的に既知であるように、反応物が反応室に導入され、膜がウェーハの表面上に堆積されるようになっている。
【0005】
図2に示したウェーハ支持体12のような通常のウェーハ支持体は、堆積工程中にウェーハ支持体が回転されるときに、複数のウェーハを所定位置に保持しておくため、同ウェーハ支持体の上側表面に複数の円筒形ポケット22を備えている。また、これらのウェーハ支持体は、通常、環状のフランジ部24も備えており、該フランジ部を使用し、ウェーハ支持体を持ち上げて搬送し反応室に入れたり反応室から出したりしている。ウェーハ支持体は、堆積工程中にアセンブリが回転されるときにウェーハ支持体をサセプタ上に同心円状に配置して保持すると共に、サセプタの上側表面とウェーハ支持体の下側表面との間に間隙28を形成するために、ウェーハ支持体の底部表面に環状壁26を備えており、この間隙により、ウェーハ支持体及びサセプタ間の接触点により結果的に生ずるウェーハ支持体の局部加熱を無くして、サセプタからウェーハ支持体への熱の均一な伝達を一層促進している。
【0006】
重要なのは、ウェーハの温度が再現性を有し、高精度であり、且つプロセス条件と無関係のような態様で正確に測定されて制御されることである。また、処理されつつあるウェーハの表面全体にわたり、且つ各ウェーハの表面の端から端まで均一の温度を維持することも重要である。摂氏で2,3度に過ぎないウェーハ温度の非均一性や目標プロセス温度からの逸脱により、ウェーハから製造された半導体デバイスに異常が生じ、最終製品が不良になる。処理中のウェーハ表面の温度は高温計もしくはパイロメータを使用して測定されるのが一般的である。高温計は、表面から放出される放射又は放熱を検出する非接触の測定装置である。
【0007】
高温計による温度測定の精度は、表面の光学的特性、特に、測定されつつある物体の放射率に大きく左右される。放射率は、実際の表面からの放射を同一温度での“黒体”即ち理想放射物体からの放射と対比して比較するパラメータである。高温計は、黒体放射源を用いて較正されるようになっている。半導体ウェーハの放射率の値は、半導体ウェーハ上に堆積される材料、基板ドーピング、表面粗さ、及びウェーハ温度に依存している。また、放射率は、ウェーハの表面上に成長した膜の厚さにも依存しており、この放射率の値は堆積工程中に変化するものである。従って、半導体ウェーハ温度の測定は、ウェーハの放射率変化により生ずる測定誤差を克服するように設計された、放射率について補正された高温計の使用を望ましくは必要としている。
【0008】
より均一な被覆を各ウェーハの表面全体にわたり堆積させることができるように、ウェーハの表面全体にわたりより均一な温度分布をもたらすシステムの必要性が存在することになる。
【0009】
[発明の概要]
本発明は、基板の制御された加熱を行うための方法及び装置を提供するものである。本発明の一側面では、化学蒸着反応室にある基板を加熱するための装置を備えている。この装置は、反応室にある少なくとも1つの基板を保持するための支持体を備えており、該支持体は第1区域及び第2区域を有している。支持体は中心軸線を有するのが典型的であり、上述の第1区域及び第2区域は、この中心軸線から異なる半径方向距離に配置されている支持体の単なる範囲である。回転装置は、中心軸線の回りに支持体を回転させるために設けられている。
【0010】
また、装置は、支持体及び少なくとも1つの基板を加熱するように配設された第1及び第2加熱要素を備えていることが好ましい。第1加熱要素は、第1区域を優先的に加熱し、即ち、熱を支持体の主に第1区域に作用させるように配設されているのが好ましい。装置は、プロセス温度を測定するため、基板表面に指向される少なくとも1つの基板用高温計を備えていることが更に好ましい。基板用高温計は、プロセス温度の測定値が基板放射率とは関係なく基板温度を表わすように、放射率補償型高温計であることが望ましい。装置は、支持体表面の1つの区域とそれぞれ関連した少なくとも2つの支持体用高温計を更に備えていることが望ましい。この少なくとも2つの支持体用高温計は、非放射率補償型高温計であることが好ましい。各支持体用高温計は、支持体の関連区域からの放射を表わす区域信号を発生するように作動している。
【0011】
最も好ましいのは、装置が第1及び第2の支持体用高温計に接続された第1のコンパレータを備えていることである。この第1のコンパレータは、第1及び第2区域信号間の差を表わす第1差信号を発生するように設けられている。最も好ましいのは、1つ以上のコントローラが少なくとも部分的にプロセス温度に基づいて第2加熱要素の作動を制御するように構成され、かつ配設されていることである。また、このコントローラは、少なくとも部分的に第1差信号に基づいて第1加熱要素の作動も制御することが可能となっている。
【0012】
最も好ましいのは、第2加熱要素が差信号を参照することなくプロセス温度に基づいて制御され、一方、第1加熱要素がプロセス温度に関係なく差信号に基づいて制御されるように、別個のフィードバックループを形成することである。支持体表面の放射率は、未知であり、プロセス中に変化するのが普通である。支持体表面は拡散反射率を有するのが普通であるから、放射率補償型高温計は、支持体の放射率を補正するのに使用することができない。従って、支持体用高温計からの区域信号は、支持体温度の正確な測定値を普通にもたらすものではない。しかし、支持体表面の放射率は、支持体の双方の区域において同一であるから、コンパレータにより発生された差信号は、2つの区域間の温度差を表わすことになる。差信号を最小にすることにより、装置は、支持体の双方の全区域にわたり温度均一性を確保し、それにより基板の温度均一性を向上させている。
【0013】
2つ以上の区域を使用することができる。例えば、第1区域を第2区域の半径方向内方に配置すると共に、支持体は、この第2区域の半径方向外方に第3区域を配置せしめることが可能である。装置は、支持体の第3区域からの放射を表わす第3区域信号を発生するように作動する第3の支持体用高温計を備えていてもよい。第2のコンパレータは、第2及び第3区域信号間の差を表わす第2差信号を発生するように配設されている。1つ以上のコントローラは、少なくとも部分的に第2差信号に基づいて第3加熱要素を制御するように作動している。
【0014】
本発明の別の側面は、化学蒸着装置にある基板の温度を制御するための方法を含んでいる。本発明のこの側面による方法は、化学蒸着装置にある基板を支持するための支持体を用意することを含むのが望ましい。少なくとも1つの加熱要素を使用して、基板及び支持体がプロセス設定点まで加熱される。本発明の一側面によると、基板の温度は、好ましくは放射率補償型高温計を用いて測定される。支持体温度に関連したパラメータの指示は、支持体上の少なくとも2つの区域から得られる。例えば、このようなパラメータの指示は、非放射率補償型高温計により測定される2つの区域からの放射強度を表わしている。次いで、少なくとも2つの区域におけるこのようなパラメータの指示は、2つの区域間の温度の差に関連した差信号を取得するため、互いに比較される。支持体の当該区域の少なくとも1つへの熱伝達は、差信号が所定レベルに達するまで、例えば、1つ以上の加熱要素への電力の入力を調節することによって、調整されている。代表的にはこの所定レベルは実質的にゼロであるから、2つの区域は同一温度に落ち着くことになる。
【0015】
本発明の更なる特徴及び利点は、以下の記載において説明されている。言うまでもなく、前述の全般的な説明及び後述の詳細な説明は、例示的であり、請求項にあるような本発明の更なる記載を規定することを意図している。
【0016】
[詳細説明]
次に、添付図面に一例が示された本発明の好適な実施例について詳細に説明する。本発明の装置の好適な一実施例は、図3に示されている。図示のように、基板支持体110は、中心軸線115の回りに回転自在にスピンドル114に取付けられているサセプタ112上に組み立てた関係で位置決めされている。
【0017】
この基板支持体110は、例えば、モリブデン、グラファイト、又は炭化ケイ素被覆のグラファイトのような耐熱材料から、上側表面150及び下側表面152を有するほぼ円板状部の形態で形成されている。上側表面150は、一つの平面上で通常広がると共に、複数の円筒形凹部又はポケット154を有しており、各ポケット154が基板160を受け入れる大きさに作られている。図4を参照すると分かるように、基板支持体110は、16個の凹部又はポケット154を備えるように示されており、これらのポケットが例えば半導体ウェーハでよい16枚の基板160を保持している。ポケット154は、軸線115近くの4個のポケット154aからなる内側環体と、この内側環体を囲む10個のポケット154bからなる外側環体とに配置されている。しかし、言うまでもなく、基板支持体は、ただ1枚の基板、及び40枚又はそれ以上の基板を保持するように設計してもよい。
【0018】
第1加熱要素120は、基板支持体の第1区域もしくは半径方向内側区域121の直下に配置されているので、この第1加熱要素からの熱は基板支持体の内側区域に優先的に向けられることになる。即ち、内側の加熱要素120により加えられる熱は基板支持体の内側区域121に集中されるが、同内側の加熱要素により放出される熱は、ある程度基板支持体のその他の区域の温度にも影響を及ぼすことになる。第2もしくは中間の加熱要素130は、区域121の半径方向外側にある第2もしくは中間区域131の直下に配置されているので、この加熱要素130は中間区域を優先的に加熱することになる。同様に、第3もしくは外側の加熱要素140は、区域131の半径方向外側にある第3もしくは外側区域141の直下に好ましくは配置されているので、この加熱要素140は外側区域を優先的に加熱することになる。
【0019】
加熱要素120,130及び140は、軸線115を中心として対称的に配置されるのが好ましい。これらの加熱要素は、通常の電気抵抗加熱装置とすることが可能である。中間の加熱要素130は、他の加熱要素よりも出力が高いことが望ましい。また、中間の加熱要素の半径方向スパンrmは、他の加熱要素の対応する寸法よりも大きいことが望ましい。加熱要素120は、第1又は内側の電源122に接続されており、次いでこの電源122は、第1又は内側のコントローラ124に接続され、該コントローラ124が電源122により供給される電力を制御することが可能であり、従って、加熱要素120により放出される熱を制御することが可能となっている。同様に、加熱要素130は、第2又は中間の電源132に接続されており、次いでこの電源132は、第2又は中間のコントローラ134に接続されている。外側の加熱要素140は、第3又は外側の電源142に接続されており、次いでこの電源142は、第3又は外側のコントローラ144に接続されている。
【0020】
この装置は更に、第1又は内側の支持体用高温計126と、第2又は中間の支持体用高温計136と、第3又は外側の支持体用高温計146とを備えている。これらの支持体用高温計は、非放射率補償型高温計である。従って、各支持体用高温計は、その高温計に当る放射を表わす信号を発生するようになっている。支持体用高温計126は、反応室に対して固定の位置にある焦点150aからの放射を受けるように配設されている。この焦点は、基板支持体110の第1又は内側の区域121内で、同基板支持体の上側表面150上にある。図4を参照すると最も良く分かるように、軸線115を中心とする基板支持体110の回転により、焦点150aは、内側区域121内で基板支持体の上側表面上の通路153aに沿って通過もしくはスィ−プをすることになる。この通路153aは、軸線115と同心であり、ポケット150aからなる内側環体よりも内方に位置している。従って、焦点150aは、基板支持体の回転位置に関係なく、常に基板支持体の上側表面上にあり、ポケットに収容された基板上にはない。このようにして、内側の支持体用高温計126は、内側区域121において基板支持体の上側表面150から放出される放射を表わす信号を発生し、この信号は、第1又は内側区域信号と呼ばれる。
【0021】
第2又は中間の支持体用高温計136は、ポケット154aからなる内側環体とポケット154bからなる外側環体との間で中間区域131にある通路153bに沿って通過する焦点150bからの放射を受ける。従って、第2の支持体用高温計136は、第2又は中間区域131における基板支持体の上側表面から放出される放射を表わす第2区域信号を発生することになる。同様にして、第3又は外側の高温計146は、外側ポケット154bの外側にある第3又は外側区域141において外側表面に沿って進む焦点150cからの放射を検出する。従って、高温計146は、第3又は基板支持体の外側区域により放出される放射を表わす第3区域信号を発生することになる。
【0022】
支持体用高温計126,136及び146により発生されるこれらの区域信号は、諸区域における基板支持体の実際温度を正確に表わしていない。基板支持体の各区域からの放射は、温度だけでなく、基板支持体表面の放射率の関数でもある。基板支持体は、未知の放射率を有する材料から形成されているが、この放射率は、種々の材料が基板支持体表面上に堆積されるという事実のため、堆積装置の使用のたびに変化する。更に、基板支持体表面の放射率は、温度の変化に伴って変化する。しかし、基板支持体の温度及び放射率の関係は、基板支持体の上側表面全体にわたり実質的に同一となる。従って、基板支持体表面上の2箇所が同じ強度で放射を出していれば、それらの温度は同一である。更に、放出された放射の強度は、少なくとも、化学蒸着法で使用される温度の範囲内で、温度の変化に伴って単調に変化する。従って、基板支持体表面の第1部分が同表面の第2部分よりも強い強度で放射を出していれば、この第1部分はより高い温度である。そのため、種々の支持体用高温計により発生される区域信号は種々の区域についての絶対的な温度測定値を提供するものではないが、これらの信号は、温度に関係したパラメータ(基板支持体表面からの放射)を表わすものとなる。
【0023】
第1又は内側の支持体用高温計126及び第2又は中間の支持体用高温計136の信号出力は、第1コンパレータ149の入力結合部に接続されており、該第1コンパレータはその出力部に第1差信号を発生する。この第1差信号は、コンパレータ126からの第1区域信号及び高温計136からの第2区域信号の間の差を表わしている。第1差信号の大きさは、両区域信号間の差の大きさに比例しているが、第1差信号の符号は、どちらの区域信号が大きいかを示している。第1コンパレータ149の出力は第1又は内側のコントローラ124に接続されているので、第1差信号は第1コントローラに供給されることになる。第2又は中間の支持体用高温計136及び第3又は外側の支持体用高温計146の信号出力は、第2コンパレータ148の入力結合部に接続されているので、この第2コンパレータは、高温計146からの第3又は外側区域信号及び高温計136からの第2又は中間区域信号の差を表わす第2差信号を発生することになる。第2コンパレータの出力は、第3又は外側のコントローラ144の入力部に接続されている。
【0024】
また、この装置は、放射率補償型高温計である2つの別々の高温計138,139も備えている。用語“放射率補償型高温計”とは、物体からの放射を測定するように配置されると共に、同物体の放射率に関係するその物体のパラメータを測定するように配置された通常の計器を示しており、同計器が物体の放射率の変動に関係なく高精度で物体の温度を表わす信号を発生するようになっている。例えば、放射率補償型高温計は、物体から放出される放射を測定するための通常の諸要素に加えて、測定すべき物体に向けて照準をあてたレーザのような放射放出要素と、物体により反射されるレーザからの放射の割合を求めるための検出器とを備えていてもよい。典型的な放射率補償型高温計は、鏡のような反射率を有する物体についての正確な温度の読みを与えるに過ぎない。
【0025】
基板用高温計138は、スポット133aにおける温度を監視するために配設されている。基板支持体が軸線115を中心として回転するときに、このスポットは、ポケット154aと交差する通路135aに沿って、基板支持体110の上側表面を横切るように進む。従って、スポット133aは図4に示すようにポケットと整列しているが、高温計はポケット内に配置された基板160の温度を測定することになる。基板は、一般的に鏡のような反射率を有しており、基板表面の放射率及び温度は、正確に測定されるようになっている。基板支持体のその他の回転位置で、スポット133aは、ポケット間にある基板支持体自体の表面と整列している。基板支持体表面は拡散反射率を有しているので、高温計138は、基板支持体表面の温度を正確に測定しない。高温計138は、基板160の温度のみを表わす信号を発生するように、上述の回転位置において一時的に動作不能とされることが好ましい。例えば、この装置は、基板支持体及び/又はスピンドル114の回転位置を検出すると共に、スポット133aがポケット154a間の部位と整列する上述の回転位置で高温計からの信号出力を瞬時的に遮断する装置(図示せず)を備えていてもよい。
【0026】
他の基板用高温計139は、ポケット154bに交差する通路135bに沿って進むスポット133bでの温度を測定する。高温計139は、高温計138と同じ方法で作動するが、ポケット154bに収容された基板160の温度を表わす信号を発生する。
【0027】
基板用高温計138及び139は、該高温計からの信号を平均するように設けられた信号処理回路159に接続されており、この信号処理回路が平均基板温度を表わす信号を発生する。この平均基板温度はプロセス温度とも呼ばれる。信号処理回路159の出力は、第2又は中間のコントローラ134の入力に接続されている。
【0028】
作動中、本発明の一実施例による方法においては、ウェーハ支持体110に基板160が負荷されている。第2又は中間のコントローラ134は、信号処理回路159により伝えられる平均基板温度もしくはプロセス温度を設定値と比較して誤差信号を得ると共に、第2又は中間の電源132に補正信号を供給している。コントローラの伝達関数は、比例−積分−微分即ち“PID”制御関数であり、このPID制御関数において、補正信号は、誤差信号に比例する項と、ある時間にわたる誤差信号の積分に比例する項と、誤差信号の一次導関数に比例する項との合成関数である。従って、中間のコントローラ134は、プロセス設定値と平均プロセス温度との間に差があれば、中間の電源132により供給される電力を調整することになる。例えば、プロセス温度が設定値よりも低ければ、コントローラ134は、プロセス温度がプロセス設定値にほぼ等しくなるまで、電源132に信号を出して中間の加熱要素130への電力を増大させる。
【0029】
第3又は外側のコントローラ144は、コンパレータ148からの第2差信号を所望の差信号を表わす所定レベルと比較している。通常、基板支持体の第3又は外側区域131を第2又は中間区域121と同一の温度に維持したい場合、この所定レベルはゼロである。第3又は外側のコントローラは、望ましくは上述したものと同様のPID伝達関数を用いて、制御信号を発生している。この制御信号により、第3又は外側の電源142に外側の加熱要素140への電力を増減させている。
【0030】
例えば、コンパレータ148からの第2差信号の符号は、外側の支持体用高温計146が中間の支持体用高温計136よりも強い放射を検出しつつあることを示している。このため、基板支持体の第3又は外側区域141が第2又は中間区域よりも高温であることを示していれば、第3又は外側のコントローラ144は、差信号が上述の所定レベルに達するまで、外側の電源142に信号を出して外側の加熱要素140への電力出力を減少させることになる。所定レベルがゼロであると仮定すれば、このレベルに達するということは、第2又は中間の支持体用高温計136からの区域信号並びに第3又は外側の支持体用高温計146からの区域信号が実質的に等価であることを示しており、従って、基板支持体の区域131及び141が同一温度であることを示している。もちろん、コンパレータ148からの差信号は、最初に、第3又は外側の高温計146が第2又は中間の高温計136よりも弱い放射を検出しつつあることを示していれば、コントローラ144は、電源142に信号を出して第3又は外側の加熱要素140に供給される電力を増大させ、それにより区域141への熱伝達を向上させている。
【0031】
第1又は内側のコントローラ124は、同コントローラ124がコンパレータ149からの第1差信号に応答する点、及び第1又は内側の加熱要素120による熱の発生を制御して第1又は内側区域121への熱伝達を制御するように電源122を制御する点を除いて、第3又は外側のコントローラ144と実質的に同様に作動している。従って、コントローラ124は、コンパレータ149からの第1差信号を典型的にはゼロである所定レベルと比較し、この比較に基づいて誤差信号を発生し、該誤差信号に基づいて制御信号を発生するが、その際、上述したPID伝達関数を使用するのが望ましい。制御信号は、第1又は内側の電源122に向けられる。中間の支持体用高温計136からの区域信号及び内側の支持体用高温計126からの区域信号が異なっていれば、中間の支持体用高温計136からの区域信号及び内側の支持体用高温計126からの区域信号の差が典型的にはゼロである所定レベルまで減少して、これら高温計の双方が実質的に等価の放射を受けつつあること、並びに第1又は内側区域の温度が第2又は外側区域の温度と実質的に等価であることを指示するまで、コンパレータ149からの第1差信号が、内側のコントローラ124により内側の加熱要素120に供給される電力を変化させている。ここで使用されているように、実質的に等価とは、基板表面上の2箇所間の放射の差が約2%より小さいこと、好ましくは約1%よりも小さいこと、更に好ましくは約0.5%よりも小さいことを意味している。
【0032】
従って、上述した装置及び方法は、基板支持体が放射率補償された測定値を得ることを実現不能にし、同基板支持体の精確な温度測定値を得ることを実現不能にする拡散表面を有しているにも拘わらず、放射率補償型の基板用高温計を使用する基板温度自体の直接測定により実際の基板(ウェーハ)温度の正確な調整を可能にすると共に、基板支持体からの放射の測定値を用いて基板支持体全体にわたり温度を均一に維持している。
【0033】
実験の結果、プロセス温度を維持しながら基板支持体の温度をその半径全域にわたり実質的に均一にすることにより、基板の表面全域にわたり温度均一性をより良くすることが示された。
【0034】
図5は、ウェーハ及び基板支持体の温度均一性間の関係をグラフで描写している。Y軸は温度を摂氏で表わしており、X軸は基板の表面の端から端までの半径方向の距離を任意の単位で表わしている。実験によると、外側の支持体用高温計の読みが内側の支持体用高温計よりも大きい場合、図5の上側曲線により示されるように、ウェーハの表面の端から端までの温度は7.5℃以上の差で変化し得ることを示している。内側の支持体用高温計からの温度表示が外側の支持体用高温計からの温度表示と等しくなるように、基板支持体の温度を本発明の好適な実施例に従って調節する場合、ウェーハ表面の端から端までの温度差は約5℃未満である。
【0035】
言うまでもなく、本発明は、ここに図示して説明したような高温計、加熱要素、電源、コンパレータ又はコントローラの特定の数もしくは配列に限定されるものではない。単なる一例であるが、3個よりも多い支持体用高温計を使用して2つよりも多い差信号を得ることができる。逆に、内側の加熱要素120又は外側の加熱要素140を省略すると共に、当該省略された加熱要素と関連する支持体用高温計、コンパレータ、コントローラ及び電源を省略して、2個のみの加熱要素を備えるシステムを提供することができる。このようなシステムにおいては、省略された加熱要素と関連した基板支持体の区域を加熱するために中間の加熱要素130が使用され、従って、基板支持体は、別個に制御可能な2つのみの区域を有することになろう。更なる変形例において、上述した実施例における第2又は中間の加熱要素は、実質的に基板支持体全体を非選択的に加熱するように配設されているので、この加熱要素は、第2区域131だけでなく、第1区域121及び第3区域141を実質的に加熱するようになっている。このような構成において、第1の加熱要素120及び第3の加熱要素140は、第1区域121及び第3区域141に局限された熱を付加的に供給して、これらの区域に生じる付加的な熱損失を乗り切っている。
【0036】
コンパレータ148,149、プロセッサ159並びにコントローラ124,134及び144は、明確にするために機能ブロックとして示されている。当業者にとっては言うまでもないことであるが、これらの素子は、別々に実装されてもよいし、或いは互いに統合されていてもよい。例えば、コンパレータ及びコントローラのような信号処理素子は、多目的プロセッサ、適当なソフトウエア命令、アナログ/ディジタル変換器及びディジタル/アナログ変換器を有するコンピュータ内に実装し得るものである。また、幾つかの支持体用高温計の諸機能は、1つの放射検出素子を有すると共に、可動ミラー又はその他の光学装置を有する単一計測器において実行することができ、この可動ミラー又はその他の光学装置は、上述の計測器が異なる時間に異なる位置からの放射を検出するように同計測器の焦点位置を移動させている。この場合、装置は、計測器が1つの焦点からの放射を監視して1つの区域信号を得ている間に、もう1つの焦点からの放射又はもう1つの区域信号を表わす値をホールドするためのサンプルホールド回路もしくはディジタルメモリを備えていてもよい。同様に、2つの別個の高温計の機能は単一計測器に統合することができる。それ程好適ではないが、更なる変形例においては、唯1つの基板用高温計を使用して単一焦点からの唯1つの基板温度を得ており、この単一の基板温度がプロセス温度として使用されている。
【0037】
図1〜図4に関連して上述した好適な実施例において、第2又は中間の加熱要素は、プロセス温度もしくは平均基板温度に対してのみ制御されるが、第1及び第3(内側及び外側)の加熱要素は、第2又は中間の加熱要素を制御するのに使用されるフィードバックループとは完全に別個のフィードバックループにより、差信号のみに対して制御されるようになっている。しかし、この解決策の変形例において、加熱要素のうちの幾つか或いは全てについてのフィードバックループは、部分的に差信号を前提とし、部分的にプロセス温度を前提とすることができる。例えば、コントローラは、第1の加熱要素への電力の増大が第2の加熱要素への電力よりも小さいが、第1及び第2の加熱要素の双方への電力を増大することにより、所望プロセス温度は低いが第1又は内側区域が第2又は中間区域よりも高温であるような状況に反応するように準備されている。このようにするための準備の一つは、第2のコントローラ134により供給される制御信号に、第1のコントローラ124により供給される制御信号+1の和を乗じることによって、第1の電源122に対する制御信号を導出することである。しかし、このような複雑さは一般的には必要とされない。
【0038】
基板支持体の特定区域への熱伝達を調節し、従って、かかる区域の温度を上昇又は低下させるために代替手段を利用することができる。例えば、ある区域の温度は、その区域に関連した加熱要素の電力を維持すると共に、同加熱要素を基板支持体により近く移動させることによって、上昇させることができる。同様に、ある区域の温度は、基板支持体のこの区域と加熱要素との間の距離を増すことによって、低下させることができる。別の実施例において、特定の区域に関連した加熱要素は、より小さな複数の加熱要素として提供されることができる。ある区域の温度は、この区域に関連した複数の小さな加熱要素のより多くに電力を供給することによって上昇させることができ、或いは特定区域に関連した複数の加熱要素のより少数に電力を供給することにより低下させることができる。別の実施例において、上述したような制御信号に応答して基板支持体の諸区域を加熱及び冷却するために加熱要素及び冷却要素を組み合わせて使用することができる。更に別の実施例において、基板支持体の特定区域の温度を上昇又は減少させるために、これらの加熱装置の各々及び冷却装置の組合せを用いることができる。
【0039】
本発明は、上述したような円板状基板支持体以外の基板支持体と共に適用することができる。一実施例において、ほぼ円筒形のドラム状基板支持体は、その中心軸線回りに回転自在であると共に、円筒形周面上に複数のウェーハを支持している。基板支持体は、半径方向というよりはむしろ軸方向に離間した複数の区域を備えていてもよく、加熱要素及び支持体用高温計はそれに応じて配設されている。
【0040】
前述した第1及び第3のコントローラ149,148は、差信号を所定レベルと比較して誤差信号を導出している。全ての高温計が同一特性を有していれば、また、コンパレータが差信号にいかなるオフセットも導入していなければ、この所定レベルをゼロに設定することにより基板支持体の種々の区域にわたり最も均一な温度が実現されることになる。しかし、諸高温計が互いに異なっていれば、或いはコンパレータが多少のオフセットを差信号に導入していれば、非ゼロ差信号は最も均一な温度分布を表わすことになる。従って、これらのコンパレータによって用いられる諸所定レベルは、均一な温度分布を維持するために、同じでも異なっていてもよい非ゼロ値に設定してもよい。また、これらのコンパレータによって用いられる諸所定レベルは、基板支持体の種々の区域に非均一の温度を故意に生じさせるために、ゼロ以外の値に設定することもできる。
【0041】
前述した好適な実施例において、基板支持体からの放射強度は、温度に関係するパラメータとして測定されている。基板支持体からの放射の分光組成を放射強度の代わりに監視することができる。化学蒸着装置の環境において測定可能であり、温度に関係するその他のパラメータを使用して本発明を実施することが可能である。例えば、基板支持体自体の電気特性又は基板支持体に装着された変換器の電気特性を基板支持体の異なる区域において監視することができる。
【0042】
当業者にとって明らかであるように、本発明の精神もしくは範囲から逸脱することなく、本発明の種々の改変及び変形が可能である。従って、本発明は、これらの改変及び変形が冒頭の特許請求の範囲及びそれらの均等物の範囲内にある限り、これら改変及び変形も対象として含むものである。
【図面の簡単な説明】
【図1】 先行技術による被覆装置の概略正面の断面図である。
【図2】 先行技術によるウェーハ支持体の概略正面の断面図であり、そこに取付けられたウェーハ、サセプタ、このサセプタを支持するのに用いられる支持スピンドル、及びサセプタを加熱するための通常の加熱装置を示している。
【図3】 本発明による装置の一実施例の概略正面の断面図である。
【図4】 図1の実施例において使用されるウェーハ支持体の上部平面図である。
【図5】 ウェーハ温度均一性と支持体温度均一性との間の関係を示す実験的に得られたデータをグラフで表示している。

Claims (16)

  1. 化学蒸着反応室にある基板の制御された加熱を行うための装置であって、
    前記反応室にある少なくとも1つの基板を保持するため、第1区域及び第2区域を含む支持体と、
    前記支持体及び前記少なくとも1つの基板を加熱するための第1及び第2加熱要素とを備え、前記第1加熱要素は、前記第1区域を優先的に加熱するように設けられており、
    前記1つ以上の基板のうちの少なくとも1つの基板からの放射を測定することによりプロセス温度を測定するための少なくとも1つの基板用高温計と、
    前記支持体の前記第1区域からの放射を表わす第1区域信号を発生するように作動する第1の支持体用高温計及び前記支持体の前記第2区域からの放射を表わす第2区域信号を発生するように作動する第2の支持体用高温計と、
    前記第1及び第2の支持体用高温計に接続されると共に、前記第1区域信号及び前記第2区域信号間の差を表わす第1差信号を発生するように設けられた第1のコンパレータと、
    少なくとも部分的に前記プロセス温度に基づいて前記第2加熱要素の作動を制御すると共に、少なくとも部分的に前記第1差信号に基づいて前記第1加熱要素の作動を制御するように構成されて配置された1つ以上のコントローラと、
    を備えている装置。
  2. 前記少なくとも1つの基板用高温計は放射率補償型高温計であり、前記支持体用高温計は非放射率補償型高温計である、請求項1に記載の装置。
  3. 前記支持体は中心軸線を有しており、前記第1区域及び前記第2区域は、前記中心軸線から異なる半径方向距離のところに配置されている、請求項2に記載の装置。
  4. 前記第1区域は前記第2区域の半径方向内側に配置されており、前記支持体は前記第2区域の半径方向外側に配置された第3区域も有しており、前記装置は更に、前記支持体の前記第3区域からの放射を表わす第3区域信号を発生するように作動する第3の支持体用高温計と、前記第2及び第3の支持体用高温計に接続されると共に、前記第2区域信号及び前記第3区域信号間の差を表わす第2差信号を発生するように設けられた第2のコンパレータとを備えており、前記1つ以上のコントローラは、少なくとも部分的に前記第2差信号に基づいて第3加熱要素を制御するように作動している、請求項3に記載の装置。
  5. 前記各加熱要素は半径方向に離間している、請求項4に記載の装置。
  6. 前記軸線回りに前記支持体を回転させる回転装置を更に備えている、請求項4に記載の装置。
  7. 前記第1加熱要素に電力を供給するための第1電源と、前記第2加熱要素に電力を供給するための第2電源と、前記第3加熱要素に電力を供給するための第3電源とを備え、前記1つ以上のコントローラは前記各電源の作動を制御するように動作している、請求項4に記載の装置。
  8. 前記1つ以上のコントローラは、前記プロセス温度には応答しないが前記第1差信号に応じて前記第1加熱要素の作動を制御するように動作する第1コントローラと、前記第1差信号には応答しないが前記プロセス温度に応じて前記第2加熱要素を制御するように動作する第2コントローラとを備えている、請求項1に記載の装置。
  9. 化学蒸着反応室にある基板の制御された加熱を行うための装置であって、
    前記反応室にある少なくとも1つの基板を保持するため、第1区域及び第2区域を含む支持体と、
    前記支持体及び前記少なくとも1つの基板を加熱するための第1及び第2加熱要素とを備え、前記第1加熱要素は、前記第1区域を優先的に加熱するように設けられており
    前記1つ以上の基板のうちの少なくとも1つの基板の温度を計測することによりプロセス温度を測定するための手段と、
    前記支持体の前記第1区域の温度の変化に伴って変化するパラメータを表わす第1区域信号及び前記支持体の前記第2区域の温度の変化に伴って変化するパラメータを表わす第2区域信号を発生するための手段と、
    設定温度と前記測定されたプロセス温度間の差に少なくとも部分的に基づいて前記プロセス温度を目標温度に維持するように前記第2加熱要素を制御するための制御手段、及び前記各区域信号間の差に少なくとも部分的に基づいて前記支持体の前記各区域間の温度均一性を維持するように前記第1加熱要素を制御するための制御手段と、
    を備えている装置。
  10. 化学蒸着装置にある基板の温度を制御するための方法であって、
    前記化学蒸着装置にある前記基板を支持するための支持体を用意するステップと、
    第1及び第2加熱要素で前記支持体及び前記基板を加熱するステップと、
    前記基板の温度を測定するステップと、
    前記支持体の少なくとも2つの区域から支持体温度の変化に伴って変化するパラメータの指示を取得するステップと、
    差信号を得るために前記少なくとも2つの区域からの前記パラメータの指示を比較するステップと、
    前記測定した温度を設定値に維持るように、前記基板の前記測定した温度応答して前記第2加熱要素への電力を調整するステップ及び前記差信号を所定レベルに維持するように、前記差信号に応答して前記第1加熱要素への電力を調整するステップと、
    を含む方法。
  11. 前記所定レベルは実質的にゼロに等しい、請求項10に記載の方法。
  12. 前記少なくとも1つの基板は鏡のような表面を有し、前記基板の温度を測定する前記ステップは放射率補償型高温計を使用することを含む、請求項11に記載の方法。
  13. 前記パラメータの指示を取得する前記ステップは、少なくとも1つの非放射率補償型高温計を使用することを含む、請求項12に記載の方法。
  14. 前記パラメータの指示を取得する前記ステップは、少なくとも2つの非放射率補償型高温計を使用することを含む、請求項13に記載の方法。
  15. 前記支持体の表面は拡散反射率を有している、請求項14に記載の方法。
  16. 化学蒸着装置にある1つ以上の半導体ウェーハを熱処理する方法であって、
    前記化学蒸着装置にある前記1つ以上の半導体ウェーハを支持するための支持体を用意するステップと、
    前記支持体上の前記1つ以上の半導体ウェーハを目標作動温度まで加熱するステップと、
    放射率補償型高温計を用いて前記半導体ウェーハの温度を測定するステップと、
    前記支持体上の少なくとも2つの区域間の温度差に関係する差信号を発生するステップと、
    少なくとも部分的に前記差信号に基づいて前記支持体の前記少なくとも2つの区域の一方における温度を調整するステップと、
    を含
    前記差信号を発生する前記ステップは、1つ以上の非放射率補償型高温計を用いて前記支持体からの放射を監視することを含むことを特徴とする方法。
JP2002530254A 2000-09-27 2001-08-08 基板の温度均一性を制御するための方法及び装置 Expired - Fee Related JP5004401B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/671,527 US6492625B1 (en) 2000-09-27 2000-09-27 Apparatus and method for controlling temperature uniformity of substrates
US09/671,527 2000-09-27
PCT/US2001/024794 WO2002026435A1 (en) 2000-09-27 2001-08-08 Apparatus and method for controlling temperature uniformity of substrates

Publications (2)

Publication Number Publication Date
JP2004513510A JP2004513510A (ja) 2004-04-30
JP5004401B2 true JP5004401B2 (ja) 2012-08-22

Family

ID=24694873

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002530254A Expired - Fee Related JP5004401B2 (ja) 2000-09-27 2001-08-08 基板の温度均一性を制御するための方法及び装置

Country Status (7)

Country Link
US (1) US6492625B1 (ja)
EP (2) EP1390174A4 (ja)
JP (1) JP5004401B2 (ja)
KR (1) KR100803187B1 (ja)
CN (1) CN1309524C (ja)
AU (1) AU2001279230A1 (ja)
WO (1) WO2002026435A1 (ja)

Families Citing this family (329)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6181390A (ja) * 1984-09-28 1986-04-24 株式会社日立製作所 引込みクレ−ン
JP4357715B2 (ja) * 2000-07-24 2009-11-04 東京エレクトロン株式会社 熱処理装置の温度校正方法
US6506252B2 (en) 2001-02-07 2003-01-14 Emcore Corporation Susceptorless reactor for growing epitaxial layers on wafers by chemical vapor deposition
DE10258713B4 (de) 2002-12-12 2010-07-29 Laytec Gesellschaft Für In-Situ und Nano-Sensorik mbH Verfahren und Vorrichtung zur Bestimmung charakteristischer Schichtparameter bei hohen Temperaturen
AU2003208030A1 (en) * 2003-02-06 2004-08-30 Eugene Technology Co., Ltd. Heater of chemical vapor deposition apparatus for manufacturing a thin film
US7148075B2 (en) * 2004-06-05 2006-12-12 Hui Peng Vertical semiconductor devices or chips and method of mass production of the same
US7645342B2 (en) * 2004-11-15 2010-01-12 Cree, Inc. Restricted radiated heating assembly for high temperature processing
US7275861B2 (en) * 2005-01-31 2007-10-02 Veeco Instruments Inc. Calibration wafer and method of calibrating in situ temperatures
JP4869610B2 (ja) * 2005-03-17 2012-02-08 東京エレクトロン株式会社 基板保持部材及び基板処理装置
US7691204B2 (en) * 2005-09-30 2010-04-06 Applied Materials, Inc. Film formation apparatus and methods including temperature and emissivity/pattern compensation
US8372203B2 (en) * 2005-09-30 2013-02-12 Applied Materials, Inc. Apparatus temperature control and pattern compensation
US8104951B2 (en) * 2006-07-31 2012-01-31 Applied Materials, Inc. Temperature uniformity measurements during rapid thermal processing
CN100441734C (zh) * 2006-10-09 2008-12-10 中国科学院物理研究所 一种耐活性氧腐蚀的衬底加热装置
US8092599B2 (en) 2007-07-10 2012-01-10 Veeco Instruments Inc. Movable injectors in rotating disc gas reactors
WO2009049020A2 (en) 2007-10-11 2009-04-16 Valence Process Equipment, Inc. Chemical vapor deposition reactor
US8920024B2 (en) * 2008-03-31 2014-12-30 Jfe Steel Corporation Steel plate quality assurance system and equipment thereof
KR101749044B1 (ko) 2008-05-02 2017-06-20 어플라이드 머티어리얼스, 인코포레이티드 회전하는 기판들에 대한 비 방사상 온도 제어를 위한 시스템
US8109669B2 (en) * 2008-11-19 2012-02-07 Applied Materials, Inc. Temperature uniformity measurement during thermal processing
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9165808B2 (en) * 2009-10-28 2015-10-20 Ligadp Co., Ltd. Metal organic chemical vapor deposition device and temperature control method therefor
CN104810257A (zh) * 2009-10-28 2015-07-29 丽佳达普株式会社 金属有机化学汽相淀积设备及其温度控制方法
US20120216747A1 (en) * 2009-11-02 2012-08-30 Ligadp Co., Ltd. Chemical vapor deposition device and temperature control method of chemical vapor deposition device
KR101365202B1 (ko) * 2009-11-02 2014-02-20 엘아이지에이디피 주식회사 화학기상증착장치의 온도제어방법
TWM392431U (en) * 2010-02-04 2010-11-11 Epistar Corp Systems for epitaxial growth
US20110256692A1 (en) 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US9076827B2 (en) 2010-09-14 2015-07-07 Applied Materials, Inc. Transfer chamber metrology for improved device yield
CN102534552B (zh) * 2010-12-29 2014-04-30 理想能源设备有限公司 化学气相沉积装置
US20120171377A1 (en) 2010-12-30 2012-07-05 Veeco Instruments Inc. Wafer carrier with selective control of emissivity
US8888360B2 (en) * 2010-12-30 2014-11-18 Veeco Instruments Inc. Methods and systems for in-situ pyrometer calibration
US8967860B2 (en) * 2011-02-07 2015-03-03 Applied Materials, Inc. Low temperature measurement and control using low temperature pyrometry
TWI525744B (zh) * 2011-05-31 2016-03-11 維克儀器公司 加熱之晶圓載體輪廓勘測
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
DE102012101717A1 (de) * 2012-03-01 2013-09-05 Aixtron Se Verfahren und Vorrichtung zur Regelung der Oberflächentemperatur eines Suszeptors einer Substratbeschichtungseinrichtung
US9816184B2 (en) 2012-03-20 2017-11-14 Veeco Instruments Inc. Keyed wafer carrier
CN102534567B (zh) 2012-03-21 2014-01-15 中微半导体设备(上海)有限公司 控制化学气相沉积腔室内的基底加热的装置及方法
JP5788355B2 (ja) * 2012-03-29 2015-09-30 東京エレクトロン株式会社 熱処理システム、熱処理方法、及び、プログラム
KR20130111029A (ko) * 2012-03-30 2013-10-10 삼성전자주식회사 화학 기상 증착 장치용 서셉터 및 이를 구비하는 화학 기상 증착 장치
US10316412B2 (en) 2012-04-18 2019-06-11 Veeco Instruments Inc. Wafter carrier for chemical vapor deposition systems
US9448119B2 (en) 2012-06-22 2016-09-20 Veeco Instruments Inc. Radiation thermometer using off-focus telecentric optics
US9085824B2 (en) * 2012-06-22 2015-07-21 Veeco Instruments, Inc. Control of stray radiation in a CVD chamber
TWI576570B (zh) * 2012-06-22 2017-04-01 維克儀器公司 用於輻射測溫計之遠心光學裝置、使用遠心鏡片配置以減少輻射測溫計中雜散輻射之方法及溫度測量系統
US9200965B2 (en) 2012-06-26 2015-12-01 Veeco Instruments Inc. Temperature control for GaN based materials
CN103572260B (zh) * 2012-07-25 2016-06-08 北京北方微电子基地设备工艺研究中心有限责任公司 加热装置及具有其的cvd设备的反应腔、cvd设备
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10167571B2 (en) 2013-03-15 2019-01-01 Veeco Instruments Inc. Wafer carrier having provisions for improving heating uniformity in chemical vapor deposition systems
JP6029250B2 (ja) * 2013-03-28 2016-11-24 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
DE102013009925A1 (de) * 2013-06-13 2014-12-18 Centrotherm Photovoltaics Ag Messobjekt, Verfahren zur Herstellung desselben und Vorrichtung zum thermischen Behandeln von Substraten
DE102013109155A1 (de) * 2013-08-23 2015-02-26 Aixtron Se Substratbehandlungsvorrichtung
JP6002101B2 (ja) 2013-08-29 2016-10-05 株式会社ブリヂストン サセプタ
TWI650832B (zh) 2013-12-26 2019-02-11 維克儀器公司 用於化學氣相沉積系統之具有隔熱蓋的晶圓載具
SG11201606084RA (en) 2014-01-27 2016-08-30 Veeco Instr Inc Wafer carrier having retention pockets with compound radii for chemical vapor deposition systems
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
DE102014117388A1 (de) 2014-11-27 2016-06-02 Aixtron Se Verfahren zum Kalibrieren einer Pyrometeranordnung eines CVD- oder PVD-Reaktors
DE102015100640A1 (de) * 2015-01-19 2016-07-21 Aixtron Se Vorrichtung und Verfahren zum thermischen Behandeln von Substraten
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
USD793971S1 (en) 2015-03-27 2017-08-08 Veeco Instruments Inc. Wafer carrier with a 14-pocket configuration
USD793972S1 (en) 2015-03-27 2017-08-08 Veeco Instruments Inc. Wafer carrier with a 31-pocket configuration
USD778247S1 (en) * 2015-04-16 2017-02-07 Veeco Instruments Inc. Wafer carrier with a multi-pocket configuration
US9627239B2 (en) 2015-05-29 2017-04-18 Veeco Instruments Inc. Wafer surface 3-D topography mapping based on in-situ tilt measurements in chemical vapor deposition systems
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
JP2018525527A (ja) * 2015-08-18 2018-09-06 ビーコ・インストゥルメンツ・インコーポレイテッド 化学気相蒸着システム及びプロセスにおいて熱均一性を向上させるプロセス特異的ウェハーキャリアー補正
DE202016104588U1 (de) 2015-09-03 2016-11-30 Veeco Instruments Inc. Mehrkammersystem für chemische Gasphasenabscheidung
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10571430B2 (en) 2016-03-14 2020-02-25 Veeco Instruments Inc. Gas concentration sensors and systems
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9933314B2 (en) * 2016-06-30 2018-04-03 Varian Semiconductor Equipment Associates, Inc. Semiconductor workpiece temperature measurement system
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
CN106206349B (zh) * 2016-07-19 2018-11-23 京东方科技集团股份有限公司 基板温度监测装置、干刻设备及基板温度监测方法
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
USD860146S1 (en) 2017-11-30 2019-09-17 Veeco Instruments Inc. Wafer carrier with a 33-pocket configuration
DE202017107959U1 (de) 2017-11-30 2018-01-15 Veeco Instruments Inc. Waferträger mit einer 33-Taschen-Konfiguration
CN111511959B (zh) * 2017-12-20 2022-11-04 泰科耐斯集团有限公司 具有主动温度控制的沉积处理***及相关方法
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) * 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
USD858469S1 (en) 2018-03-26 2019-09-03 Veeco Instruments Inc. Chemical vapor deposition wafer carrier with thermal cover
USD863239S1 (en) 2018-03-26 2019-10-15 Veeco Instruments Inc. Chemical vapor deposition wafer carrier with thermal cover
USD860147S1 (en) 2018-03-26 2019-09-17 Veeco Instruments Inc. Chemical vapor deposition wafer carrier with thermal cover
USD866491S1 (en) 2018-03-26 2019-11-12 Veeco Instruments Inc. Chemical vapor deposition wafer carrier with thermal cover
USD854506S1 (en) 2018-03-26 2019-07-23 Veeco Instruments Inc. Chemical vapor deposition wafer carrier with thermal cover
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
DE102018121854A1 (de) * 2018-09-07 2020-03-12 Aixtron Se Verfahren zum Einrichten oder zum Betrieb eines CVD-Reaktors
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US10998205B2 (en) * 2018-09-14 2021-05-04 Kokusai Electric Corporation Substrate processing apparatus and manufacturing method of semiconductor device
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
DE102018125531A1 (de) * 2018-10-15 2020-04-16 Aixtron Se Vorrichtung und Verfahren zur Regelung der Temperatur in einem CVD-Reaktor
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11542604B2 (en) * 2019-11-06 2023-01-03 PlayNitride Display Co., Ltd. Heating apparatus and chemical vapor deposition system
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
DE102020100481A1 (de) * 2020-01-10 2021-07-15 Aixtron Se CVD-Reaktor und Verfahren zur Regelung der Oberflächentemperatur der Substrate
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
TWI775073B (zh) * 2020-05-07 2022-08-21 台灣積體電路製造股份有限公司 光固化的方法及其設備
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
DE102020120449A1 (de) 2020-08-03 2022-02-03 OSRAM Opto Semiconductors Gesellschaft mit beschränkter Haftung Wafer-carrier und system für eine epitaxievorrichtung
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP7452458B2 (ja) 2021-02-16 2024-03-19 株式会社デンソー 半導体装置の製造装置
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN116759347B (zh) * 2023-08-17 2023-12-12 浙江求是创芯半导体设备有限公司 外延工艺的控制方法及控制装置、半导体加工设备

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4859832A (en) 1986-09-08 1989-08-22 Nikon Corporation Light radiation apparatus
US4919542A (en) 1988-04-27 1990-04-24 Ag Processing Technologies, Inc. Emissivity correction apparatus and method
US5061084A (en) * 1988-04-27 1991-10-29 Ag Processing Technologies, Inc. Pyrometer apparatus and method
US4956538A (en) 1988-09-09 1990-09-11 Texas Instruments, Incorporated Method and apparatus for real-time wafer temperature measurement using infrared pyrometry in advanced lamp-heated rapid thermal processors
US5271084A (en) 1990-05-23 1993-12-14 Interuniversitair Micro Elektronica Centrum Vzw Method and device for measuring temperature radiation using a pyrometer wherein compensation lamps are used
JPH04142742A (ja) * 1990-10-03 1992-05-15 Fujitsu Ltd 温度分布制御方法
US5114242A (en) 1990-12-07 1992-05-19 Ag Processing Technologies, Inc. Bichannel radiation detection method
US5156461A (en) 1991-05-17 1992-10-20 Texas Instruments Incorporated Multi-point pyrometry with real-time surface emissivity compensation
US5436172A (en) 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
US5291514A (en) 1991-07-15 1994-03-01 International Business Machines Corporation Heater autotone control apparatus and method
US5536918A (en) 1991-08-16 1996-07-16 Tokyo Electron Sagami Kabushiki Kaisha Heat treatment apparatus utilizing flat heating elements for treating semiconductor wafers
US5294778A (en) 1991-09-11 1994-03-15 Lam Research Corporation CVD platen heater system utilizing concentric electric heating elements
US5446824A (en) 1991-10-11 1995-08-29 Texas Instruments Lamp-heated chuck for uniform wafer processing
JPH06204143A (ja) * 1992-12-28 1994-07-22 Hitachi Ltd Cvd装置
US5305417A (en) 1993-03-26 1994-04-19 Texas Instruments Incorporated Apparatus and method for determining wafer temperature using pyrometry
US5624590A (en) 1993-04-02 1997-04-29 Lucent Technologies, Inc. Semiconductor processing technique, including pyrometric measurement of radiantly heated bodies and an apparatus for practicing this technique
US5305416A (en) 1993-04-02 1994-04-19 At&T Bell Laboratories Semiconductor processing technique, including pyrometric measurement of radiantly heated bodies
US5650082A (en) 1993-10-29 1997-07-22 Applied Materials, Inc. Profiled substrate heating
US5444815A (en) 1993-12-16 1995-08-22 Texas Instruments Incorporated Multi-zone lamp interference correction system
US5660472A (en) 1994-12-19 1997-08-26 Applied Materials, Inc. Method and apparatus for measuring substrate temperatures
US5755511A (en) 1994-12-19 1998-05-26 Applied Materials, Inc. Method and apparatus for measuring substrate temperatures
JPH0945624A (ja) 1995-07-27 1997-02-14 Tokyo Electron Ltd 枚葉式の熱処理装置
US5881208A (en) 1995-12-20 1999-03-09 Sematech, Inc. Heater and temperature sensor array for rapid thermal processing thermal core
JP3563224B2 (ja) * 1996-03-25 2004-09-08 住友電気工業株式会社 半導体ウエハの評価方法、熱処理方法、および熱処理装置
US5895596A (en) 1997-01-27 1999-04-20 Semitool Thermal Model based temperature controller for semiconductor thermal processors
US5874711A (en) 1997-04-17 1999-02-23 Ag Associates Apparatus and method for determining the temperature of a radiating surface
US5900177A (en) * 1997-06-11 1999-05-04 Eaton Corporation Furnace sidewall temperature control system
US6064799A (en) * 1998-04-30 2000-05-16 Applied Materials, Inc. Method and apparatus for controlling the radial temperature gradient of a wafer while ramping the wafer temperature
JP2002515648A (ja) * 1998-05-11 2002-05-28 セミトゥール・インコーポレイテッド 加熱反応炉の温度制御システム
US5930456A (en) 1998-05-14 1999-07-27 Ag Associates Heating device for semiconductor wafers
US6225601B1 (en) * 1998-07-13 2001-05-01 Applied Komatsu Technology, Inc. Heating a substrate support in a substrate handling chamber
US6143629A (en) * 1998-09-04 2000-11-07 Canon Kabushiki Kaisha Process for producing semiconductor substrate
US6259072B1 (en) * 1999-11-09 2001-07-10 Axcelis Technologies, Inc. Zone controlled radiant heating system utilizing focused reflector

Also Published As

Publication number Publication date
CN1309524C (zh) 2007-04-11
KR20030033068A (ko) 2003-04-26
EP1390174A4 (en) 2009-07-29
US6492625B1 (en) 2002-12-10
WO2002026435A1 (en) 2002-04-04
CN1607989A (zh) 2005-04-20
EP1390174A1 (en) 2004-02-25
JP2004513510A (ja) 2004-04-30
AU2001279230A1 (en) 2002-04-08
KR100803187B1 (ko) 2008-02-14
EP2402108A1 (en) 2012-01-04

Similar Documents

Publication Publication Date Title
JP5004401B2 (ja) 基板の温度均一性を制御するための方法及び装置
KR101047088B1 (ko) 장치 온도 제어 및 패턴 보상 장치 및 방법
KR100564788B1 (ko) 기판 온도 측정 시스템 및 온도 측정값 조절 방법
US6200634B1 (en) Thermal processing system with supplemental resistive heater and shielded optical pyrometry
US6191399B1 (en) System of controlling the temperature of a processing chamber
KR101047089B1 (ko) 온도 및 방사율/패턴 보상을 포함하는 필름 형성 장치 및방법
CN101906622B (zh) 用于mocvd***中控制外延片温度及均匀性的装置与方法
US7993057B2 (en) Redundant temperature sensor for semiconductor processing chambers
KR100342796B1 (ko) 기판온도 측정방법 및 장치
US20070125762A1 (en) Multi-zone resistive heater
TWI661085B (zh) 利用兩溫度感測裝置來控制cvd反應器之處理室內之溫度的裝置與方法
US7667162B2 (en) Semiconductor thermal process control utilizing position oriented temperature generated thermal mask
KR20010072545A (ko) 열처리실용 기판 지지부
US7041931B2 (en) Stepped reflector plate
KR19990037028A (ko) 반도체웨이퍼의 틀린위치를 검출하는 방법 및 장치
US20130130184A1 (en) Apparatus and Method for Controlling Wafer Temperature
US20070075272A1 (en) Method and apparatus for manufacturing a semiconductor device
US6130415A (en) Low temperature control of rapid thermal processes
CN115101441A (zh) 衬底处理设备及提高下测温计信噪比的方法
JPH0437692A (ja) 基板の加熱装置

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20040611

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20040611

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20040611

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080806

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110526

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110531

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110830

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110906

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110929

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20111006

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111013

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120117

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120409

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120427

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120522

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150601

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees