JP4889901B2 - 深い接合を有するソース/ドレイン領域を形成する方法 - Google Patents

深い接合を有するソース/ドレイン領域を形成する方法 Download PDF

Info

Publication number
JP4889901B2
JP4889901B2 JP2001567032A JP2001567032A JP4889901B2 JP 4889901 B2 JP4889901 B2 JP 4889901B2 JP 2001567032 A JP2001567032 A JP 2001567032A JP 2001567032 A JP2001567032 A JP 2001567032A JP 4889901 B2 JP4889901 B2 JP 4889901B2
Authority
JP
Japan
Prior art keywords
gate stack
range
recess
doped region
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2001567032A
Other languages
English (en)
Other versions
JP2003526943A5 (ja
JP2003526943A (ja
Inventor
ウー,デイビッド・ドンガン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Devices Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Publication of JP2003526943A publication Critical patent/JP2003526943A/ja
Publication of JP2003526943A5 publication Critical patent/JP2003526943A5/ja
Application granted granted Critical
Publication of JP4889901B2 publication Critical patent/JP4889901B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Description

【0001】
【技術分野】
この発明は、一般に、半導体処理の分野に向けられ、より特定的には、半導体素子にソース/ドレイン領域を形成する方法に向けられる。
【0002】
【背景技術】
半導体産業には、マイクロプロセッサ、メモリ素子等の集積回路素子の全体性能および動作速度を上げる傾向が常にある。ますます高速で動作するコンピュータおよび電子装置に対する消費者の需要が、この傾向をかき立てている。より高速を求めるこの需要により、トランジスタ等の半導体素子のサイズは絶えず縮小されてきた。すなわち、典型的な電界効果トランジスタ(FET)の多くの構成要素、たとえば、チャネル長、接合深さ、ゲート誘電体厚等が縮小される。たとえば、すべて他の条件が等しければ、トランジスタのチャネル長が小さくなるほどトランジスタの動作は速くなる。したがって、典型的なトランジスタの構成要素のサイズまたはスケールを縮小して、トランジスタだけでなく、このようなトランジスタを組込んだ集積回路素子の素子性能および全体速度を常に上げようとする傾向がある。
【0003】
このように、トランジスタの性能を絶えず上げようとする傾向に伴い、素子動作のすべての局面を、素子性能を高めるために調べる必要がある。たとえば、トランジスタ等の半導体素子が「オン」時または「オフ」時ごとに生じるおそれのあるリーク電流を減じなくてはならない。これらのリーク電流を増大させる傾向にある1つの要因は、十分な深さを備えていないソース/ドレイン接合を有することにある。典型的には、コバルトシリサイド等の金属シリサイドを含むコンタクトがソース/ドレイン領域上に形成され、導電線のソース/ドレイン領域への電気的接続を容易にし、すなわち、金属シリサイド領域を用いて接触抵抗を減じる。接合は一般に、N型ドーパント原子の濃度とP型ドーパント原子の濃度とがほぼ等しい時点にあると理解されるものであるが、この深さが十分でない場合、素子が「オン」時または「オフ」時のいずれかの場合にリーク電流が生じるおそれがある。したがって一般的に、接合深さが浅いよりはむしろ深いソース/ドレイン領域を形成することが望ましい。
【0004】
一般に、ソース/ドレイン領域はさまざまな技術によって形成してよい。たとえば、ソース/ドレイン領域を、さまざまなドーパント原子が半導体基板内に注入される、多数のイオン注入プロセスを行なうことによって形成してよい。最初のイオン注入プロセスは、基板内に比較的浅く広い注入部分を形成するよう行なわれてよい。その後、側壁スペーサがゲートスタックに隣接して形成された後に、従来のソース/ドレイン注入を比較的高いドーパント濃度で行なってよいが、最初の広い注入部分より一段と深く行なう。次に、典型的に共注入プロセスと称される別の注入プロセスを行ない、より深い接合を得てよい。しかしながら、これらのより深い接合の側方への拡散により、従来のプロセスフローでは接合深さを約1500Åより深くすることができない。
【0005】
ソース/ドレイン領域に関連する別の問題は、キャパシタンスである。一般に、ソース/ドレイン領域によって生じるキャパシタンスを減じて素子性能を高めることが望ましい。このキャパシタンスは、トランジスタが「オン」または「オフ」される動作サイクルごとに充電および放電されなくてはならない。この結果、素子全体にわたって信号の伝搬に関するRC時間遅延を招き、加えて動作中の素子による消費電力の増大を招く。一般に、より緩やかなドーパント濃度のプロファイルを備え、ソース/ドレイン領域のキャパシタンスを減じるソース/ドレイン領域を有することが望ましいであろう。
【0006】
この発明は、上述の問題の一部またはすべてを解決するか、少なくとも減じる方法に向けられる。
【0007】
【発明の開示】
この発明は、半導体素子にソース/ドレイン領域を形成する方法に向けられる。一実施例では、この方法は、半導体基板上にゲートスタックを形成するステップと、基板内にゲートスタックに隣接して凹みを形成するステップとを含み、この凹みは底面を有し、この方法はさらに、第1のイオン注入プロセスを凹みの底面内に行なって、第1のドープされた領域を形成するステップを含む。この方法は、前記凹み内にエピタキシャルシリコンの層を形成するステップと、第2のイオン注入プロセスを行ない、凹み内のエピタキシャルシリコン層の少なくとも一部内に第2のドープされた領域を形成するステップと、第1および第2のドープされた領域をアニールするステップとをさらに含む。
【0008】
この発明は、添付の図面とともに以下の説明を参照することにより理解されてよい。図面において、同じ参照番号は同じ要素を特定する。
【0009】
この発明は、さまざまな変形および代替的形態が可能であるが、その特定の実施例を図面で例として示し、ここに詳細を説明する。しかしながら、特定の実施例の以下の説明は、開示された特定の形態にこの発明を限定するよう意図されず、反対に、その意図は、前掲の請求項によって規定されるように、この発明の精神および範囲内にあるすべての変形物、等価物、および代替物を包含すべきであると理解されるべきである。
【0010】
【この発明を実施するためのモード】
この発明の実施例を以下に説明する。不明瞭にならないよう、この明細書中では実際の実現化例の特徴すべてを説明しない。任意のそのような実際の実施例の開発において、開発者の特定の目標、たとえば、実現化例ごとに異なるシステム関連およびビジネス関連の制約との整合などを達成するために、実現化例に特有の判断を多数行なわなければならないことが、当然ながら理解されるであろう。さらに、このような開発努力は複雑で時間を消費するものであるが、この開示の恩恵を受ける当業者にとっては慣例の作業であることが理解されるであろう。
【0011】
次に、この発明を、図1−図9を参照して説明する。半導体素子のさまざまな領域および構造が極めて精密で鮮明な構成とプロファイルとを有しているよう図面に示されているが、当業者は、実際には、これらの領域および構造が図面で示されるほど精密ではないことを認める。さらに、図面に示されるさまざまな特徴の相対的な大きさは、製造された素子の特徴の大きさと比較すると、拡大または縮小されているかもしれない。しかしながら、添付の図面はこの発明の実施例を記載し説明するよう含まれている。
【0012】
一般に、この発明は、半導体素子にソース/ドレイン領域を形成するプロセスに向けられる。この明細書を完全に読むと、当業者にとっては容易に明らかであるように、この方法はさまざまな技術、たとえば、NMOS、PMOS、CMOS等に適用することができ、論理素子、メモリ素子等を含むさまざまな素子に容易に適用することができるが、これに限定されない。
【0013】
部分的に形成された半導体素子10が図1に示される。最初に、たとえば、二酸化シリコンを含む浅いトレンチ絶縁分離15が半導体基板12内に形成される。半導体素子10は、半導体基板12の表面13上に形成されたゲート誘電体層14と、ゲート誘電体層14上に形成されたゲート電極層16とを含む。半導体基板12は、さまざまな材料、たとえば、エピタキシャルシリコンの層(図示せず)が上に形成されたシリコンを含んでよい。
【0014】
ゲート誘電体層14とゲート電極層16とを構成する材料は、設計選択事項として多様であってよい。たとえば、ゲート誘電体層14は二酸化シリコンを含んでよく、ゲート誘電体層16は多結晶シリコン(ポリシリコン)を含んでよい。さらに、これらの層は、このような層を形成するためのさまざまな公知の技術、たとえば、熱成長、化学気相成長(「CVD」)、物理気相成長(「PVD」)、プラズマ増速化学気相成長(「PECVD」)、スパッタリング等によって形成されてよい。したがって、構成の特定の材料に加え、ゲート誘電体層14およびゲート電極層16を形成する態様は、前掲の請求項に特に記載されていない限り、この発明を限定するものと考えられるべきではない。一実施例では、ゲート誘電体層14は、約15−30Å(1.5−3nm)の範囲の厚さである、熱成長させた二酸化シリコンの層を含み、ゲート電極層16は、堆積プロセスによって形成される、約1000−3000Å(0.1−0.3μm)のポリシリコンを含む。
【0015】
次に、図2が示すように、ゲート電極層16とゲート誘電体層14とはパターニングされ、ゲート電極16Aとゲート誘電体14Aとを含むゲートスタック17を規定する。このパターニングは、1つ以上のエッチングプロセス、たとえば、異方性反応性イオンエッチングプロセスを行なうことによって達成されてよい。しかしながら、ゲート誘電体層14とゲート電極層16とが共に、同時にパターニングされる必要がないことに注目されたい。すなわち、ゲートスタック17は、この明細書に説明される処理動作のすべてまたは実質的な部分に対し、パターニングされたゲート電極層16のみから成ってよい。
【0016】
その後、矢印19が示すように、イオン注入プロセスが行なわれ、例としてのNMOS素子用の基板12内に、自己整合されてドープされた領域20を形成する。このドープされた領域20は、約200−1000Å(0.02−0.1μm)の範囲の厚さであってよい。注入プロセス19によって加えられるべきドーパント原子は、構成中の特定の素子に依存して多様であってよい。たとえば、図2に示された例としてのNMOS素子の場合、ドーパント原子はヒ素またはリンを含んでよい。PMOS素子については、ドーパント材料はボロン等を含んでよい。NMOS素子のための、一例としての注入プロセスにおいて、イオン注入プロセス19は、約1−10keVの範囲のエネルギーで注入された、約0.1−1.0×1015 (個)/cm2のリンイオンを含む。
【0017】
次に、図3が示すように、複数の側壁スペーサ22がゲートスタック17の側面23に隣接して形成される。側壁スペーサ22は、たとえば、二酸化シリコン、シリコンオキシナイトライド等のスペーサ材料の適切な層を、図3で示される素子上に形成し、その後、異方性エッチングプロセスを行なうことにより、結果的に側壁スペーサ22を生じるよう構成されてよい。なお、図3では1つの側壁スペーサ22が示されているが、多数の側壁スペーサがゲートスタック17の側面23の各々に隣接して形成され得ることにも注目されたい。
【0018】
次に、図4が示すように、底面27を予め選択された深さ25に有する複数の凹み24が、ゲートスタック17に隣接または近接して基板12内に形成される。図4に示される実施例では、凹み24は側壁スペーサ22の外側に形成される。当然ながら、多数の側壁スペーサがゲートスタック17の側面23の各々に隣接して形成される状況では、凹み24は最も外側の側壁スペーサの外側に形成されてよい。凹み24はさまざまな技術で形成されてよい。たとえば、凹み24は、異方性反応性イオンエッチングプロセスを行なうことによって形成されてよい。このプロセスの間、図4が示すように、ゲート電極16Aが部分的に除去されてもよい。凹み24の深さ25は設計選択事項として多様であってよい。一実施例では、凹み24の深さ25は約500−1500Å(0.05−0.15μm)の範囲である。凹み24を形成するプロセスの間、側壁スペーサ22を超えて出ている、ドープされた領域20の一部またはすべてが除去されてよいことに注目されたい。
【0019】
その後、図5が示すように、注入プロセス30を行なって凹み24の底面27内にドーパント原子を注入し、それにより、凹み24によって規定された領域にドープされた領域28を形成する。ドープされた領域28は約200−800Å(0.02−0.08μm)の範囲の厚さであってよい。選択された特定のドーパント原子に加え、その原子の濃度は、関連する特定の技術に依存して、設計選択事項として多様であってよい。たとえば、例としてのNMOS素子の場合、イオン注入プロセス30は、約5−15keVの範囲のエネルギーレベルで約0.2−2.0×1014 (個)/cm2のリンイオンの注入を含んでよい。
【0020】
図6に示されるように、次に、エピタキシャルシリコン領域32が基板12内の凹み24内およびゲート電極16A上に形成される。エピタキシャルシリコン領域32は、エピタキシャルシリコンが、たとえば、二酸化シリコンを含む側壁スペーサ22上に形成されないよう選択的に形成されてよい。エピタキシャル領域32の厚さは凹み24の深さ25とほぼ対応してよい。
【0021】
次に、図7が示すように、別のイオン注入プロセス38を素子上に行ない、凹み24内に形成されたエピタキシャルシリコン領域32の少なくとも一部にドープされた領域33を形成する。当然ながら、ドーパント原子はエピタキシャル領域32のみに限定されなくてもよい。他のイオン注入プロセスのように、注入プロセスのドーパント材料、濃度、およびエネルギーレベルは設計選択事項として多様であってよい。一実施例では、イオン注入プロセス38は約1−5×1015 (個)/cm2のヒ素イオンを含み、エネルギーレベルは約10−30keVの範囲であってよい。この結果、図7が示すように、ドープされた領域33、ドープされた領域28、およびドープされた領域20を含むソース/ドレイン領域31が生じる。個別の3つのイオン注入プロセスを用い、ドープされた3つの領域を含むソース/ドレイン領域を形成するプロセスフローの一例をこの明細書で説明してきたが、この発明は、イオン注入ステップが殆どないこと、および/またはドープされた領域がさらに少ないことを伴うプロセスフローにおいて用いられてよいことに注目されたい。
【0022】
その後、熱処理またはアニールプロセスを行ない、ドープされたさまざまな領域20、28および33においてドーパント原子を活性化し、上述のさまざまなイオン注入プロセスによる、シリコン格子構造に対するあらゆる損傷を修復する。このアニールプロセスが行なわれた後、ドープされた領域20、28、および33内のドーパント原子は追いやられるか、動かされる。このことによって生じた構造は、図8にほぼ示されている。たとえば、アニールプロセスの結果、ドープされた領域20の残存する部分の一部は、ゲートスタック17の側面23の僅かに下へ追いやられる。加えて、ドープされた領域33の一部は側壁スペーサ22の下に追いやられてよい。この熱処理は、さまざまな技術、たとえば急速熱アニールプロセス等によって行なわれてよい。一実施例において、熱処理は、約900−1200℃の範囲の温度で約10−30秒の範囲の時間、高速熱アニール(「RTA」)プロセスを行なうことを含む。当然ながら、上述の1回のRTAプロセスを行なう代わりに、多数のアニールステップを製造プロセスのさまざまな段階で行なってよい。
【0023】
次に、図8および図9が示すように、従来のサリサイド処理を行ない、図7に示される素子上に金属シリサイド領域を形成する。より特定的には、図8が示すように、高融点金属の層60を素子上に形成する。高融点金属層60はさまざまな高融点金属、たとえば、コバルト、チタン等を含んでよく、さまざまな技術、たとえば、堆積によって形成されてよい。一実施例では、高融点金属層60は、堆積プロセスによって形成される約100Åのコバルトを含む。その後、従来のサリサイド処理を行ない、高融点金属層60の部分はソース/ドレイン領域31上に形成された金属シリサイド領域61とゲート電極16A上に形成された金属シリサイド領域62とに転化される。金属シリサイド領域61および62は、ソース/ドレイン領域33とゲート電極16Aとによりよい電気的接触をもたらす。
【0024】
上に開示された特定の実施例は例示のみであり、この教示の恩恵を受ける当事者にとっては明らかである、異なるが等価の態様により、この発明を変更し、実施することができる。さらに、前掲の請求項で記載される以外は、ここに示される構成または設計の詳細にいかなる限定も意図されない。したがって、上に開示された特定の実施例を変形または変更することができ、すべてこのような変形はこの発明の範囲および精神の中にあると考えられることは明らかである。したがって、ここで求められる保護は、前掲の請求項に示されるものによる。
【図面の簡単な説明】
【図1】 部分的に形成された半導体素子の断面図である。
【図2】 図1の素子にパターニング動作を行ない、半導体基板上でゲートスタックを規定したことを示す図である。
【図3】 図2に示された素子に、ゲートスタックに隣接して側壁スペーサを形成したことを示す断面図である。
【図4】 図3に示された素子に、基板内において側壁スペーサに隣接して凹みを形成したことを示す断面図である。
【図5】 図4に示された素子にイオン注入プロセスを行ない、凹みによって規定された領域にドープされた領域を形成したことを示す断面図である。
【図6】 図5に示された素子上に複数のエピタキシャルシリコン領域を形成したことを示す断面図である。
【図7】 図6に示された素子上にイオン注入プロセスを行なったことを示す断面図である。
【図8】 図7に示された素子上に高融点金属の層を形成したことを示す断面図である。
【図9】 図8に示された素子の高融点金属層の部分が金属シリサイド領域に転化されたことを示す断面図である。

Claims (6)

  1. 半導体基板上にゲートスタックを形成するステップを含み、前記ゲートスタックは複数の側壁を有し、さらに、
    約0.1−1.0×10 1 (個)/cm 2 のイオンの範囲のドーパント濃度および約1−10keVの範囲のエネルギーレベルで第1のイオン注入プロセスを行ない、前記基板内に第1のドープされた領域を形成するステップと、
    前記ゲートスタックの前記側壁に隣接して少なくとも1つの側壁スペーサを形成するステップと、
    前記基板内において、前記側壁スペーサに隣接して、凹みをエッチングするステップとを含み、前記凹みは底面を有し、さらに、
    前記凹みの底面内に約0.2−2.0×10 1 (個)/cm 2 のイオンの範囲のドーパント濃度および約5−15keVの範囲のエネルギーレベルで第2のイオン注入プロセスを行ない、第2のドープされた領域を形成するステップと、
    少なくとも前記凹み内にエピタキシャルシリコンの層を堆積するステップと、
    約1−5×10 1 (個)/cm 2 のイオンの範囲のドーパント濃度および約10−30keVの範囲のエネルギーレベルで第3のイオン注入プロセスを行ない、前記凹み内に形成された前記エピタキシャルシリコンの少なくとも一部内に第3のドープされた領域を形成するステップと、
    前記第1、第2、および第3のドープされた領域をアニールするステップとを上記記載順に行うことを含み、
    前記第1から第3のドープされた領域がソース/ドレイン領域であり、かつ、すべてが同導電型であり、前記第1のドープされた領域の側面に接して前記第3のドープされた領域が形成され、前記第3のドープされた領域の下面に接して前記第2のドープされた領域が形成されている、方法。
  2. 半導体基板上に複数の側壁を有するゲートスタックを形成するステップは、半導体基板上にパターニングされたポリシリコンの層を含むゲートスタックを形成するステップを含み、前記ゲートスタックは複数の側壁を有する、請求項1に記載の方法。
  3. 前記ゲートスタックの前記側壁に隣接して少なくとも1つの側壁スペーサを形成するステップは、前記ゲートスタックと前記基板との上にスペーサ材料の層を形成するステップと、少なくとも1つの異方性エッチングプロセスを行なうステップとを含む、請求項1に記載の方法。
  4. 前記第1、第2、および第3のドープされた領域をアニールするステップは、1つの急速熱アニールプロセスを行なうステップを含む、請求項1に記載の方法。
  5. 前記第1、第2、および第3のドープされた領域をアニールするステップは、複数の急速熱アニールプロセスを行なうステップを含む、請求項1に記載の方法。
  6. 前記第1、第2、および第3のドープされた領域をアニールするステップは、約900−1200℃の範囲の温度で約10−30秒の範囲の時間、1つの急速熱アニールプロセスを行なうステップを含む、請求項1に記載の方法。
JP2001567032A 2000-03-13 2001-01-16 深い接合を有するソース/ドレイン領域を形成する方法 Expired - Lifetime JP4889901B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/523,632 US6368926B1 (en) 2000-03-13 2000-03-13 Method of forming a semiconductor device with source/drain regions having a deep vertical junction
US09/523,632 2000-03-13
PCT/US2001/001450 WO2001069668A1 (en) 2000-03-13 2001-01-16 Method of manufacturing source/drain regions having a deep junction

Publications (3)

Publication Number Publication Date
JP2003526943A JP2003526943A (ja) 2003-09-09
JP2003526943A5 JP2003526943A5 (ja) 2008-02-28
JP4889901B2 true JP4889901B2 (ja) 2012-03-07

Family

ID=24085775

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001567032A Expired - Lifetime JP4889901B2 (ja) 2000-03-13 2001-01-16 深い接合を有するソース/ドレイン領域を形成する方法

Country Status (5)

Country Link
US (1) US6368926B1 (ja)
EP (1) EP1264337B1 (ja)
JP (1) JP4889901B2 (ja)
KR (1) KR100687824B1 (ja)
WO (1) WO2001069668A1 (ja)

Families Citing this family (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6812103B2 (en) * 2002-06-20 2004-11-02 Micron Technology, Inc. Methods of fabricating a dielectric plug in MOSFETS to suppress short-channel effects
CN101055838B (zh) 2002-06-26 2011-12-14 山米奎普公司 一种制造一半导体器件的方法
US7232729B1 (en) * 2003-05-06 2007-06-19 Spansion Llc Method for manufacturing a double bitline implant
KR100487564B1 (ko) 2003-07-07 2005-05-03 삼성전자주식회사 높여진 소오스/드레인 영역을 갖는 반도체 소자 및 그제조방법
US20060030093A1 (en) * 2004-08-06 2006-02-09 Da Zhang Strained semiconductor devices and method for forming at least a portion thereof
US7026232B1 (en) * 2004-12-23 2006-04-11 Texas Instruments Incorporated Systems and methods for low leakage strained-channel transistor
US7335959B2 (en) * 2005-01-06 2008-02-26 Intel Corporation Device with stepped source/drain region profile
US7282415B2 (en) 2005-03-29 2007-10-16 Freescale Semiconductor, Inc. Method for making a semiconductor device with strain enhancement
KR100647457B1 (ko) * 2005-12-09 2006-11-23 한국전자통신연구원 반도체 소자 및 그 제조방법
KR100806139B1 (ko) * 2005-12-28 2008-02-22 주식회사 하이닉스반도체 플라즈마도핑을 이용한 반도체소자의 제조 방법
US7342266B2 (en) * 2006-01-09 2008-03-11 International Business Machines Corporation Field effect transistors with dielectric source drain halo regions and reduced miller capacitance
US8076189B2 (en) 2006-04-11 2011-12-13 Freescale Semiconductor, Inc. Method of forming a semiconductor device and semiconductor device
DE102006019937B4 (de) * 2006-04-28 2010-11-25 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung eines SOI-Transistors mit eingebetteter Verformungsschicht und einem reduzierten Effekt des potentialfreien Körpers
US7569896B2 (en) * 2006-05-22 2009-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Transistors with stressed channels
US7541239B2 (en) * 2006-06-30 2009-06-02 Intel Corporation Selective spacer formation on transistors of different classes on the same device
US7364957B2 (en) * 2006-07-20 2008-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for semiconductor device with improved source/drain junctions
US8043919B2 (en) * 2007-11-12 2011-10-25 United Microelectronics Corp. Method of fabricating semiconductor device
US7989297B2 (en) * 2009-11-09 2011-08-02 International Business Machines Corporation Asymmetric epitaxy and application thereof
US8466502B2 (en) 2011-03-24 2013-06-18 United Microelectronics Corp. Metal-gate CMOS device
US8445363B2 (en) 2011-04-21 2013-05-21 United Microelectronics Corp. Method of fabricating an epitaxial layer
US8324059B2 (en) 2011-04-25 2012-12-04 United Microelectronics Corp. Method of fabricating a semiconductor structure
US8426284B2 (en) 2011-05-11 2013-04-23 United Microelectronics Corp. Manufacturing method for semiconductor structure
US8481391B2 (en) 2011-05-18 2013-07-09 United Microelectronics Corp. Process for manufacturing stress-providing structure and semiconductor device with such stress-providing structure
US8431460B2 (en) 2011-05-27 2013-04-30 United Microelectronics Corp. Method for fabricating semiconductor device
US8716750B2 (en) 2011-07-25 2014-05-06 United Microelectronics Corp. Semiconductor device having epitaxial structures
US8575043B2 (en) 2011-07-26 2013-11-05 United Microelectronics Corp. Semiconductor device and manufacturing method thereof
US8647941B2 (en) 2011-08-17 2014-02-11 United Microelectronics Corp. Method of forming semiconductor device
US8674433B2 (en) 2011-08-24 2014-03-18 United Microelectronics Corp. Semiconductor process
US8476169B2 (en) 2011-10-17 2013-07-02 United Microelectronics Corp. Method of making strained silicon channel semiconductor structure
US8691659B2 (en) 2011-10-26 2014-04-08 United Microelectronics Corp. Method for forming void-free dielectric layer
US8754448B2 (en) 2011-11-01 2014-06-17 United Microelectronics Corp. Semiconductor device having epitaxial layer
US8647953B2 (en) 2011-11-17 2014-02-11 United Microelectronics Corp. Method for fabricating first and second epitaxial cap layers
US8709930B2 (en) 2011-11-25 2014-04-29 United Microelectronics Corp. Semiconductor process
US9136348B2 (en) 2012-03-12 2015-09-15 United Microelectronics Corp. Semiconductor structure and fabrication method thereof
US9202914B2 (en) 2012-03-14 2015-12-01 United Microelectronics Corporation Semiconductor device and method for fabricating the same
US8664069B2 (en) 2012-04-05 2014-03-04 United Microelectronics Corp. Semiconductor structure and process thereof
US8866230B2 (en) 2012-04-26 2014-10-21 United Microelectronics Corp. Semiconductor devices
US8835243B2 (en) 2012-05-04 2014-09-16 United Microelectronics Corp. Semiconductor process
US8951876B2 (en) 2012-06-20 2015-02-10 United Microelectronics Corp. Semiconductor device and manufacturing method thereof
US8796695B2 (en) 2012-06-22 2014-08-05 United Microelectronics Corp. Multi-gate field-effect transistor and process thereof
US8710632B2 (en) 2012-09-07 2014-04-29 United Microelectronics Corp. Compound semiconductor epitaxial structure and method for fabricating the same
US9117925B2 (en) 2013-01-31 2015-08-25 United Microelectronics Corp. Epitaxial process
US8753902B1 (en) 2013-03-13 2014-06-17 United Microelectronics Corp. Method of controlling etching process for forming epitaxial structure
US9034705B2 (en) 2013-03-26 2015-05-19 United Microelectronics Corp. Method of forming semiconductor device
US9064893B2 (en) 2013-05-13 2015-06-23 United Microelectronics Corp. Gradient dopant of strained substrate manufacturing method of semiconductor device
US8853060B1 (en) 2013-05-27 2014-10-07 United Microelectronics Corp. Epitaxial process
US9076652B2 (en) 2013-05-27 2015-07-07 United Microelectronics Corp. Semiconductor process for modifying shape of recess
US8765546B1 (en) 2013-06-24 2014-07-01 United Microelectronics Corp. Method for fabricating fin-shaped field-effect transistor
US8895396B1 (en) 2013-07-11 2014-11-25 United Microelectronics Corp. Epitaxial Process of forming stress inducing epitaxial layers in source and drain regions of PMOS and NMOS structures
US8981487B2 (en) 2013-07-31 2015-03-17 United Microelectronics Corp. Fin-shaped field-effect transistor (FinFET)
US10546943B2 (en) * 2018-04-24 2020-01-28 Globalfoundries Inc. Methods, apparatus, and system for reducing leakage current in semiconductor devices
CN110634743B (zh) * 2018-06-25 2023-06-09 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
KR102534288B1 (ko) * 2021-04-14 2023-05-17 연세대학교 산학협력단 선택적 도핑 방법을 이용한 반도체 소자 및 이의 제조 방법

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0344077A (ja) * 1989-07-11 1991-02-25 Seiko Instr Inc 半導体装置の製造方法
JPH03156976A (ja) * 1989-11-15 1991-07-04 Nec Corp 半導体装置及びその製造方法
JPH08340108A (ja) * 1995-06-13 1996-12-24 Sanyo Electric Co Ltd Mos電界効果トランジスタとその製造方法
JPH11135786A (ja) * 1997-10-31 1999-05-21 Sanyo Electric Co Ltd 半導体装置の製造方法
US5908313A (en) * 1996-12-31 1999-06-01 Intel Corporation Method of forming a transistor
JPH11238883A (ja) * 1998-02-04 1999-08-31 Lg Semicon Co Ltd 半導体素子及びその製造方法
JP2001274394A (ja) * 2000-02-29 2001-10-05 Internatl Business Mach Corp <Ibm> 垂直に分離されたソース/ドレインを備えるデバイスおよびそのデバイスを製造する方法

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2929291B2 (ja) 1986-12-04 1999-08-03 セイコーインスツルメンツ株式会社 絶縁ゲート電界効果トランジスタの製造方法
US6159815A (en) 1996-09-27 2000-12-12 Siemens Aktiengesellschaft Method of producing a MOS transistor
US6303448B1 (en) * 1998-11-05 2001-10-16 Taiwan Semiconductor Manufacturing Company Method for fabricating raised source/drain structures
US6162691A (en) * 1999-03-29 2000-12-19 Taiwan Semiconductor Manufacturing Company Method for forming a MOSFET with raised source and drain, saliciding, and removing upper portion of gate spacers if bridging occurs

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0344077A (ja) * 1989-07-11 1991-02-25 Seiko Instr Inc 半導体装置の製造方法
JPH03156976A (ja) * 1989-11-15 1991-07-04 Nec Corp 半導体装置及びその製造方法
JPH08340108A (ja) * 1995-06-13 1996-12-24 Sanyo Electric Co Ltd Mos電界効果トランジスタとその製造方法
US5908313A (en) * 1996-12-31 1999-06-01 Intel Corporation Method of forming a transistor
JPH11135786A (ja) * 1997-10-31 1999-05-21 Sanyo Electric Co Ltd 半導体装置の製造方法
JPH11238883A (ja) * 1998-02-04 1999-08-31 Lg Semicon Co Ltd 半導体素子及びその製造方法
JP2001274394A (ja) * 2000-02-29 2001-10-05 Internatl Business Mach Corp <Ibm> 垂直に分離されたソース/ドレインを備えるデバイスおよびそのデバイスを製造する方法

Also Published As

Publication number Publication date
JP2003526943A (ja) 2003-09-09
EP1264337B1 (en) 2012-07-25
KR100687824B1 (ko) 2007-02-28
EP1264337A1 (en) 2002-12-11
KR20020081441A (ko) 2002-10-26
WO2001069668A1 (en) 2001-09-20
US6368926B1 (en) 2002-04-09

Similar Documents

Publication Publication Date Title
JP4889901B2 (ja) 深い接合を有するソース/ドレイン領域を形成する方法
US7879667B2 (en) Blocking pre-amorphization of a gate electrode of a transistor
US6933577B2 (en) High performance FET with laterally thin extension
US8026134B2 (en) Recessed drain and source areas in combination with advanced silicide formation in transistors
US6306698B1 (en) Semiconductor device having metal silicide regions of differing thicknesses above the gate electrode and the source/drain regions, and method of making same
US20130049128A1 (en) Semiconductor Device with Dual Metal Silicide Regions and Methods of Making Same
US6423634B1 (en) Method of forming low resistance metal silicide region on a gate electrode of a transistor
US6268255B1 (en) Method of forming a semiconductor device with metal silicide regions
KR100568077B1 (ko) 반도체장치의 제조방법
JP2010177690A (ja) 電気的にプログラムされたソース/ドレイン直列抵抗を有するmosトランジスタ
US7189644B2 (en) CMOS device integration for low external resistance
US6767835B1 (en) Method of making a shaped gate electrode structure, and device comprising same
US20020137268A1 (en) Method of forming silicide contacts and device incorporation same
US20060014351A1 (en) Low leakage MOS transistor
US20060270202A1 (en) Technique for reducing silicide non-uniformities by adapting a vertical dopant profile
US6406964B1 (en) Method of controlling junction recesses in a semiconductor device
US6294433B1 (en) Gate re-masking for deeper source/drain co-implantation processes
US6190982B1 (en) Method of fabricating a MOS transistor on a semiconductor wafer
JP2842842B2 (ja) Mos型半導体装置およびその製造方法
WO2000036634A2 (en) Amorphization of substrate to prevent silicide encroachment into channel region of field effect transistor
KR100620235B1 (ko) 타이타늄 실리사이드 제조 방법
JP2003060200A (ja) 半導体集積回路装置の製造方法および半導体集積回路装置
KR100491419B1 (ko) 반도체 소자의 제조 방법
JP2005039184A (ja) 半導体素子の製造方法
JP2003031683A (ja) 半導体装置およびその製造方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080109

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080109

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110804

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110809

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111108

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20111206

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20111214

R150 Certificate of patent or registration of utility model

Ref document number: 4889901

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20141222

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term