JP4537201B2 - Batch furnace - Google Patents

Batch furnace Download PDF

Info

Publication number
JP4537201B2
JP4537201B2 JP2004526235A JP2004526235A JP4537201B2 JP 4537201 B2 JP4537201 B2 JP 4537201B2 JP 2004526235 A JP2004526235 A JP 2004526235A JP 2004526235 A JP2004526235 A JP 2004526235A JP 4537201 B2 JP4537201 B2 JP 4537201B2
Authority
JP
Japan
Prior art keywords
processing
heat source
wafer
tube
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2004526235A
Other languages
Japanese (ja)
Other versions
JP2005535128A (en
Inventor
ヨー、ウー・シク
深田卓史
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
WaferMasters Inc
Original Assignee
WaferMasters Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/211,757 external-priority patent/US6727194B2/en
Application filed by WaferMasters Inc filed Critical WaferMasters Inc
Publication of JP2005535128A publication Critical patent/JP2005535128A/en
Application granted granted Critical
Publication of JP4537201B2 publication Critical patent/JP4537201B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Description

(関連出願に関する言及)
本出願は、2002年8月2日に出願された米国特許出願第10/211,757号の一部継続出願に基づくもので、同出願に関する言及をもって、その内容を本出願の一部とする。
(References to related applications)
This application is based on a continuation-in-part of US patent application Ser. No. 10 / 211,757, filed on Aug. 2, 2002, the contents of which are incorporated herein by reference. .

本発明は半導体製造装置に関し、さらに詳しくは半導体ウエハの処理システム及び方法に関する。   The present invention relates to a semiconductor manufacturing apparatus, and more particularly to a semiconductor wafer processing system and method.

半導体デバイスの処理の際に、デバイスに加えられる熱処理を正確に制御することが極めて望ましい。場合によっては、主にウエハからなるデバイスのバッチを半導体処理炉内で処理するが、処理炉は所望の処理を行うために注意深く制御された処理環境を備えている。   When processing semiconductor devices, it is highly desirable to accurately control the heat treatment applied to the device. In some cases, batches of devices consisting primarily of wafers are processed in a semiconductor processing furnace, which has a carefully controlled processing environment to perform the desired processing.

残念ながら、多くの従来形式の上下に配置された炉に於いては、処理管を加熱要素により外囲することにより、所望の温度を処理管内部において達成するようにしている。このような構成に於いては、先ず、輻射及び対流が処理管を加熱するために利用され、次に熱エネルギーがより低温のウエハに伝達される。処理管は、通常隔絶されているため、対流による加熱は通常有効ではない。低温に於けるウエハの処理作業中においては、このような加熱の構成は非効率的であって多大な時間を要する。しかも、この種の構成に於いては、異なるバッチのウエハを処理する作業の間に於いて、炉アセンブリ全体の温度を下げることが困難かつ非効率であるため、通常別個の冷却室又はブロアが炉全体を急速に冷却するために必要となる。   Unfortunately, in many conventional types of top and bottom furnaces, the process tube is surrounded by a heating element to achieve the desired temperature within the process tube. In such a configuration, radiation and convection are first used to heat the process tube, and then thermal energy is transferred to the cooler wafer. Since processing tubes are usually isolated, convective heating is usually not effective. During wafer processing operations at low temperatures, such a heating arrangement is inefficient and requires a lot of time. Moreover, in this type of configuration, it is difficult and inefficient to reduce the temperature of the entire furnace assembly during the operation of processing different batches of wafers, so a separate cooling chamber or blower is usually used. Necessary for rapid cooling of the entire furnace.

このような理由により、処理されるウエハの均一性に関する問題を生ずることなく、処理温度を正確にかつダイナミックに制御し得るような、半導体デバイスの表面全体に渡って一定の温度分布を達成し得るようなシステム及び方法が望まれている。   For this reason, a constant temperature distribution can be achieved across the surface of the semiconductor device such that the processing temperature can be accurately and dynamically controlled without causing problems with the uniformity of the wafer being processed. Such systems and methods are desired.

本発明は、処理の際に半導体デバイスの全体に渡って温度を均一にするためのシステム及び方法を提供する。その炉アセンブリは、半導体ウエハを補完的に受容する形状を有するウエハキャリアを着脱可能に受容するべく構成された処理管を含む。加熱アセンブリは電気抵抗性加熱要素を含むものであってよく、これは処理室内に導入されるべき空気または他のガスを加熱するべく配置される。ウエハキャリア及び加熱アセンブリは、駆動機構を用いることにより、上下方向に駆動され、処理室内の位置に配置される。加熱アセンブリが処理管内にてシールを形成した後に、処理管が排気され、パージされる。ガスが、入口から処理室内に導入され、ガスは加熱要素と熱交換を行う。加熱されたガスは処理室内にて循環し、対流加熱をすることによりウエハの温度を高める。   The present invention provides a system and method for uniformity of temperature throughout a semiconductor device during processing. The furnace assembly includes a processing tube configured to removably receive a wafer carrier having a shape that complementarily receives a semiconductor wafer. The heating assembly may include an electrically resistive heating element, which is arranged to heat air or other gas to be introduced into the process chamber. The wafer carrier and the heating assembly are driven in the vertical direction by using a driving mechanism, and are arranged at positions in the processing chamber. After the heating assembly forms a seal in the process tube, the process tube is evacuated and purged. Gas is introduced into the process chamber from the inlet and the gas exchanges heat with the heating element. The heated gas circulates in the processing chamber and raises the wafer temperature by convection heating.

加熱アセンブリは電気抵抗性加熱要素を含むものであってよく、これは処理室内に導入されるべき空気または他のガスを加熱するべく配置される。加熱アセンブリは、加熱要素の周りを通過し、処理管を流れるガスの流れの向きを定めるための反射器を備えるものであってよい。   The heating assembly may include an electrically resistive heating element, which is arranged to heat air or other gas to be introduced into the process chamber. The heating assembly may include a reflector for directing the flow of gas passing around the heating element and flowing through the processing tube.

作動中に、炉アセンブリは、駆動機構を用いることにより、ウエハキャリア及び加熱アセンブリ上の位置に下降される。加熱アセンブリが処理管内にてシールを形成した後に、処理管が排気され、パージされる。ガスが、入口から処理室内に導入される。ガスが処理管内を循環するに伴い、ガスは加熱要素と熱交換を行う。反射器は、ガスが処理管の底部からその上端に向けて循環するのを可能にする。このように均一な対流加熱を行うことによりウエハの温度を均一に高めることができる。   In operation, the furnace assembly is lowered to a position on the wafer carrier and heating assembly by using a drive mechanism. After the heating assembly forms a seal in the process tube, the process tube is evacuated and purged. Gas is introduced into the processing chamber from the inlet. As the gas circulates in the processing tube, the gas exchanges heat with the heating element. The reflector allows gas to circulate from the bottom of the process tube towards its top. By performing uniform convection heating in this way, the wafer temperature can be increased uniformly.

ウエハが処理された後、加熱要素は下向きに駆動され、加熱されないガスが処理管内にて流される。加熱されないガスは、ウエハを、それを取り出す前に冷却する。一旦冷却すると、駆動機構により、ウエハキャリア及び加熱アセンブリが処理室から取り出される。   After the wafer is processed, the heating element is driven downward, and unheated gas is flowed through the processing tube. Unheated gas cools the wafer before removing it. Once cooled, the drive mechanism removes the wafer carrier and heating assembly from the process chamber.

好ましくは、ウエハの加熱及び冷却が、すべて処理室内にて対流加熱をすることにより達成される。循環する加熱ガスとウエハとの間の熱伝達は、輻射または伝導による加熱構造に比較してより直接的である。ウエハが処理室内にて冷却されるため、別途冷却室を設けたり、ブロアを追加する必要がなくなる。加熱要素の熱容量が小さいため、その昇温降及び降温に要する時間を短縮することができる。   Preferably, heating and cooling of the wafer are all accomplished by convection heating in the processing chamber. The heat transfer between the circulating heated gas and the wafer is more direct compared to the heating structure by radiation or conduction. Since the wafer is cooled in the processing chamber, there is no need to provide a separate cooling chamber or add a blower. Since the heat capacity of the heating element is small, the time required for the temperature increase and decrease and the temperature decrease can be shortened.

本発明のこれらの及び他の特徴及び利点は、以下の添付の図面を参照する好適実施例の詳細な説明からおのずと明らかになろう。   These and other features and advantages of the present invention will become apparent from the following detailed description of the preferred embodiments with reference to the accompanying drawings.

図1は、本発明の炉アセンブリ100の一実施例を示す単純化された断面図である。炉アセンブリ100は、内部スペース106を画定する処理管104を外囲する処理室102を含む。   FIG. 1 is a simplified cross-sectional view illustrating one embodiment of a furnace assembly 100 of the present invention. The furnace assembly 100 includes a processing chamber 102 that surrounds a processing tube 104 that defines an interior space 106.

ある実施例においては、処理室102の外側には、好ましくはアルミニウム、ステレンス鋼などの金属からなるハウジング108を含む。ハウジング108内の、ハウジング108と処理管104との間には、内部断熱材料112が設けられる。断熱材料112は、処理管104からハウジング108の外部に向けての熱損失を最小化することができる。所望に応じて、処理管104の周りの温度を高めるために処理管104と断熱層112との間に加熱要素114を配置し、ハウジング100から外部への熱損失を最小化し、処理管104内の温度は安定化するのに要する時間を短縮することができる。断熱材料112は、セラミックファイバー材料など任意の適切な断熱材料からなるものであってよい。   In one embodiment, outside the processing chamber 102 is a housing 108, preferably made of a metal such as aluminum or stainless steel. An internal heat insulating material 112 is provided in the housing 108 between the housing 108 and the processing tube 104. The heat insulating material 112 can minimize heat loss from the processing tube 104 toward the outside of the housing 108. If desired, a heating element 114 may be placed between the processing tube 104 and the thermal insulation layer 112 to increase the temperature around the processing tube 104 to minimize heat loss from the housing 100 to the outside and within the processing tube 104. The temperature of can reduce the time required for stabilization. The thermal insulation material 112 may comprise any suitable thermal insulation material such as a ceramic fiber material.

処理室102は、底面112に設けられた開口110を有する。開口110は処理されるべきウエハを受容するウェアキャリアまたはボートを受け入れるべく構成されている。開口110は処理の前後において処理管104に対してウエハキャリアを導入したり取り出したりすることを可能にする。   The processing chamber 102 has an opening 110 provided in the bottom surface 112. Opening 110 is configured to receive a wear carrier or boat that receives a wafer to be processed. The opening 110 allows the wafer carrier to be introduced into and removed from the processing tube 104 before and after processing.

処理管104は、処理室102内にマウントされる。ある実施例においては、処理管104はベル形ジャーなどの形状などをとるものであってよい。ベル形ジャー状の処理管は、外面118及び内面120を備えたシェルを有する。内面120は処理管104及び内部キャビティ106の外周部を画定する。処理管104は、ウエハのバッチを受容するウエハキャリアを外囲するために最小限の容積を有するような内部キャビティ106を画定するべくその形状が定められている。一般に、処理管104は、0.001Torrから1000Torr、好ましくは0.1Torrから約760Torrの間の内圧力に耐えるべく構成される。   The processing tube 104 is mounted in the processing chamber 102. In some embodiments, the processing tube 104 may take the form of a bell jar or the like. The bell-shaped processing tube has a shell with an outer surface 118 and an inner surface 120. The inner surface 120 defines the outer periphery of the processing tube 104 and the inner cavity 106. The process tube 104 is shaped to define an internal cavity 106 that has a minimum volume to enclose a wafer carrier that receives a batch of wafers. Generally, the processing tube 104 is configured to withstand internal pressures between 0.001 Torr and 1000 Torr, preferably between 0.1 Torr and about 760 Torr.

処理管104は、熱処理及びCVDなどの分野に於いてよく知られているように、様々な温度に於いて、ガスをはじめとする様々な化学物質に対して半導体ウエハのバッチを暴露することを伴うような半導体ウエハの処理を行うためのものである。このような処理に於いては、処理管104には入口管122から所望の処理ガスが供給される。流失するガスは出口管124から排出される。出口管124の入口は、開口110から離れた、処理管104の上部の近傍に設けられ、ガスが上部に滞留しないようにしている。処理管104は石英その他の同様の材料からなるものであってよい。   The process tube 104 exposes batches of semiconductor wafers to various chemicals, including gases, at various temperatures, as is well known in the fields of heat treatment and CVD. It is for processing a semiconductor wafer as accompanied. In such processing, a desired processing gas is supplied to the processing tube 104 from the inlet tube 122. The gas that flows away is discharged from the outlet pipe 124. The inlet of the outlet pipe 124 is provided in the vicinity of the upper part of the processing pipe 104 away from the opening 110 to prevent gas from staying in the upper part. The processing tube 104 may be made of quartz or other similar material.

図1に示されるように、複数のウエハ126を、処理に適する配置のアレイとして保持し得るようなウエハキャリアまたはボート128が設けられている。ウエハキャリア128は、石英その他の適切な材料からなる。処理管104及びウエハキャリア128は、互いに同軸的に配置されており、ウエハキャリア128は、以下に詳しく説明するように、処理管104内に、取り出し可能に受容されている。   As shown in FIG. 1, a wafer carrier or boat 128 is provided that can hold a plurality of wafers 126 as an array in an arrangement suitable for processing. The wafer carrier 128 is made of quartz or other suitable material. The processing tube 104 and the wafer carrier 128 are arranged coaxially with each other, and the wafer carrier 128 is removably received in the processing tube 104 as described in detail below.

ある実施例においては、ウエハキャリア128は、複数のノッチからなるものであってよい一連のウエハ容器を備えており、これらのノッチのそれぞれは、処理の間にウエハを所定の位置に保持することができる。ウエハキャリア128は、任意の数のウエハ126を保持し得るものでよいが、好ましくは25ないし50枚のウエハを保持するものであってよい。ある実施例においては、ウエハキャリア128はプラットフォームに結合するべく構成されているものであってよく、このプラットフォームは、ウエハレイが、処理管104内に配置されたり或いは処理管104から取り出し得るように上下に移動可能に構成されている。   In some embodiments, the wafer carrier 128 includes a series of wafer containers that may consist of a plurality of notches, each of which holds the wafer in place during processing. Can do. The wafer carrier 128 may hold any number of wafers 126, but preferably may hold 25 to 50 wafers. In some embodiments, the wafer carrier 128 may be configured to couple to a platform that can be moved up and down so that the wafer lay can be placed in or removed from the process tube 104. It is configured to be movable.

図1、2は加熱アセンブリ130の実施例を示しており、ウエハキャリア128を処理管104内に向けて上下方向に駆動するための手段をなすように構成されている。加熱アセンブリ130は、ウエハキャリアを移動させることに加えて、開口110に嵌め合い、処理の間に、処理管104をシールするように構成されている。ある実施例においては、加熱アセンブリ130は、ウエハキャリア128、反射器134及び加熱源136を、すべてベース即ちプラットフォーム138の作動面135上にマウントするための手段132を備えており、プラットフォーム138は昇降装置150により上下に駆動することができる。   FIGS. 1 and 2 show an embodiment of a heating assembly 130 that is configured to provide a means for driving the wafer carrier 128 up and down into the process tube 104. In addition to moving the wafer carrier, the heating assembly 130 is configured to fit into the opening 110 and seal the process tube 104 during processing. In one embodiment, the heating assembly 130 includes means 132 for mounting the wafer carrier 128, reflector 134 and heating source 136, all on the working surface 135 of the base or platform 138, the platform 138 being raised and lowered. The device 150 can be driven up and down.

マウントするための手段132は、ウエハキャリア128をプラットフォーム138上に着脱可能にマウントし得る任意の構造をなすものであってよい。例えば、マウント手段132は、開かれたフランジを、それをプラットフォーム138に結合する軸部等を有するものであってよい。開かれたフランジは、ウエハキャリア128を配置するためのリップを有するものであってよい。当業者であれば、本発明の概念から逸脱することなく様々なマウント手段132を実現することができる。   The means 132 for mounting may be of any structure that allows the wafer carrier 128 to be removably mounted on the platform 138. For example, the mounting means 132 may include an open flange, a shaft portion that couples it to the platform 138, and the like. The opened flange may have a lip for placing the wafer carrier 128. Those skilled in the art can implement various mounting means 132 without departing from the concept of the present invention.

処理管104内におけるガスの循環は、ガスを特定の方向に移動させるような任意の装置を利用することにより効率的に行うことができる。ある実施例においては、処理管104内のガスの循環は、反射器134を用いて促進される。反射器134は、プラットフォーム138の作動面135の概ね中心に取り付けられた漏斗状の装置からなるものであってよい。漏斗状をなす反射器134(または漏斗形状部134)は、加熱源136の近傍およびその周囲に流れを向けるために利用することができる。反射器134は、ガスが、図1において符号を付していない矢印により示される方向に沿って、ウエハキャリア128の周りを流れるようにする。反射器134は、アルミニウム、ステンレス鋼、その他の非反応性材料からなるものであってよい。また、反射器134は、処理管104内にガスの流れの向きを制御するために利用し得る装置の一例であるに過ぎないことを了解されたい。 The gas can be circulated in the processing tube 104 efficiently by using any device that moves the gas in a specific direction. In some embodiments, the circulation of gas within the process tube 104 is facilitated using a reflector 134. The reflector 134 may comprise a funnel- like device mounted generally at the center of the working surface 135 of the platform 138. A funnel- shaped reflector 134 (or funnel-shaped portion 134) can be utilized to direct the flow in the vicinity of and around the heating source 136. The reflector 134 allows the gas to flow around the wafer carrier 128 along the direction indicated by the unsigned arrows in FIG. The reflector 134 may be made of aluminum, stainless steel, or other non-reactive material. It should also be appreciated that the reflector 134 is just one example of a device that can be utilized to control the direction of gas flow within the process tube 104.

熱源136は、ウエハの処理を行うために必要となる所要の加熱能力を有する任意の熱源からなるものであってよい。例えば、熱源136は、処理管104内の温度が、100℃から約1200℃の範囲の温度、より好ましくは約100℃から約1200℃の範囲の温度、より好ましくは約100℃から約800℃の温度に高め得るものであってよい。   The heat source 136 may be an arbitrary heat source having a required heating capacity necessary for processing a wafer. For example, the heat source 136 has a temperature in the processing tube 104 in the range of 100 ° C. to about 1200 ° C., more preferably in the range of about 100 ° C. to about 1200 ° C., more preferably in the range of about 100 ° C. to about 800 ° C. The temperature may be increased to

ある実施例においては、熱源136は、リング状の加熱要素などからなるものであってよい電気抵抗性加熱要素からなる。この実施例においてはリング状の加熱要素が、その中心に反射器134を備えた状態で示されているが、実施例によっては、熱源136の位置は以下に示されるように変更することができる。   In one embodiment, the heat source 136 comprises an electrically resistive heating element that may comprise a ring-shaped heating element or the like. In this embodiment, a ring-shaped heating element is shown with a reflector 134 at its center, but in some embodiments, the position of the heat source 136 can be changed as shown below. .

電気抵抗性加熱要素は、熱処理の用途において用いるのに適する任意の従来形式の加熱要素からなるものであってよい。例えば、リング状加熱要素は、抵抗性の加熱要素コアを、フィラメントワイアにより被覆した構成を要するものであってよい。コアは、セラミック材料からなるものであってよいが、任意の耐熱性の非導電性材料からなるものであってよい。フィラメントワイヤは、コアの周りに従来形式をもって巻かれ、最適量の輻射熱が加熱要素から放射されるようになっている。フィラメントワイアは、適宜な電気抵抗性加熱可能なワイアからなるものであってよく、SiC,SiCにより被覆された黒鉛、黒鉛及びAlNiなど熱応答が良好であって高温安定性を備えた高密度材料からなるものであってよい。加熱要素は、米国Connecticut州Stamfordに所在するOmega Engineering Inc.により市販されている。   The electrically resistive heating element may consist of any conventional type of heating element suitable for use in heat treatment applications. For example, the ring-shaped heating element may require a configuration in which a resistive heating element core is covered with a filament wire. The core may be made of a ceramic material, but may be made of any heat-resistant non-conductive material. The filament wire is wound in a conventional manner around the core so that an optimum amount of radiant heat is radiated from the heating element. The filament wire may be composed of a wire that can be heated appropriately, and is a high-density material with good thermal response and high-temperature stability, such as graphite, graphite, and AlNi coated with SiC, SiC. It may consist of. The heating element is marketed by Omega Engineering Inc., located in Stamford, Connecticut, USA.

別の実施例においては、加熱要素は、電気絶縁のために圧縮された酸化マグネシウム粉を用いた筒状ヒータや金属被覆カートリッジヒータからなるものであってよい。   In another embodiment, the heating element may comprise a cylindrical heater or a metal coated cartridge heater using magnesium oxide powder compressed for electrical insulation.

これらの実施例においては、加熱要素は加熱要素端子及び関連する配線(図示せず)を介して、電源システムに電気的に接続される。   In these embodiments, the heating element is electrically connected to the power supply system via a heating element terminal and associated wiring (not shown).

加熱アセンブリ130がプラットフォーム138上に配置される。ある実施例においては、プラットフォーム138は加熱アセンブリ130の部品をマウントし得るような作動面135を画定する第1段の面を備えた概ね非反応性の材料からなるものであってよい。第2段の面は処理管104を閉じるためのシールを形成するように処理室102とインターフェース可能なリップ部142を画定する。プラットフォーム138は、機械加工、成形あるいはその他の製造工程により構成されたものであってよい。   A heating assembly 130 is disposed on the platform 138. In some embodiments, platform 138 may be comprised of a generally non-reactive material with a first stage surface that defines a working surface 135 on which a component of heating assembly 130 may be mounted. The second stage surface defines a lip 142 that can interface with the process chamber 102 to form a seal for closing the process tube 104. The platform 138 may be configured by machining, molding, or other manufacturing process.

昇降装置150は矢印152に示される方向にプラットフォーム138を昇降するために利用することができる。昇降装置150は、加熱アセンブリ130を、処理管104の内部キャビティ106に対して出し入れするようにプラットフォーム138を介して昇降し得る任意の従来形式の装置からなるものであってよい。例えば、昇降装置150は、当技術分野において知られた空気圧、油圧リフト、機械式ウォーム装置その他のリニアアクチュエータ装置からなるものであってよい。   The lifting device 150 can be used to raise and lower the platform 138 in the direction indicated by arrow 152. The lifting device 150 may comprise any conventional type of device that can lift and lower through the platform 138 to move the heating assembly 130 in and out of the internal cavity 106 of the process tube 104. For example, the lifting device 150 may comprise a pneumatic, hydraulic lift, mechanical worm device, or other linear actuator device known in the art.

処理管104のシールは、プラットフォーム138のリップ部142が、処理室102と加熱アセンブリ130との間のインターフェースとして形成されたフランジ140その他の装置と接触することにより達成される。ある実施例においては、入口管122及び出口管124が、処理管104に到達するようにフランジ140を貫通するように設けられている。シール144が、処理室102とフランジ140との接触部間及びフランジ140及びリップ部142の接触部間に配置されている。シール144は、処理の間に処理管104をハーメチックシール可能とする。   Sealing of the process tube 104 is accomplished by the lip 142 of the platform 138 contacting a flange 140 or other device formed as an interface between the process chamber 102 and the heating assembly 130. In one embodiment, an inlet tube 122 and an outlet tube 124 are provided through the flange 140 to reach the processing tube 104. The seal 144 is disposed between the contact portions between the processing chamber 102 and the flange 140 and between the contact portions of the flange 140 and the lip portion 142. Seal 144 allows hermetic sealing of process tube 104 during processing.

図1に示されるように、所望に応じて温度センサ160a、160bが図示されない温度制御装置に接続され、処理管104内の温度の変化を監視するようにしている。ある実施例においては、温度センサ160a、160bが処理管104内の適切な位置に配置され、熱源136により得られた温度を表示するようにしている。例えば、センサ160aを、熱源136から離れた処理管の上端部に配置し、同様に、センサ160bを熱源の温度を監視するために熱源136に近接して配置することができる。作動に際して、熱源136を起動し、加熱を開始するに伴い、処理管104の上端と底端との間に、短時間ではあるが、ある温度差が発生する。この温度差は約10℃から約100℃の範囲である。温度センサ160a、160bは、熱源136に供給されたパワーを制御することにより、遅延により、上端が所望の温度に達する間に、処理管104の底端が過熱することがないようにすることができる。温度センサ160a、160bは、例えば米国Connecticut州Stamfordに所在するOmega Engineering Inc.により市販されている従来形式のR型またはK型の熱電対からなるものであってよい。   As shown in FIG. 1, temperature sensors 160a and 160b are connected to a temperature control device (not shown) as desired to monitor changes in temperature in the processing tube 104. In one embodiment, temperature sensors 160a, 160b are positioned at appropriate locations within the processing tube 104 to display the temperature obtained by the heat source 136. For example, the sensor 160a can be placed at the upper end of the processing tube away from the heat source 136, and similarly, the sensor 160b can be placed close to the heat source 136 to monitor the temperature of the heat source. In operation, as the heat source 136 is activated and heating is started, a certain temperature difference occurs between the upper end and the bottom end of the processing tube 104 for a short time. This temperature difference ranges from about 10 ° C to about 100 ° C. The temperature sensors 160a and 160b control the power supplied to the heat source 136 to prevent the bottom end of the processing tube 104 from overheating while the upper end reaches a desired temperature due to a delay. it can. The temperature sensors 160a, 160b may comprise, for example, conventional R-type or K-type thermocouples marketed by Omega Engineering Inc. located in Stamford, Connecticut, USA.

図3、4、5は本発明の更に別の実施例に於ける加熱アセンブリの単純化された図である。図3に於いて、加熱アセンブリ300は、いずれもプラットフォーム138の作動面135上に設けられた(図示されない)ウエハキャリアマウント手段、反射器134及び熱源136を備えている。加熱アセンブリ300の全体が、昇降装置150により処理管104内に向けて上昇することができる。   3, 4 and 5 are simplified views of a heating assembly in yet another embodiment of the present invention. In FIG. 3, the heating assembly 300 includes wafer carrier mounting means (not shown), a reflector 134 and a heat source 136, all provided on the working surface 135 of the platform 138. The entire heating assembly 300 can be raised into the processing tube 104 by the lifting device 150.

本実施例に於いては、プラットフォーム138にはガス入口管302が設けられ、ガスが、その作動面135上の出口から、処理管104内に向けて流入することができる。同様に、プラットフォーム138に出口管304を設けることができる。出口管304の入口は、作動面135の上方にある間隔をもって離隔した位置に設けられ、プラットフォーム138が、処理管104をシールする位置に達すると、出口管304の入口が処理管104の上端に位置するようになっている。   In this embodiment, the platform 138 is provided with a gas inlet tube 302 from which gas can flow from an outlet on its working surface 135 into the process tube 104. Similarly, the platform 138 can be provided with an outlet tube 304. The inlet of the outlet pipe 304 is provided at a position spaced apart above the working surface 135, and when the platform 138 reaches a position where the processing pipe 104 is sealed, the inlet of the outlet pipe 304 is at the upper end of the processing pipe 104. It is supposed to be located.

本実施例に於いては、温度センサ160a、160bが作動面135上に取り付けられ、温度センサ160a、160bがプラットフォーム138と共に処理管104内に導入され、上記したような所定の位置を占めるようになっている。   In this embodiment, the temperature sensors 160a and 160b are mounted on the working surface 135, and the temperature sensors 160a and 160b are introduced into the processing tube 104 together with the platform 138 so as to occupy the predetermined positions as described above. It has become.

図4は本実施例に基づく加熱アセンブリ400の更に別の実施例を示し、この加熱アセンブリ400も、(図示されない)ウエハキャリアマウント手段、反射器134及び熱源136を備えている。理解を容易にするために、プラットフォーム138の第1段の面402は透明に示されている。本実施例に於いては、第1段の面402は、その外周に沿って配置された複数の孔404を備えた凹室を画定している。熱源136は、この凹室内に配置されている。ガス入口404が、プラットフォーム138を貫通し、第1段の面402に於いて開口している。本実施例に於いては、熱源136は、第1段の面402により画定される凹室に流入するガスを加熱する。ガスは、ガス入口404から流れ込み、処理管104内に至る。   FIG. 4 shows yet another embodiment of a heating assembly 400 according to this embodiment, which also includes wafer carrier mounting means (not shown), reflector 134 and heat source 136. For ease of understanding, the first stage surface 402 of the platform 138 is shown transparent. In the present embodiment, the first step surface 402 defines a recessed chamber with a plurality of holes 404 disposed along its outer periphery. The heat source 136 is disposed in the concave chamber. A gas inlet 404 passes through the platform 138 and opens at the first stage surface 402. In this embodiment, the heat source 136 heats the gas flowing into the recessed chamber defined by the first stage surface 402. The gas flows from the gas inlet 404 and reaches the processing tube 104.

図5は本実施例に基づく加熱アセンブリ500の更に別の実施例を示し、この加熱アセンブリ500は輻射熱を利用する。加熱アセンブリ500も、(図示されない)ウエハキャリアマウント手段、反射器134及び熱源506を備えている。理解を容易にするために、プラットフォーム138は透明に示されている。本実施例に於いては、第1段の面502及び第2段の面504が、いずれも凹室を画定している。第1段の面502の作動面135は、その直上方位置に設けられた熱拡散部材510を備えた石英窓508を有する。熱拡散部材510は、作動面135と反射器134との間の、石英窓508に近接した位置に設けられる。熱拡散部材510は、シリコンカーバイド、シリコンカーバイドにより被覆された黒鉛、シリコン等の十分に高い熱伝導率を有する適宜な熱拡散材料からなるものであって良い。   FIG. 5 shows yet another embodiment of a heating assembly 500 according to this embodiment, which uses radiant heat. The heating assembly 500 also includes wafer carrier mounting means (not shown), a reflector 134 and a heat source 506. For ease of understanding, the platform 138 is shown as transparent. In the present embodiment, the first step surface 502 and the second step surface 504 both define a concave chamber. The working surface 135 of the first stage surface 502 has a quartz window 508 provided with a heat diffusing member 510 provided immediately above it. The heat diffusing member 510 is provided at a position close to the quartz window 508 between the working surface 135 and the reflector 134. The thermal diffusion member 510 may be made of an appropriate thermal diffusion material having a sufficiently high thermal conductivity, such as silicon carbide, graphite coated with silicon carbide, or silicon.

本実施例に於いては、熱源506は、第2段の面504の凹室内に配置されている。熱源506は、光エネルギを供給する1つ又は複数の高輝度ランプ506からなるものであってよい。高輝度ランプ506の例としては、限定的ではないが、タングステンハロゲンランプやフィラメントを用いない高輝度ランプが含まれる。ランプ506が出力する光エネルギは、第2段の面504から、第1の段の面502を経て石英製の窓508から出射される。熱拡散部材510は、窓508から放射される光エネルギを吸収し、光エネルギーを処理管104内において熱エネルギに変換する。処理管104内を循環するガスは、本発明に基づき、反射器134により熱拡散部材510に向けられ、循環ガスの温度が高められる。   In this embodiment, the heat source 506 is disposed in the recessed chamber of the second stage surface 504. The heat source 506 may consist of one or more high intensity lamps 506 that supply light energy. Examples of the high-intensity lamp 506 include, but are not limited to, a tungsten halogen lamp and a high-intensity lamp that does not use a filament. The light energy output by the lamp 506 is emitted from the second stage surface 504 through the first stage surface 502 and from the quartz window 508. The heat diffusion member 510 absorbs light energy emitted from the window 508 and converts the light energy into heat energy in the processing tube 104. The gas circulating in the processing tube 104 is directed to the heat diffusing member 510 by the reflector 134 according to the present invention, and the temperature of the circulating gas is increased.

図6は、本発明に基づく方法600の概略を示すフロー図である。作動中において、ウエハキャリアマウント手段132、反射器134及び熱源136と共に、プラットフォーム138は、ウエハキャリア128が手動又は自動にプラットフォーム138上によりロード/アンロードされるような第1の位置即ちローディング位置と、ウエハキャリア128が処理管104内に位置する第2の位置即ち処理位置との間を移動することが出来る。   FIG. 6 is a flow diagram illustrating an overview of a method 600 according to the present invention. In operation, along with wafer carrier mounting means 132, reflector 134 and heat source 136, platform 138 is in a first or loading position such that wafer carrier 128 is loaded or unloaded onto platform 138 manually or automatically. The wafer carrier 128 can be moved between a second position in the processing tube 104, that is, a processing position.

ステップ602に於いて、ウエハ126は、ウエハキャリア128を介してウエハキャリアマウント手段132上にロードされる。昇降装置150がプラットフォーム138をフランジ140にシール可能に接触する位置に上昇させる。この様にして、ウエハ126は処理されるべき位置に到達する。   In step 602, the wafer 126 is loaded onto the wafer carrier mounting means 132 via the wafer carrier 128. The lifting device 150 raises the platform 138 to a position where it contacts the flange 140 in a sealable manner. In this way, the wafer 126 reaches the position to be processed.

ステップ604に於いて、処理管104がポンプにより排気され、殆ど真空状態に至るようにし、N、Ar等のパージガスが、入口管122から処理管104内に導入される。出口管124は、処理管104内からガスを排気する。入口管122及び出口管124を組み合わせた効果により、ガスが循環し、処理管104からパージされる。ポンプ及びパージ技術のおかげで、処理管104から空気がパージされ、ある実施例においては、例えば、処理管104内の残留Oのレベルが、約1、000ppmに低下され、これを更に約1ppm以下に低下されることもできる。 In step 604, the processing tube 104 is evacuated by a pump so as to be almost in a vacuum state, and a purge gas such as N 2 or Ar is introduced into the processing tube 104 from the inlet tube 122. The outlet pipe 124 exhausts gas from the processing pipe 104. Due to the combined effect of the inlet tube 122 and the outlet tube 124, gas is circulated and purged from the process tube 104. Thanks to the pump and purge technique, air is purged from the process tube 104, and in certain embodiments, for example, the level of residual O 2 in the process tube 104 is reduced to about 1,000 ppm, which is further reduced to about 1 ppm. It can also be reduced to:

ステップ606に於いて、処理管104がパージされると、プロセスガスが処理管104内に導入される。ガスが流れている間、加熱源136が起動される。処理管104内を循環するプロセスガスは、加熱源136から熱を吸収する。循環するプロセスガスは、更にウエハ126の周りを循環し、熱エネルギをウエハ126に伝達する。反射器134は、漏斗状をなし、循環するプロセスガスの少なくとも一部が加熱源136に向けられるようにし、プロセスガスへの熱伝達を促進する。 In step 606, when the process tube 104 is purged, process gas is introduced into the process tube 104. While the gas is flowing, the heating source 136 is activated. The process gas circulating in the processing tube 104 absorbs heat from the heating source 136. The circulating process gas further circulates around the wafer 126 and transfers thermal energy to the wafer 126. The reflector 134 is funnel- shaped and allows at least a portion of the circulating process gas to be directed to the heating source 136 to facilitate heat transfer to the process gas.

加熱源136は、マイクロプロセッサ、プロセス制御コンピュータ等を用いて制御され、処理管104内の半導体ウエハ126の処理を制御し、診断的な目的で、システムの状態をモニターするために利用される。ある実施例においては、プロセス制御コンピュータは、処理管104の上端の温度センサ160a及び処理管104の下端の温度センサ160bからの温度データに応答して、コントローラに制御信号を送信する。コントローラは、熱源136に電力を供給するSCR位相制御電源に制御信号を供給する。コントローラは、両温度センサ160a、160bの温度を比較し、処理管104内にて平衡状態が達成されるようにし、熱源136が処理管104の下端を過熱することのないように電源を制御する。   The heat source 136 is controlled by using a microprocessor, a process control computer or the like, and is used to control the processing of the semiconductor wafer 126 in the processing tube 104 and monitor the state of the system for diagnostic purposes. In one embodiment, the process control computer sends control signals to the controller in response to temperature data from the temperature sensor 160a at the upper end of the process tube 104 and the temperature sensor 160b at the lower end of the process tube 104. The controller provides a control signal to an SCR phase control power supply that supplies power to the heat source 136. The controller compares the temperatures of both temperature sensors 160a and 160b so that an equilibrium state is achieved in the processing tube 104, and controls the power supply so that the heat source 136 does not overheat the lower end of the processing tube 104. .

処理コンピュータは、更に、図示されないポンプアセンブリに圧力のセットポイントを送信し、ガスネットワークに於けるマスフローコントローラに、ガス及びプラズマ入口流れ信号を送信する。好適実施例に於いては、コントローラは、Omega Corporationにより市販されているリアルタイムPIDコントローラからなる。   The processing computer further transmits a pressure setpoint to a pump assembly (not shown) and a gas and plasma inlet flow signal to a mass flow controller in the gas network. In the preferred embodiment, the controller comprises a real-time PID controller marketed by Omega Corporation.

ステップ608に於いて、ウエハ126が処理温度に達すると、ウエハ126を更に処理するために追加のガスが処理管104に供給される。このようなガスとしては、成膜及び熱処理のためのO、NH、TaETO、SiCl等がある。 In step 608, when the wafer 126 reaches the processing temperature, additional gas is supplied to the processing tube 104 to further process the wafer 126. Such gases include O 2 , NH 3 , TaETO, SiCl 4 and the like for film formation and heat treatment.

ステップ610に於いて、加熱源136が下向きに駆動され、処理管104に於ける循環ガスへの熱エネルギの伝達を停止させる。加熱されないガスは継続して処理管104に向けて流されるに伴い、ウエハ126は、加熱されないガスに向けて熱の伝達を開始し、それによってウエハ126を冷却する。   In step 610, the heat source 136 is driven downward to stop the transfer of thermal energy to the circulating gas in the process tube 104. As the unheated gas continues to flow toward the process tube 104, the wafer 126 begins to transfer heat toward the unheated gas, thereby cooling the wafer 126.

ステップ612に於いて、ウエハの所望の温度が達成されると、プラットフォーム138が、処理管104から離反するように下降し、ウエハキャリア128を取り出すことができる。   In step 612, once the desired temperature of the wafer has been achieved, the platform 138 is lowered away from the process tube 104 and the wafer carrier 128 can be removed.

図7は、本発明の別の実施例を単純化して示すもので、炉アセンブリ702、ウエハカセット704、ウエハボート712、ウエハトランスポート機構706、ガス供給ボックス708、パワーコントローラ710及び内部加熱アセンブリ130を含むウエハ処理システム700を備えている。   FIG. 7 is a simplified illustration of another embodiment of the present invention, in which a furnace assembly 702, a wafer cassette 704, a wafer boat 712, a wafer transport mechanism 706, a gas supply box 708, a power controller 710 and an internal heating assembly 130 are shown. A wafer processing system 700 is provided.

図8から理解されるように、炉アセンブリ700には、内部スペース106を画定する処理管104を外囲する処理室102を備えている。処理室102内には、ハウジング100と処理管104との間に、内部断熱材利用112が設けられているものであってよい。所望に応じて、1つ又は複数の加熱要素114を処理管104と断熱層112との間に設けて、処理管104の周囲の温度を高め、ハウジングを介して外部へ熱が失われるのを最小化し、処理管104内における温度が安定化するのに要する時間を短縮することが出来る。   As can be seen from FIG. 8, the furnace assembly 700 includes a process chamber 102 that surrounds a process tube 104 that defines an interior space 106. In the processing chamber 102, an internal heat insulating material usage 112 may be provided between the housing 100 and the processing tube 104. If desired, one or more heating elements 114 may be provided between the process tube 104 and the thermal insulation layer 112 to increase the temperature around the process tube 104 and to allow heat to be lost outside through the housing. Minimizing the time required for the temperature in the processing tube 104 to stabilize can be shortened.

図7に示されるように、ウエハボート712が設けられ、これは処理のためのアレイをなす複数のウエハ126を保持することが出来る。ウエハボート712には、ウエハカセット704からトランスポート機構706を介してウエハ126がロードされる。処理管104及びウエハボート712は、互いに同軸をなすように配置され、ウエハボート712は処理管104内に、取り出し可能に受容されている。ある実施例においては、ウエハボート712は加熱アセンブリ130と共に固定されたプラットフォーム802に結合し得るように構成されているものであってよい。   As shown in FIG. 7, a wafer boat 712 is provided, which can hold a plurality of wafers 126 in an array for processing. The wafer 126 is loaded on the wafer boat 712 from the wafer cassette 704 via the transport mechanism 706. The processing tube 104 and the wafer boat 712 are arranged so as to be coaxial with each other, and the wafer boat 712 is removably received in the processing tube 104. In some embodiments, wafer boat 712 may be configured to be coupled to a fixed platform 802 with heating assembly 130.

図7、8に示されるように、炉アセンブリ702を矢印722により示される方向に上下に昇降させるために利用することが出来る。昇降装置720は、ウエハ226を処理するために、炉アセンブリ702をウエハボート712及び加熱アセンブリ130上に移動させるために必要な昇降動作を行い得る任意の形式の装置からなるものであってよい。例えば、昇降装置720は空気圧式又は油圧式のリフト、機械式ウォーム駆動機構その他の当該技術分野においてよく知られたリニアアクチュエータ装置からなるものであってよい。   As shown in FIGS. 7 and 8, the furnace assembly 702 can be used to raise and lower in the direction indicated by arrow 722. The lift device 720 may comprise any type of device capable of performing the lift operations necessary to move the furnace assembly 702 onto the wafer boat 712 and the heating assembly 130 to process the wafers 226. For example, the lifting device 720 may comprise a pneumatic or hydraulic lift, a mechanical worm drive mechanism, or other linear actuator device well known in the art.

処理管104のシールは、固定プラットフォーム802のリップ部142が処理室102と固定プラットフォーム802との間のインターフェイスに形成されたフランジ140等の構造と接触することにより達成される。ある実施例においては、入口管120及び出口管122が、フランジ140を貫通して処理管104内に至るように設けられている。ある実施例においては、シール144が処理室102及びフランジ140の接触部分間及びフランジ140及びリップ部142の接触部分間に設けられている。シール144は、処理の間に処理管104がハーメチックにシールされるようにする。   Sealing of the process tube 104 is achieved by the lip 142 of the fixed platform 802 contacting a structure such as a flange 140 formed at the interface between the process chamber 102 and the fixed platform 802. In some embodiments, an inlet tube 120 and an outlet tube 122 are provided through the flange 140 and into the processing tube 104. In one embodiment, a seal 144 is provided between the contact portions of the processing chamber 102 and the flange 140 and between the contact portions of the flange 140 and the lip portion 142. Seal 144 ensures that process tube 104 is hermetically sealed during processing.

図9A、9B及び9Cは本発明に基づく処理システム700の作動の経過を示す。別途記載されている場合を除いて、炉アセンブリ100について上記した性能、機能及び組み合わせは、炉アセンブリ702にも適用可能であることを了解されたい。   9A, 9B and 9C illustrate the course of operation of the processing system 700 according to the present invention. It should be appreciated that the performance, functions, and combinations described above for furnace assembly 100 are applicable to furnace assembly 702 except as otherwise noted.

処理システム700の要素は、スペースを効率的に利用するように機能的に配置される。例えば、ある実施例において、処理システム700は約900ミリの幅W(図7)、1710ミリの長さL及び1925ミリの高さHを有するように構成されている。   The elements of the processing system 700 are functionally arranged to efficiently use space. For example, in one embodiment, the processing system 700 is configured to have a width W (FIG. 7) of about 900 mm, a length L of 1710 mm, and a height H of 1925 mm.

図9Aは、処理システム700がロード/アンロード過程を行う様子を示している。ウエハボート712が加熱アセンブリ130の上方に配置され、これら両者は固定プラットフォーム802上に設けられている。ウエハボート712及び加熱アセンブリ130は、炉アセンブリ702内において互いに同軸的に組み合わされるようにその寸法が定められ且つ組み合わされている。   FIG. 9A shows how the processing system 700 performs a load / unload process. A wafer boat 712 is positioned above the heating assembly 130, both of which are provided on a fixed platform 802. Wafer boat 712 and heating assembly 130 are sized and combined to be coaxially combined with each other within furnace assembly 702.

図9Aには、炉アセンブリ702が、プラットフォーム802の上方の第1の位置にある様子が示されている。処理管104が、積み重ねられたウエハボート712及び加熱アセンブリ130に対して同軸をなしている。第1の位置に於いては、炉アセンブリ702の処理管104はプラットフォーム802と接触していない。炉アセンブリ702は、プラットフォーム802上の距離dに渡って昇降することが出来る。距離dは、ウエハボート712及び加熱アセンブリ130の全体の高さ及びウエハ126をアンロードするためにウエハボート712と炉アセンブリ702との間に必要な空隙に基づいて定められる。ある実施例においては、距離dが約550ミリ以下とされる。   FIG. 9A shows the furnace assembly 702 in a first position above the platform 802. The processing tube 104 is coaxial with the stacked wafer boat 712 and the heating assembly 130. In the first position, the process tube 104 of the furnace assembly 702 is not in contact with the platform 802. The furnace assembly 702 can be raised and lowered over a distance d on the platform 802. The distance d is determined based on the overall height of the wafer boat 712 and the heating assembly 130 and the air gap required between the wafer boat 712 and the furnace assembly 702 to unload the wafer 126. In one embodiment, the distance d is about 550 mm or less.

ウエハトランスポート機構706が、ウエハをウエハカセット704からウエハボート712に移動させると、炉アセンブリ702は、処理管104がウエハボート712及び加熱アセンブリ130を受容し且つ密閉する第2の位置に向けて下降する。   As the wafer transport mechanism 706 moves the wafers from the wafer cassette 704 to the wafer boat 712, the furnace assembly 702 moves toward a second position where the process tube 104 receives and seals the wafer boat 712 and the heating assembly 130. Descend.

図9Bに示されるように、炉アセンブリ702が処理管104と共にプラットフォーム802上に載置される位置に下降し、シールが形成されると、処理管104を、ウエハの処理に先立ってパージする。ウエハの処理は、炉アセンブリ100及び加熱アセンブリ130について前記した要領をもって行われる。本実施例に於いて用いられる加熱アセンブリ130は、昇降機構150を除いて、図2、10−12について前記したような様々な形状を取ることが出来る。   As shown in FIG. 9B, when the furnace assembly 702 is lowered to a position where it is placed on the platform 802 along with the process tube 104 and a seal is formed, the process tube 104 is purged prior to wafer processing. Wafer processing is performed as described above for the furnace assembly 100 and the heating assembly 130. The heating assembly 130 used in the present embodiment can take various shapes as described above with reference to FIGS.

処理過程が終了し、安全なスリップの起こらない温度が達成すると、加熱アセンブリ130から熱エネルギーが発生することなく、ガスを処理管104内に流すことにより温度の降下速度を大幅に加速することが出来る。更に、炉アセンブリ702をウエアボート712及び加熱アセンブリ130から上昇させることにより、更に一層ウエハの冷却が加速される。   Once the process is complete and a safe slip-free temperature is achieved, the temperature drop rate can be significantly accelerated by flowing gas through the process tube 104 without generating thermal energy from the heating assembly 130. I can do it. Further, raising the furnace assembly 702 from the wear boat 712 and the heating assembly 130 further accelerates wafer cooling.

図9Cに示されるように、炉アセンブリ702は、処理管104や加熱要素114等のようなアセンブリの主要な部品に対してアクセスが容易であるような構成をなしている。各部分がユニット式の構造を有することから、保守も容易である。   As shown in FIG. 9C, the furnace assembly 702 is configured to allow easy access to the main parts of the assembly, such as the process tube 104, the heating element 114, and the like. Since each part has a unit type structure, maintenance is easy.

本発明を特定の実施例について記述してきたが、当業者であれば、本発明の概念及び技術範囲から逸脱することなく、形態及び詳細に関して様々な変更を加えることができる。従って、本発明は添付の特許請求の範囲のみによって限定される。   Although the invention has been described with reference to specific embodiments, those skilled in the art can make various changes in form and detail without departing from the concept and scope of the invention. Accordingly, the invention is limited only by the following claims.

本発明の一実施例を示す単純化された断面図である。FIG. 3 is a simplified cross-sectional view illustrating one embodiment of the present invention. 本発明の実施例に於ける加熱アセンブリの単純化された斜視図である。FIG. 3 is a simplified perspective view of a heating assembly in an embodiment of the present invention. 本発明の実施例に於ける加熱アセンブリの単純化された斜視図である。FIG. 3 is a simplified perspective view of a heating assembly in an embodiment of the present invention. 本発明の実施例に於ける加熱アセンブリの単純化された斜視図である。FIG. 3 is a simplified perspective view of a heating assembly in an embodiment of the present invention. 本発明の実施例に於ける加熱アセンブリの単純化された斜視図である。FIG. 3 is a simplified perspective view of a heating assembly in an embodiment of the present invention. 本発明のシステムに基づく方法を示すフロー図である。FIG. 2 is a flow diagram illustrating a method based on the system of the present invention. 本発明の処理システムの別の実施例を示す単純化された斜視図である。FIG. 6 is a simplified perspective view illustrating another embodiment of the processing system of the present invention. 本発明の炉アセンブリの別の実施例を示す単純化された断面図である。FIG. 6 is a simplified cross-sectional view illustrating another embodiment of the furnace assembly of the present invention. 本発明に基づく作動経過を示す単純化された断面図である。FIG. 3 is a simplified cross-sectional view showing an operation process according to the present invention. 本発明に基づく作動経過を示す単純化された断面図である。FIG. 3 is a simplified cross-sectional view showing an operation process according to the present invention. 本発明に基づく作動経過を示す単純化された断面図である。FIG. 3 is a simplified cross-sectional view showing an operation process according to the present invention. 本発明の実施例に於ける加熱アセンブリの単純化された斜視図である。FIG. 3 is a simplified perspective view of a heating assembly in an embodiment of the present invention. 本発明の実施例に於ける加熱アセンブリの単純化された斜視図である。FIG. 3 is a simplified perspective view of a heating assembly in an embodiment of the present invention. 本発明の実施例に於ける加熱アセンブリの単純化された斜視図である。FIG. 3 is a simplified perspective view of a heating assembly in an embodiment of the present invention.

Claims (18)

ウエハ処理システムであって、
1枚又は複数枚の半導体ウエハを支持するべく構成されたウエハキャリアを受容するべく構成された処理管を含む処理室と、
前記ウエハキャリアに近接してプラットフォーム上にマウントされた加熱アセンブリとを有し、
前記加熱アセンブリ及びウエハキャリアが前記処理管外であるような第1の位置と、前記加熱アセンブリ及びウエハキャリアが前記処理管内であるような第2の位置との間を、前記処理室が移動可能であり、
前記加熱アセンブリが熱源及び漏斗形状部を含み、前記漏斗形状部は、前記ウエハキャリアの近傍に配置される第1開口と、ガスの流れを前記熱源に向けるべく前記熱源の近傍に配置される、前記第1開口より小さい径の第2開口とを有することを特徴とするシステム。
A wafer processing system,
A processing chamber including a processing tube configured to receive a wafer carrier configured to support one or more semiconductor wafers;
A heating assembly mounted on a platform proximate to the wafer carrier;
The processing chamber is movable between a first position where the heating assembly and wafer carrier are outside the processing tube and a second position where the heating assembly and wafer carrier are inside the processing tube. And
The heating assembly includes a heat source and a funnel shape, the funnel shape being disposed in the vicinity of the heat source to direct a gas flow to the heat source and a first opening disposed in the vicinity of the wafer carrier. And a second opening having a smaller diameter than the first opening.
前記熱源が、電気抵抗加熱要素を含むことを特徴とする請求項1に記載のシステム。The system of claim 1, wherein the heat source includes an electrical resistance heating element. 前記熱源が、ハロゲンランプ、金属ハライドランプ及びディスチャージ(放電)ランプを含むグループから選択されたランプからなることを特徴とする請求項1に記載のシステム。The system of claim 1, wherein the heat source comprises a lamp selected from the group comprising a halogen lamp, a metal halide lamp and a discharge lamp. 前記加熱アセンブリが、更にウエハキャリアマウント手段を含むことを特徴とする請求項1に記載のシステム。The system of claim 1, wherein the heating assembly further comprises wafer carrier mounting means. 前記処理室を、前記第1の位置と前記第2の位置との間で上下させるための昇降機構を更に含むことを特徴とする請求項1に記載のシステム。The system according to claim 1, further comprising an elevating mechanism for moving the processing chamber up and down between the first position and the second position. 複数の半導体ウエハを処理するための炉アセンブリであって、
複数の半導体ウエハを支持するべく構成されたウエハキャリアを受容するべく構成された処理管を含む可動処理室と、
前記処理管内にガスを流入させるための入口管と、
前記処理管内に配置された熱源と、
前記ウエハキャリアの近傍に配置される第1開口と、ガスの流れを前記熱源に向けるべく前記熱源の近傍に配置される、前記第1開口より小さい径の第2開口とを有する漏斗形状部とを有し、
前記熱源からの熱エネルギ出力が、前記ガスに伝達され、前記処理管内全体の処理温度を提供することを特徴とする炉アセンブリ。
A furnace assembly for processing a plurality of semiconductor wafers,
A movable processing chamber including a processing tube configured to receive a wafer carrier configured to support a plurality of semiconductor wafers;
An inlet pipe for flowing gas into the processing pipe;
A heat source disposed in the processing tube;
A funnel-shaped portion having a first opening disposed in the vicinity of the wafer carrier and a second opening having a smaller diameter than the first opening disposed in the vicinity of the heat source to direct a gas flow to the heat source; Have
A furnace assembly characterized in that thermal energy output from the heat source is transferred to the gas to provide an overall process temperature within the process tube.
前記可動処理室が、前記処理管外の第1の位置と、前記処理管内の第2の位置との間を移動可能であることを特徴とする請求項6に記載の炉アセンブリ。The furnace assembly according to claim 6, wherein the movable processing chamber is movable between a first position outside the processing tube and a second position inside the processing tube. 前記熱源が、前記ガスの温度を100℃から約1200℃の範囲に高めることを特徴とする請求項6に記載の炉アセンブリ。The furnace assembly of claim 6, wherein the heat source raises the temperature of the gas to a range of 100 degrees Celsius to about 1200 degrees Celsius. 前記熱源が、電気抵抗加熱要素を含むことを特徴とする請求項6に記載の炉アセンブリ。The furnace assembly of claim 6, wherein the heat source includes an electrical resistance heating element. 前記熱源が、ハロゲンランプ、金属ハライドランプ及びディスチャージ(放電)ランプを含むグループから選択されたランプからなることを特徴とする請求項6に記載の炉アセンブリ。The furnace assembly of claim 6, wherein the heat source comprises a lamp selected from the group comprising a halogen lamp, a metal halide lamp and a discharge lamp. 前記熱源がマウントされた固定プラットフォームを更に有し、前記固定プラットフォームが前記処理室に接触しない前記第1の位置と、前記固定プラットフォームが前記処理室に接触する前記第2の位置との間を、前記可動処理室が移動可能であることを特徴とする請求項6に記載の炉アセンブリ。A fixed platform on which the heat source is mounted, and between the first position where the fixed platform does not contact the process chamber and the second position where the fixed platform contacts the process chamber; The furnace assembly of claim 6, wherein the movable process chamber is movable. 固定プラットフォームを更に有し、前記可動処理室が前記固定プラットフォームに接触する位置に移動したときに、前記熱源が前記処理管内の或る位置を占めるようにしたことを特徴とする請求項6に記載の炉アセンブリ。7. The apparatus according to claim 6, further comprising a fixed platform, wherein the heat source occupies a position in the processing tube when the movable processing chamber is moved to a position in contact with the fixed platform. Furnace assembly. 石英窓及び該石英窓の上方近接位置に配置された熱拡散部材を含む作動面を備えた固定プラットフォームを更に有し、前記固定プラットフォームが前記可動処理室に接触しない前記第1の位置と、前記固定プラットフォームが前記可動処理室にシール可能に接触する前記第2の位置との間を、前記可動処理室が移動可能であることを特徴とする請求項6に記載の炉アセンブリ。A fixed platform having a working surface including a quartz window and a heat diffusion member disposed at a position close to the quartz window, the first position where the stationary platform does not contact the movable processing chamber; The furnace assembly of claim 6, wherein the movable processing chamber is movable between the second position where a fixed platform contacts the movable processing chamber in a sealable manner. 前記ガスを前記処理管の内部に循環させるためのガス循環手段を更に有することを特徴とする請求項6に記載の炉アセンブリ。The furnace assembly according to claim 6, further comprising gas circulation means for circulating the gas inside the processing tube. 請求項1に記載のウエハ処理システムを用いてウエハを処理するための方法であって、
処理管を、熱源を受容する第1の位置に搬送する過程と、
前記熱源から熱エネルギを供給する過程と、
前記処理管内にて第1のガスを循環させ、前記第1のガスを前記ウエハから前記熱源に向け、前記第1のガスに前記熱エネルギを吸収させ、前記熱エネルギを熱力学的に前記ウエハに伝達する過程と、
その後に、前記熱源からの熱エネルギの供給を停止する過程と、
前記処理管内にて第2のガスを循環させ、前記第2のガスに前記ウエハから熱エネルギを吸収させ、前記ウエハの温度を低下させる過程とを有することを特徴とする方法。
A method for processing a wafer using the wafer processing system of claim 1 , comprising:
Transporting the processing tube to a first position for receiving a heat source;
Supplying thermal energy from the heat source;
A first gas is circulated in the processing tube, the first gas is directed from the wafer toward the heat source, the thermal energy is absorbed by the first gas, and the thermal energy is thermodynamically transferred to the wafer. The process of communicating to
Thereafter, the process of stopping the supply of heat energy from the heat source;
Circulating a second gas in the processing tube, causing the second gas to absorb thermal energy from the wafer, and lowering the temperature of the wafer.
前記処理管を、前記熱源が取り出された状態の第2の位置に搬送する過程を更に有することを特徴とする請求項15に記載の方法。The method according to claim 15, further comprising the step of transporting the processing tube to a second position where the heat source is removed. 前記処理管を前記第1の位置に搬送する前記過程が、前記処理管を隔絶することを含むことを特徴とする請求項15に記載の方法。The method of claim 15, wherein the step of transporting the processing tube to the first position includes isolating the processing tube. 前記熱源が、電気抵抗性加熱要素を含むことを特徴とする請求項15に記載の方法。The method of claim 15, wherein the heat source includes an electrically resistive heating element.
JP2004526235A 2002-08-02 2003-07-30 Batch furnace Expired - Fee Related JP4537201B2 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/211,757 US6727194B2 (en) 2002-08-02 2002-08-02 Wafer batch processing system and method
US10/313,707 US6879778B2 (en) 2002-08-02 2002-12-05 Batch furnace
PCT/US2003/023849 WO2004013901A2 (en) 2002-08-02 2003-07-30 Batch furnace

Publications (2)

Publication Number Publication Date
JP2005535128A JP2005535128A (en) 2005-11-17
JP4537201B2 true JP4537201B2 (en) 2010-09-01

Family

ID=31498035

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004526235A Expired - Fee Related JP4537201B2 (en) 2002-08-02 2003-07-30 Batch furnace

Country Status (4)

Country Link
EP (1) EP1540708A2 (en)
JP (1) JP4537201B2 (en)
KR (1) KR100686401B1 (en)
WO (1) WO2004013901A2 (en)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5410119B2 (en) * 2009-03-02 2014-02-05 光洋サーモシステム株式会社 Substrate heat treatment equipment
JP6630146B2 (en) * 2015-02-25 2020-01-15 株式会社Kokusai Electric Substrate processing apparatus, semiconductor device manufacturing method, and heating unit
DE102020124030B4 (en) 2020-09-15 2022-06-15 centrotherm international AG Apparatus, system and method for plasma enhanced chemical vapor deposition
KR102359596B1 (en) * 2021-04-21 2022-02-08 주식회사 알씨테크 A seal cap and a semiconductor manufacturing equipment comprising thereof

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2616760B2 (en) * 1985-04-08 1997-06-04 株式会社 半導体エネルギー研究所 Plasma gas phase reactor
JPS6366927A (en) * 1986-09-08 1988-03-25 Toshiba Corp Thermal treatment equipment
US4738618A (en) * 1987-05-14 1988-04-19 Semitherm Vertical thermal processor
JPH06349738A (en) * 1993-06-08 1994-12-22 Nec Corp Vertical low-pressure cvd device
WO1998039609A1 (en) * 1997-03-07 1998-09-11 Semitool, Inc. Semiconductor processing furnace
JPH1197446A (en) * 1997-09-18 1999-04-09 Tokyo Electron Ltd Vertical heat treatment equipment
US6191388B1 (en) * 1998-11-18 2001-02-20 Semitool, Inc. Thermal processor and components thereof
JP3862197B2 (en) * 1999-10-19 2006-12-27 株式会社グローバル Vertical heat treatment equipment
JP3598032B2 (en) * 1999-11-30 2004-12-08 東京エレクトロン株式会社 Vertical heat treatment apparatus, heat treatment method, and heat insulation unit
JP3435111B2 (en) * 1999-12-15 2003-08-11 株式会社半導体先端テクノロジーズ Semiconductor wafer heat treatment equipment
JP2001284276A (en) * 2000-03-30 2001-10-12 Hitachi Kokusai Electric Inc Substrate treating device
US6407368B1 (en) * 2001-07-12 2002-06-18 Taiwan Semiconductor Manufacturing Co., Ltd. System for maintaining a flat zone temperature profile in LP vertical furnace

Also Published As

Publication number Publication date
JP2005535128A (en) 2005-11-17
KR100686401B1 (en) 2007-02-26
KR20050062521A (en) 2005-06-23
WO2004013901A2 (en) 2004-02-12
EP1540708A2 (en) 2005-06-15
WO2004013901A3 (en) 2004-06-10

Similar Documents

Publication Publication Date Title
JP4537200B2 (en) Wafer batch processing system and method
JP4365017B2 (en) Method for controlling temperature drop rate of heat treatment apparatus and heat treatment apparatus
US8030599B2 (en) Substrate processing apparatus, heating device, and semiconductor device manufacturing method
JP3241401B2 (en) Rapid heat treatment equipment
KR100602481B1 (en) Heating medium circulating device and thermal treatment equipment using the device
KR100457348B1 (en) Single wafer annealing oven
US6887803B2 (en) Gas-assisted rapid thermal processing
US5239614A (en) Substrate heating method utilizing heating element control to achieve horizontal temperature gradient
JP2008034463A (en) Substrate processing apparatus
JP2003515950A (en) Single wafer furnace with resistance heating
JP2003507881A (en) Hot wall rapid heat treatment machine
KR100686403B1 (en) Mini batch furnace
JP4537201B2 (en) Batch furnace
JP2006505947A (en) Forced convection rapid heating furnace
JP2006222327A (en) Substrate processing apparatus
WO2001082342A1 (en) Gas assisted rapid thermal annealing
KR100350612B1 (en) Dual Vertical Heat Treatment Furnace
JP2001148351A (en) Vertical heater
KR20050058842A (en) Apparatus for manufacturing semiconductors
JP2006173157A (en) Substrate processing apparatus
JP2000068218A (en) Heat treatment device

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20070803

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080805

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081010

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090602

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090826

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100601

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100617

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130625

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees