JP4388895B2 - リコンフィギュアラブルなシーケンサ構造 - Google Patents

リコンフィギュアラブルなシーケンサ構造 Download PDF

Info

Publication number
JP4388895B2
JP4388895B2 JP2004545763A JP2004545763A JP4388895B2 JP 4388895 B2 JP4388895 B2 JP 4388895B2 JP 2004545763 A JP2004545763 A JP 2004545763A JP 2004545763 A JP2004545763 A JP 2004545763A JP 4388895 B2 JP4388895 B2 JP 4388895B2
Authority
JP
Japan
Prior art keywords
cell
functional
memory cell
memory
data
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2004545763A
Other languages
English (en)
Other versions
JP2006501782A (ja
Inventor
フォアバッハ マーティン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
PACT XPP Technologies AG
Original Assignee
PACT XPP Technologies AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=32180554&utm_source=***_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JP4388895(B2) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Priority claimed from DE2002141812 external-priority patent/DE10241812A1/de
Application filed by PACT XPP Technologies AG filed Critical PACT XPP Technologies AG
Publication of JP2006501782A publication Critical patent/JP2006501782A/ja
Application granted granted Critical
Publication of JP4388895B2 publication Critical patent/JP4388895B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F15/00Digital computers in general; Data processing equipment in general
    • G06F15/76Architectures of general purpose stored program computers
    • G06F15/78Architectures of general purpose stored program computers comprising a single central processing unit
    • G06F15/7867Architectures of general purpose stored program computers comprising a single central processing unit with reconfigurable architecture
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/14Handling requests for interconnection or transfer
    • G06F13/16Handling requests for interconnection or transfer for access to memory bus
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/14Handling requests for interconnection or transfer
    • G06F13/36Handling requests for interconnection or transfer for access to common bus or bus system
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/38Information transfer, e.g. on bus
    • G06F13/40Bus structure
    • G06F13/4063Device-to-bus coupling
    • G06F13/4068Electrical coupling
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30145Instruction analysis, e.g. decoding, instruction word fields
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/02Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components
    • H03K19/173Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using elementary logic circuits as components

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Software Systems (AREA)
  • Computing Systems (AREA)
  • Mathematical Physics (AREA)
  • Logic Circuits (AREA)

Description

本発明はセルエレメントフィールドおよびこのセルエレメントフィールドを動作させる方法に関する。したがって本発明は殊にリコンフィギュアラブルなデータ処理アーキテクチャに関する。
リコンフィギュアラブルなアーキテクチャとは殊に、動作時に機能および/または配線が変更される複数のエレメントを有するモジュール(VPU)と解される。これらのエレメントには算術的な論理ユニット、FPGA領域、入出力セル、メモリセル、アナログのコンポーネントなどが属する。この種のモジュールは例えばVPUとして知られている。これらのモジュールは典型的にはPAEと称される1次元または多次元に配置されている算術的および/または論理的および/またはアナログ的なおよび/または記憶性および/または配線されたコンポーネントおよび/または通信性の周辺コンポーネント(IO)を包含し、これらのコンポーネントは直接的にまたは1つまたは複数のバスシステムを介して相互に接続されている。PAEは任意の構造、組合せおよび階層で配置構成されており、このような配置構成はPAEアレイまたは省略してPAと称される。PAEアレイにはコンフィギュレーションされるユニットを配属することができる。原則的にVPUモジュールの他にシストリックアレイ、ニューラルネット、マルチプロセッサシステム、複数の計算ツールおよび/または論理セルを備えたプロセッサ、配線モジュールおよびネットワークモジュール例えばクロスバー回路などもFPGA、DPGA、トランスピュータなどと同様に公知である。
VPU技術の本質的な観点は例えば本出願人の以下の保護権ならびに引用する保護権に属する他の出願に記載されている:
P 44 16 881.0-53, DE 197 81 412.3, DE197 81 483.2, DE 196 54 846.2-53,
DE 196 54 593.5-53, DE 197 04 044.6-53, DE 198 80 129.7, DE 198 61 088.2-53,
DE 199 80 312.9, PCT/DE 00/01869, DE 100 36 627.9-33, DE100 28 397.7,
DE 101 10 530.4, DE 101 11 014.6, PCT/DE 00/10516, EP 01 102 674.7,
DE 102 06 856.9, 60/317,876, DE 102 02 044.2, DE 101 29 237.6-53,
DE 101 39 170.6。
前述の刊行物が殊にアーキテクチャエレメントの配線、コンフィギュレーション、構成、トリガ方法などの特徴および詳細に関する開示を目的として組み入れられていることを言及しておく。
このアーキテクチャはしたがってデータ処理ステップの並列的および/またはベクトル的な割合が高いやり方でデータ処理が行われる限り、従来のプロセッサアーキテクチャに比べ顕著な利点を有する。しかしながら配線および所与のプロセッサアーキテクチャ的な特徴の利点がもはや全面的に実現できない場合には、他のプロセッサユニット、コプロセッサユニットまたは一般的なデータ処理ユニットに対するこのアーキテクチャの利点は僅かなものとなる。
このことは殊に、従来通り最善にはシーケンサ構造においてマッピングできるデータ処理ステップを実行すべき場合である。典型的にはシーケンサでもって殊に良好に実行できるデータ処理ステップを殊に迅速且つ効果的に実行できるようにリコンフィギュアラブルなアーキテクチャを構成して使用することが望ましい。
本発明の課題は産業的な用途のために新たなものを提供することである。
この課題の解決手段は独立請求項に記載されている。有利な実施形態は従属請求項に記載されている。
したがって本発明の第1の重要な観点によれば、粗粒度の代数的および/または論理的な機能を実施する機能セル手段と、情報を受信、記憶および/または出力するメモリセル手段とを有する、殊にリコンフィギュレーションされないエレメントを妨害することなく実行時に機能および/または配線をリコンフィギュレーション可能である、データ処理を行うセルエレメントフィールドにおいて、機能セル・メモリセル組合せが形成されており、この機能セル・メモリセル組合せにおいては機能セル手段から制御コネクションがメモリセル手段に案内されていることが提案される。この制御コネクションはメモリからのアドレス入力/出力および/またはデータ入力/出力を、典型的にはALU−PAEの所属の機能セルが制御できるようにするために使用される。つまり次に伝送される情報がアドレスとしてまたはデータとして処理されるべきか否か、また読み出しアクセスおよび/または書き込みアクセスが必要であるか否かを表すことができる。例えばRAM−PAEが考えられるメモリセルないしメモリセル手段から、例えばALU−PAEが考えられる機能セル手段へのデータの伝送は、ALUによって実行されるべき新たな命令をこのALUにロードできることを可能にする。機能セル手段とメモリセル手段を集積することによって1つの構造的なユニットに統合できることを言及しておく。そのような場合には、データをメモリセル手段および/またはALUに供給するために単一のバス接続を使用することが可能である。適切な入力レジスタおよび/または出力レジスタを設けることができ、また望ましい場合には、これとは異なる付加的なデータレジスタおよび/またはコンフィギュレーションレジスタをメモリセル手段として設けることができる。
複数の異なるセルないしセルグループを包含するセルエレメントフィールドを構成できることも言及しておく。この場合有利には種々のセルを用いてストライプなどの規則的なパターンが設けられ、何故ならばこの非常に規則的な配置構成によってハードウェア的な構造および動作を同様に容易にすることができるからである。少数の異なるセルエレメントからなるそのようなストライプ状または他の規則的な構造においては、例えば集積されている機能セル手段・メモリセル手段組合せ、すなわち本発明による機能セル手段とメモリセル手段とが集積されているセルをフィールドの中央に設けることができ、この中央においては上述のように従来のデータストリーム用途に対して非常に良好な結果をもたらすので、典型的には極僅かなプログラムステップがシーケンサ構造内部で実行されるにすぎず、これに対しフィールド端部では複雑なシーケンサ構造を構成することができ、このフィールド端部におけるシーケンサ構造においては、独立したユニットを表すALU−PAEを相応の制御線またはコネクションを使用ないし配置することによって、独立したRAM−PAEまた必要に応じて一連のI/O−PAEの隣に配置することができる。何故ならばこのフィールド端部においては例えばセルエレメントフィールドのフィールド中央領域において生じた結果を中間記憶するため、および/またはデータストリームに対してこの結果によって必要とされるデータを事前に格納するため、および/または相応に処理するために頻繁に多数のメモリが必要とされるからである。
メモリセル手段および機能セル手段を集積しているセルが例えばフィールドの中央に設けられている場合には、このセルにALUのような機能セル手段によって実施されるべき種々の命令のための小さいメモリを設けることができる。ここでは殊に命令メモリないしコンフィギュレーションメモリをデータメモリから分離することができ、また択一的に複数、例えば2つの異なるシーケンスを実行できる程の大きさの機能メモリを構成することができる。実行すべきそれぞれのシーケンスをセルにおいて発生した結果および/または外部からセルに到来した制御信号、例えばキャリー、オーバフロー信号、トリガ信号に応答して行うことができる。このようにしてこの配置構成をウェーブ・リコンフィギュレーション(Wave-Reconfiguration)の方法に使用することもできる。
このようにして、機能セルないし機能セル手段とメモリセルないしメモリセル手段との間に機能セルを専門に制御する専用の制御コネクションを設けるだけで、適切なバスを介して接続されている単に2つのエレメントを用いてその他のさらなる措置および/または構造的な変更を必要とすることなくセルエレメントフィールドにシーケンサ構造を既に構成することができる。メモリセルにはデータ、アドレス、プログラムステップを従来のプロセッサからそれ自体公知のやり方で格納することができる。2つのエレメントは異なるやり方でも相応のコンフィギュレーションであれば使用できるので、シーケンサ構造もベクトル的および/または並列化可能な構造も殊に良好に適合させることができる殊に効果的な構造形態が得られる。つまり適切にPAEを構成することによって、例えば空間的に異なる2つの方向において機能するPAEを設けることによって、および/または、データを通過させるレジスタが設けられているセルユニットによって並列化を支援することができる。
セルエレメントフィールドにおける単に2つのセル、すなわち機能セルと情報供給セルを使用することによって、リコンフィギュアラブルなセルエレメントフィールド内に複数のシーケンサ的な構造を構成できることは明らかである。このことは、例えばマルチタスク機能を備えたオペレーションシステムでのデータ処理において頻繁に、種々のそれ自体相互に異なる一連のタスクを実行する必要がある場合には有利である。この場合このような複数のタスクを単一のセルフィールドにおいて効果的に同時に処理することができる。リアルタイムの用途に関する利点も明らかである。さらには、本発明による制御コネクションが設けられているセルエレメントフィールドにおいて構成される個々のシーケンサ構造を、例えば優先順位の低いタスクが緩慢に実行されることによって電流消費を低減させるために異なるクロックレートで動作させることも可能である。さらには、それ自体で十分に並列的であるアルゴリズムを実施する際に、シーケンサ的なプログラム部をフィールドにおいて並列的またはベクトル的に実行する、またこれとは逆も実行することが可能である。
しかしながら典型的には、セルエレメントフィールド内のシーケンサ的な構造は、このシーケンサ的な構造が隣接セルまたはバスとのコネクションによって接続されている領域にあっても、または空間的に異なっても良い独立した別個に使用することもできる、ALU−PAEのような機能セルエレメントとRAM−PAEのようなメモリセルエレメントとの組合せであっても、クロック周波数が高いことは有利である。このことは、必要とあれば非常に高速に並列化される逐次的なプログラミング部が全体のデータ処理を妨害することなく、一般的なデータフロー処理に使用されるという利点を有する。この例は例えばハフマン符号化によって表されており、このハフマン符号化は並列的よりも逐次的な方が実質的により良好に実行可能であり、またそれと同時にMPEG4符号化のような用途にとって重要な役割を担う。しかしながらこの場合MPEG4符号化の他の実質的な部分は良好に並列化が可能である。並列的なデータ処理がアルゴリズムの大分部に使用され、また逐次的な実行ブロックがこのアルゴリズムに設けられる。典型的にはシーケンサ領域においてクロック周波数をファクタ2〜4高めれば既に十分である。
種々のセルエレメントのストライプ状の配置構成に代わり、他のグループ化、殊に多次元的なグループ化も選択できることを言及しておく。
機能および/または配線をコンフィギュレーション可能なセルを有するセルエレメントフィールドは明らかであるようにプロセッサ、コプロセッサおよび/またはマイクロコントローラ、ないしこれらが並列的に複数構成されたもの、またはこれらの組合せを形成することができる。
機能セルは典型的には算術的な論理ユニットとして形成されており、この場合機能セルは殊に粗粒度のエレメントとして表されているが、例えば細粒度の状態マシンを設けてもよい。殊に有利な実施例においては、ALUはいわゆる拡張されたALU(EALU)であり、このようなEALUは本出願人の先の明細書に記載されている。拡張は殊に制御線制御、命令復号ユニットなどを包含し、その限りにおいては必要である。
メモリセルはデータおよび/または情報を揮発性および/または不揮発性に記憶することができる。メモリセルに格納されている情報が、プログラムステップであれ、データにアクセスするためのアドレスであれ、またはレジスタ的ないしヒープ的に格納されているデータであれ、揮発性のデータとして格納されている場合には、動作中に完全なリコンフィギュレーションを行うことができる。択一的に、不揮発性のメモリセルを設けることもできる。不揮発性のメモリは例えばEEPROM領域として設けることができ、この領域には装置の起動時に実施すべき基本的なBIOSプログラムが格納される。このようにして別の構成要素を要することなくデータ処理装置を起動させることができる。コスト的および/または空間的な理由により何度も同一のプログラム部を実行すべきことが決定される場合であっても不揮発性のデータメモリを設けることができ、そのような一定のプログラム部においても、例えばウェーブリコンフィギュレーションの様式に応じて動作時に変更することができる。このような不揮発性のメモリを設けて使用する可能性は本出願人の別の保護権の対象である。例えばBIOSプログラムを固定に格納し、それにもかかわらずメモリセルを他の目的に使用できるようにするために、揮発性のデータも不揮発性のデータも記憶することができる。
メモリセルは有利には、十分な数の処理すべきデータおよび/または実行すべきプログラム部を記憶できるように構成されている。これらのプログラム部は、単一の機能セル、殊に所属のPAE、すなわち殊にメモリセルを制御する機能セルが次のステップにおいて何をすべきかをそれぞれ設定するプログラムステップも、フィールド領域または他のフィールドに関する全体のコンフィギュレーションも包含できることを言及しておく。そのような場合には、構成されたシーケンサ構造が命令を出力し、この命令に基づきセルエレメントフィールド領域のリコンフィギュレーションを行うことが容易に可能である。したがってこのコンフィギュレーションをトリガする機能セルはこの場合同時にロードロジックとしても機能する。
他のセルもやはりそのセルにおいてシーケンサ的なデータ処理が行われるようにコンフィギュレーションを行うことができ、またそのセルにおいてプログラム処理の経過時に他のセルをコンフィギュレーションないしリコンフィギュレーションすることがやはり可能であることを言及しておく。したがってセルエレメント領域の反復的なコンフィギュレーションおよびシーケンサ構造および並列構造を有するプログラムの入れ子が可能であり、これらのプログラムはバブーシュカのように相互に入れ込まれている。
ここでは殊に入出力セルによって、単一の集積された構成要素の外部からの別のセルエレメントフィールドにアクセスすることができ、このことは全体の計算能力を大幅に向上できることを言及しておく。殊にコンフィギュレーションが行われている際に、セルエレメントフィールドにコンフィギュレーションされるシーケンサ構造のコード部内では、必要に応じて、それぞれのシーケンサ構造によってのみ監視される割り当てられたセルエレメントフィールドにおいてコンフィギュレーション要求を実施する、および/または全てのセルエレメントフィールドの均等の占有が行われることを保証するためにこのような要求をコンフィギュレーションマスタユニットに出力することが可能である。したがって、必要なコンフィギュレーションをセルまたはロードロジックに伝送することによっていわばサブプログラムの呼び出しが行われる。このことはそれだけで保護に値するものとみなされる。
セル自体が他のセルエレメントフィールド領域のコンフィギュレーションに対する権限を有する限り、このセルにハードウェア的またはソフトウェア的に実施されるFILMO構造などを規則的なリコンフィギュレーションを保証するために設けることができることを言及しておく。命令の実行中に、実行すべきコードないし実行すべきプログラムが変わるようメモリセルに書き込みを行う可能性を示しておく。しかしながら殊に有利な変形形態においてはこの種の自己修正(SM)は機能セルを介する相応の制御によって抑制されている。
メモリセルは記憶している情報を、このメモリセルを制御する機能セルの制御に基づき機能セルに案内されているバスに直接的または間接的に供給することが可能である。殊に間接的な出力は、2つのセルが隣接しており且つメモリセルの出力側に直接は接続することができないバスセグメントを介して、制御によって要求される情報がALU−PAEに到来する必要がある場合に行うことができる。そのような場合には、メモリセルはデータをバスシステムへと、殊に逆方向レジスタ(Backward-Register)を介して出力することができる。したがって、メモリセルおよび/または機能セルの内の少なくとも1つがメモリセルと機能セルとの間の情報経路内に配置できる逆方向レジスタを有する場合には有利である。そのような場合これらのレジスタには別の機能を設ける必要はない。このことは例えばLOAD++を実現するために、PAEへのロード前のデータの変更について、典型的なマイクロプロセッサの従来のLOAD命令に応じて、さらなる処理のためにメモリセルからデータを要求する場合には容易に可能であるにもかかわらず行う必要はない。
有利にはメモリセルが、このメモリセルを制御する機能セルから情報を受信するよう配置され、この際入出力セルおよび/またはメモリセルを制御しないセルを介する情報供給もさらに可能である。殊に、データが入出力セルからメモリセルに書き込まれるべき場合には、この入出力セル(I/O−PAE)が機能セルによって制御されるとしても有利である。例えば、メモリセルに書き込まれるべき情報、または必要に応じて直接的に機能セル(PAE)に伝送される情報も読み出すことができるアドレスをI/O−PAEへとALU−PAEから伝送することができる。この関係において、このアドレスをI/O−PAEにおけるアドレス置換テーブル(アドレス変換テーブル)、アドレス変換バッファまたはMMUのような構造を介して設定できることを言及しておく。そのような場合には典型的なマイクロプロセッサの完全な機能が得られる。機能セル手段、メモリセル手段および/または機能セル手段・メモリセル手段組合せにI/O機能も統合できることを言及しておく。
したがって機能セルとメモリセルとの組合せには、たとえ集積された機能セル・メモリセル組合せであれ、または別個のユニットから構成された機能セル・メモリセルの組合せであれ、有利な変形形態においては少なくとも1つの入出力手段が配属されており、この入出力手段を用いて外部ユニット、他の機能セル、機能セル・メモリセル組合せおよび/またはメモリセルに情報を送信することができる、および/またはこれらから情報を受信することができる。
入出力ユニットは有利には同様に機能セルないし機能セル手段から制御命令を受信するために構成される。
有利な変形形態では制御コネクションが以下の命令の内の少なくとも一部、有利には全てを伝送するために構成されている:
OPCODE FETCH、
DATA WRITE INTERN、
DATA WRITE EXTERN、
DATA READ INTERN、
DATA READ EXTERN、
ADRESSPOINTER WRITE INTERN、
ADRESSPOINTER WRITE EXTERN、
ADRESSPOINTER READ INTERN、
ADRESSPOINTER READ EXTERN、
PROGRAMMPOINTER WRITE INTERN、
PROGRAMMPOINTER WRITE EXTERN、
PROGRAMMPOINTER READ INTERN、
PROGRAMMPOINTER READ EXTERN、
STACKPOINTER WRITE INTERN、
STACKPOINTER WRITE EXTERN、
STACKPOINTER READ INTERN、
STACKPOINTER READ EXTERN、
PUSH、
POP、
PROGRAMMPOINTER INCREMENT。
このことは制御線の相応のビット幅および受信器での対応する復号によって行われる。それぞれ必要とされる制御手段および復号手段を問題なく且つ廉価に設けることができる。明白であるように、これらの命令でもって配置構成の実際上完全なシーケンサ性能が得られる。このようにして汎用プロセッサデータ処理ユニットが得られることを言及しておく。
典型的に配置構成は機能セルが唯一のマスタとして制御コネクションおよび/または制御コネクションとして使用されるバスセグメントないしバスシステムにアクセスできるよう選択される。したがって、従来のプロセッサにおいて設けられているような、制御線が命令線として機能する配置構成が得られる。
機能セルおよびメモリセルないしI/Oセルは有利には隣接して配置されている。隣接とは有利には、セルが直接的に相並んで配置されていることと解することができる。直接とは殊に、フィールドを形成するためにセルエレメントフィールドないしこのセルエレメントフィールドの部分として繰り返し設けられる集積されたユニットにそのようなセルを組合せることを意味する。択一的にセルを少なくとも密に隣接して設けることができる。集積されたないし狭小な近傍に機能セルおよびメモリセルを相並んで配置することによって、機能セルには要求される情報の制御とデータ入力との間の待ち時間は生じない、もしくは生じたとしてもそれはいずれにせよ著しいものではない。何故ならばこのような待ち時間はセル間のコネクションが過度に長い場合のみに生じるからである。このことは「直接的」と解される。待ち時間を考慮しなければならない場合には、シーケンサ構造におけるパイプライン化も行うことができる。このことはクロック周波数が非常に高い装置においては殊に重要となる。
従来技術においてそれ自体公知である、相応に高い周波数でクロッキングされるセルユニットを設け、また相応に高速に適切なメモリセルにアクセスできることは容易に可能であることを言及しておく。例えば、それ自体公知のアーキテクチャエレメントが機能セルに使用されるような場合であっても、これと同時に機能セルエレメントおよび所属の配線のリコンフィギュレーションが行われる。
殊に有利な変形形態においては、機能セル、メモリセルのような情報供給セル、I/Oセルなどが多次元に配置されており、殊にマトリクス状ないし1次元の格子の格子点などに配置されている。この場合のように規則的な構造が存在する場合、セルに典型的には最初の列から情報、すなわちオペランド、コンフィギュレーション、トリガ信号などが供給され、他方その下にある列においてはデータ、トリガ信号および他の情報が出力される。そのような事例では、セルが同一の列に存在し、情報供給セルから機能セルの必要な入力側への情報伝送が逆方向レジスタを介して行われる場合には有利である。パイプライン化のためにレジスタを利用する可能性を言及しておく。
さらには、代数的および/または論理的な機能を実施する機能セルおよび情報セル、殊にメモリセル、および/または情報を受信および/または出力および/または情報を記憶するための入出力セルを備えたセルエレメントフィールド、殊に多次元セルエレメントフィールドを動作させる方法に対する保護も主張され、ここでは少なくとも1つの機能セルが制御命令を少なくとも1つの情報供給セルに出力し、この情報供給セルでは制御命令に応答して機能セルのための情報が供給され、機能セルは供給された情報に応答してさらなるデータ処理を実施し、少なくとも一次的にシーケンサ的にデータを処理するよう構成されている。
すなわちリコンフィギュアラブルなフィールドにおいては、制御命令をシーケンサ構造のメモリセルに出力することによってシーケンサ的なデータ処理が実現される。制御命令として機能セルから出力することができる命令は、従来のプロセッサから公知であるようなシーケンサ的な動作を実現する。上述した命令の一部のみを実施し、それにもかかわらず完全にシーケンサ的なデータ処理を保証することは容易に可能であることを言及しておく。
本発明を以下においてまた例示的に図面に基づき説明する。図面において:
図1は本発明によるセルエレメントフィールドを示し、
図2aはセルエレメントフィールドの詳細を示し、
図2b,cは異なるデータ処理時間にある図2aの詳細を示し、
図3は図2の詳細の代替的な実施形態を示し、
図4は図2の詳細の殊に有利な変形形態を示し、
図5は本発明の機能セル・メモリセル組合せにおける機能折りたたみの例を示し、
図6aは逐次並列的なデータ処理の例を示し、
図6bは本発明の殊に有利な実施例を示し、
図7は機能折りたたみユニットの代替形態を示す。
図1によれば全体的に1で表されているデータ処理のためのセルエレメントフィールド1が算術的および/または論理的な機能を実施する機能セル手段2と、情報を受信、記憶および/または出力するメモリセル3とを包含し、制御コネクション4が機能セル2からメモリセル3に案内されている。
セルエレメントフィールド1はエレメント2,3,4の配線を自由にコンフィギュレーションでき、しかも新たにコンフィギュレーションすべきではないセルエレメント部の継続的な動作を妨害することなくコンフィギュレーションできる。
バスシステム5が必要に応じて切り換えられることによって、コネクションをコンフィギュレーションすることができる。さらには機能セル2をそれぞれの機能に関してコンフィギュレーションすることができる。機能セルは、リコンフィギュレーションを実現する所定の回路に拡張されている、例えば状態マシン、外部ロードロジック6との通信のためのインタフェース回路のような算術的な論理ユニットである。本出願人の相応の先行出願に示唆されている。
セルエレメントフィールド1のセルエレメント2,3は2次元で列と行に配置されており、それぞれのメモリセル3が機能セル2の直ぐ隣に配置されており、ここでは列毎に3つのメモリセル・機能セル組が存在し、この組において機能セルおよびメモリセルがそれぞれ制御コネクション4を介して相互に接続されている。機能セル2およびメモリセル3ないしこれらの組合せは入力側を有し、この入力側はそれぞれのセルエレメントが存在している列の上方にあるバスシステムと接続され、このバスシステムからデータを受信する。さらにセル2,3は出力側を有し、この出力側は列の下方にあるバスシステムにデータを出力する。さらに説明するように、それに加え各メモリセル3には逆方向レジスタ(BW)が設けられており、この逆方向レジスタによって列の下方にあるバスからのそれぞれの列の上方にあるバスへとデータを通過させることができる。
メモリセル3は同時に有利には少なくとも3つのメモリ領域、すなわちいわゆるデータ領域、プログラム記憶領域およびスタック領域などを有する。もっとも本発明の別の変形形態においては、単に2つの領域、すなわちそれぞれがメモリセル手段の部分を形成することができるデータメモリとプログラム記憶領域を設けさえすれば十分である。殊に、それ自体同種でありまたハードウェア側では同一であるメモリを異なる領域に単に分離するのではなく、物理的ないしハードウェア技術的に実際に分離されたメモリ領域を設けることができる。殊に、メモリ領域および/または深さをそれぞれの要求に適合させることができる。メモリが動作時にプログラム領域およびデータ領域を有するようにメモリを設計する場合、このメモリないしメモリ領域をデータ領域およびプログラム領域に同時にアクセスするために例えばデュアル・ポートメモリとして構成することは有利となる。また、殊に1つの集積された領域に形成されているメモリセル手段・機能セル手段組合せの内部において密に結合されているメモリ領域を純粋なキャッシュメモリとして設けることも可能であり、このキャッシュメモリには殊に、離れたメモリスペースからのデータがデータ処理中の高速アクセスのために予めロードされる。
制御コネクション4および機能セル内の所属の回路(図2のALU)ないしメモリセル(図2のRAM)を除いて、図1のデータ処理のためにセルエレメントフィールドはリコンフィギュアラブルなデータ処理装置、例えば本出願人のXPPテクノロジに応じるVPUにおいては慣例且つ公知であるような従来のセルエレメントフィールドである。殊に、図1のセルエレメントフィールドが公知のように動作できるならば、ウェーブリコンフィギュレーション、デバッギング、トリガ信号の伝送などのための相応の回路を有する。
本発明のセルエレメントフィールドの第1の特徴は、図2a〜2cに関連させて以下詳細に説明する制御コネクション4と所属の回路から生じる。図1において制御コネクション4は常に左に位置する機能セルエレメントから右に位置するメモリセルが案内されており、しかもにまさにそのようなメモリセルのみが示されているが、メモリセルが受信、記憶および/または出力できる情報に対するメモリ要求が広範である場合には、他の位置にあるメモリセルに問い合わせる、および/または、必要に応じて1つ以上のメモリセルに問い合わせることができるようにするために、制御線路の代わりにコンフィギュレーション可能な配線を設けることも勿論可能であることを言及しておく。しかしながら明瞭にするために、図1および図2においては固定的に設けられている個々の制御コネクションのみが参照され、このことは本発明の理解を実質的に容易にする。制御コネクションはそれ以外では必要に応じて、相応のプロトコルを前提として、従来の線路に置換することが可能である。
図2では機能セル2がALUと表されており、またメモリセル3がRAMと表されている。セルが存在する列の上方にはバス5aが延びており、このバス5aは前述の逆方向レジスタ3aをメモリセルの入力側3bよびALUの入力側2bと接続する。列の下方において延びているバスシステムは5bで表されている。バスシステム5a,5bは関連する部分のみが示されている。バスシステム5bはデータをALU2の出力側2c、RAM3の出力側3cからデータを受け取り、データを逆方向レジスタの入力側3a1に供給することが分かる。
ALU2はこれと同時に別の入力側2a1および出力側2a2を有し、これらの入出力側を別のバス部分に切り換えることができ、このバス部分を介してALUはオペランドのようなデータを受信する、ないし結果を出力する。
制御コネクション4はALUの拡張された回路によって継続的に制御されており、ここでは多数のビットを伝送できるコネクションを表す。制御コネクション4の幅は少なくとも次の制御命令:DATA WRITE、DATA READ、 ADRESSPOINTER WRITE、 ADRESSPOINTER READ、 PROGRAMMPOINTER WRITE、 PROGRAMMPOINTER READ、PROGRAMMPOINTER INCREMENT、 STACKPOINTER WRITE、STACKPOINTER READ、PUSH、POPをメモリセルに伝送できるように選定されている。メモリセル3はこれと同時に少なくとも3つのメモリ領域、すなわちいわゆるスタック領域、ヒープ領域およびプログラム領域を有する。各領域には固有のポインタが割り当てられており、このポインタを介してスタック領域、ヒープ領域、プログラム領域それぞれのどの領域が読み出しまたは書き込みアクセスされるかを決定する。
バス5aは時間多重でユニット2および3によって共通して使用される。このことは図2b、2cに示されている。つまり図2bにおいてはALU−PAEの出力側2a2から逆方向レジスタを介してRAMセルの入力側にデータを送信することができるが、これに対し、使用されないにもかかわらず時間的に同時に存在する、バス5bからRAMの出力側3cへのコネクションおよび逆方向レジスタBWの出力側からALU−PAEの入力側2bへのコネクションは図2bの時点では重要ではなく、したがって破線で示唆されている。これに対して図2cは、メモリセル3が出力側3cを介して制御線路4によって決定されるメモリ領域すなわちスタック領域、ヒープ領域またはプログラム領域から情報を逆方向レジスタを介してALU−PAE2の入力側2bに供給するが、ALU−PAE2の出力側は非活動であり、RAM−PAEの入力側3bでは信号が受信されない時点を示している。
RAMセル3内では回路3dが設けられており、この回路3dにおいて制御線路4ないし制御線路バス部分4を介して受信された情報が復号される。
本発明は以下のように使用される:
先ずALU2がコンフィギュレーション情報を従来技術において既に公知であるような中央ロードロジックから受信する。情報伝送をそれ自体公知のやり方でRDY/ACKプロトコルなどを使用して行うことができる。装置の規則通りのコンフィギュレーションを実現するためにロードロジックにFILMOメモリなどが設けられる可能性を言及しておく。
これと同時にALU2のコンフィギュレーションについてのデータと共に、シーケンサ的に実行すべきプログラムないしプログラム部分を表す一連のデータがロードロジックから伝送される。これに関しては単に例示を目的としたものが図6aに示されており、この図6aにおいてはハフマン符号化がそれ自体はデータフロー的に行われるMPEG4符号化の中央シーケンス部として示されている。したがってALUはコンフィギュレーションの間に線4において相応の命令を出力し、この命令は書き込みのためのプログラムポインタをRAM内の所定の値にセットする。
続いて、ALUにおいて受信されたロードロジックからのデータが出力側2c、バス5b1および逆方向レジスタ3aを介して供給およびロードされて、この逆方向レジスタ3aからRAM−PAE3の入力側に供給およびロードされる。ユニット3dによって制御線4における制御命令に応じて、データが指示されたプログラムメモリスペースに書き込まれる。このことは、コンフィギュレーション時にロードロジックから受信した全てのプログラム部がメモリセル3に格納されるまで繰り返される。
ALUのコンフィギュレーションが終了すると、ALUは制御線4における相応の命令の出力により、ALUによってシーケンサ的に実行されるべき次のプログラムステップを要求し、出力側3c、バス5b、RAM−PAE3の逆方向レジスタおよびバス5aを介してALUの入力側において受信される。プログラムの実行中に、プログラム記憶領域内でのジャンプが必要とされる状況、データがRAM−PAEからALU−PAEにロードされる状況、データをスタックに格納しなければならない状況などが発生する可能性がある。ALU−PAEとRAM−PAEとの間のこれに関する通信は制御線4を介して行われ、その結果ALU−PAEはいつの時点でも復号を実施することができる。さらには従来のマイクロプロセッサの場合のように、データをスタックまたは他のRAMメモリ領域から受信することもでき、またさらにはデータを外部からオペランドとしてALU−PAEにおいて受信することができる。
RAM−PAEにおいてロードロジックによって事前にコンフィギュレートされたプログラムシーケンスが実行される。これと同時にALU−PAEにおいては、それ自体必要であるように、命令の復号が行われる。このことはそれ自体は同一の回路でもって行われ、この回路はロードロジックから受け取った命令の復号に既に使用される。
ALUを介していつの時点でも、RAMセルがALUによって設定されているメモリアクセスの方式に常に正確に従うよう制御線4は制御される。このようにして、バス素子5a、bが時間多重で使用されるにもかかわらず、取り出すべきおよび/または書き込むべきデータまたはコードについてのアドレスがバスに存在するか否か、またはデータは書き込まれるべきか否か、また必要に応じてどこにデータを書き込むべきかについて、シーケンサ構造内に存在するエレメントは設定されていることが保証される。
図2に関連して示した装置を種々のやり方で拡張または変更することができる。図3、4および6に示されている変形形態は殊に関連がある。
図3によれば、上側のバスと下側のバスを接続するために、RAM−PAEに単に逆方向レジスタが設けられているだけではなく、RAM−PAEに順方向レジスタまたALU−PAEに順方向レジスタおよび逆方向レジスタが設けられている。これらのレジスタは複数の矢印によって示されているように別のユニット、例えば外部ホスト、ハードディスク、メインメモリなどのような外部周辺機器および/または他のシーケンサ構造、PAE、RAM−PAEなどからデータを受信し、またこれらにデータを送信するために使用することができる。ALU−PAEおよびRAM−PAEによって形成されているシーケンサ構造から新たなプログラム部についての相応の要求命令が送信される場合には、RAM−PAEに記憶可能なプログラムブロックよりも遙かに大きいプログラムブロックをシーケンサ構造において処理することが可能である。このことは殊にデータ処理タスク、広範な範囲にわたるジャンプ、殊にサブプログラムへのジャンプなどが複雑である場合には非常に有利である。
さらに別の有利な変形形態が図4に示されている。ここではALU−PAEはRAM−PAEとだけではなく、それと同時に入力/出力−PAEとも通信し、この入力/出力−PAEはハードディスク、他のXPP−VPU、外部プロセッサおよびコプロセッサなどのような外部構成要素との通信用のインタフェース回路を設けるために設計されている。ここでもまたALU−PAEは「CMD」と称される制御線のためのマスタとして機能するユニットであり、またバスはやはり多重に使用される。ここでもまた列の下方のバスから列の上方のバスへのデータ伝送を逆方向レジスタによって行うことができる。
図4に示されている装置は、メモリセルRAM−PAEには記憶できない情報への外部アクセスを容易に簡単に構成することができ、したがって入出力セルにおいてアドレス変換手段、記憶管理ユニット(MMU機能)などを実施できる限り、既存の従来のCPUテクノロジおよびその動作方法へのシーケンサ構造のさらに広範な適合が可能である。RAM−PAEはここでは例えばキャッシュとして使用できるが、殊に事前ロードされたキャッシュとして使用することができる。
複数のシーケンサ構造を同時に同一のフィールドにコンフィギュレーションでき、機能セルおよびメモリセルまた必要に応じて入出力セルを選択的にシーケンサ構造および/またはXPPテクノロジにとって慣例のやり方に関してコンフィギュレーションでき、ALUをシーケンサ的にコンフィギュレーションするデータをALUが他のALUに出力するおよび/または所定のコンフィギュレーションが実行されるセルエレメントフィールドの一部にすることは勿論可能であることを言及しておく。
図6によれば、本発明の2つの実施形態が同一のセルエレメントフィールドに統合されている。つまり端部はそれぞれ2つのPAE、すなわちそれぞれ1つのRAM−PAEおよびALU−PAEから形成されたシーケンサからなり、また内部は集積された機能セル・メモリセルユニットを形成するシーケンサとしての集積RAM−ALU−PAEを有し、フィールド内部のセルの一部のみを組合せセルとして形成することが可能である。
図5は右側(図5c)に機能セル・メモリセル手段組合せを示す。
図5cによれば、全体を50で表した機能セル・メモリセル手段組合せは、オペランドデータおよびコンフィギュレーションデータならびに、ここでは殊に有利に可能であるように、トリガ信号(図示せず)などを入力するためのバスコネクションないしバス入力側51と、相応のデータないし信号を出力するためのバス出力側52を有する。機能セル手段・メモリセル手段組合せの内部にはALU53が設けられており、またオペランドデータのための入力レジスタRi0〜Ri3およびトリガ信号入力レジスタ(図示せず)が設けられている。コンフィギュレーションデータないしALU操作符号データのためのコンフィギュレーションデータレジスタRc0〜Rc7、結果ないし出力すべきトリガ信号のための結果データレジスタRd0′〜Rd3′および出力レジスタRo0〜Ro3が設けられている。コンフィギュレーションデータないし操作符号データのためのレジスタRcおよびRdはALU53から制御命令線4を介して制御され、適切なデータ線路を介してデータをALUに供給する、ないしALUから結果データを受信する。さらには、データレジスタRd0から情報をALUだけでなく出力レジスタにも供給できるように、バス51ないし入力レジスタRiから情報を直接的に出力レジスタないしバス52に供給することも可能である。必要に応じてメモリ領域RdとRcとの間に、例えば自己修正符号の可能性を実現するために、コネクションを設けることができる。
コンフィギュレーションデータ領域Rc0〜Rc7は制御部を有し、この制御部によって領域の部分において機能する、殊に繰り返し周期的および/またはジャンプによって機能することができる。これによって例えば、第1の部分コンフィギュレーションにおいてRc0〜Rc3にある命令が反復的に実行でき、択一的に、例えばバス線51を介する相応の他のトリガ信号の入力に基づき、Rc4〜Rc7にあるコンフィギュレーション命令を実行することができる。したがってウェーブ・コンフィギュレーションの実施が保証されている。格納されているコンフィギュレーション命令が典型的には単にALUへの指示を表すが、完全なバスコネクションなどを規定するものではないことを言及しておく。
図5に示された前述のユニットはここでは、メモリセル手段および/または制御線4を有さない通常のPAEに比べ数倍のクロックで動作するよう構成されている。
そのように形成された機能折りたたみユニット(function-folding-unit)においてシーケンサ的にデータフローにおけるデータを実行するために、所定のアルゴリズムに先ず図5によるデータフローグラフないしデータフロー領域が作成される。グラフにおいて実行すべき各操作にメモリ領域Rc0が割り当てられ、グラフ部分領域に到来するデータが内部の入力レジスタRi0に割り当てられ、中間結果がメモリRd0〜Rd3に割り当てられ、出力結果がレジスタRoに割り当てられる。この割り当てでもってグラフ領域を機能折りたたみユニットにおいて実行することができる。このハードウェアによるいわばデータフロー・シーケンサ変換が行われる。
この関係において、相応の分割を行うために先ずデータ処理プログラムに関してコンパイラを用いてデータフローグラフおよび制御フローグラフが作成されるよう本発明の配置構成を使用することは全く一般的に有利となることを言及しておく。分割によって得られた部分を完全にまたは部分的に、例えば本発明により形成できるようなシーケンサユニットにおいて実行することができる。このようにして、あるセルから次のセルへと進む際にいわばデータフロー的なデータ処理が達成されるが、セル内では逐次的な実行が行われる。このことは、装置の非常に高い計算能力に基づきックロック周波数が高められるべきであるが、反対に面積ないしセルの数は低減されるべき場合には有利である。純粋なデータフロー的なデータ処理から局所的に逐次であるデータフロー処理部へのこの変換的な移行を、反復的なプロセスが実施されるよう、例えば先ず第1の分割が行われるように行い、分割された部分のシーケンサユニットにおける続く「巻き込み」において、例えばシーケンサまたはその他の個所において使用可能なリソースが十分でないことが確認される場合にはこれを考慮した別の分割および新たな「巻き込み」を行うことが可能であることを言及しておく。機能折りたたみユニットを集中的に使用することが所望される場合には、レジスタの数を必要に応じて増やすことができる。
本発明ではレジスタはメモリセル手段またはメモリセルの部分と解されることも言及しておく。メモリセル領域を拡大することによって複雑なタスクもシーケンサ的に配置することができ、しかしながら前述の僅かな大きさでもって重要なアルゴリズムの本質的な部分を既に処理でき、しかも高い効率で処理できることは明らかである。
この例において機能折りたたみユニットは有利には、データをALUにおいて処理されることなくこの機能組み込みユニットによってスイッチングすることができるよう構成される。このことは、本出願人のアーキテクチャから公知であるようなような順方向レジスタを使用する必要なく、例えばデータパケットが種々の分岐を介して処理され、(再び)一緒に供給しなければならない経路バランスを達成するために十分利用することができる。これと同時におよび/または択一的に、セルエレメントフィールドにおけるデータフロー方向は、幾つかの機能セル手段、メモリセル手段、機能折りたたみユニットを相応に配向することによって、厳格に1つの方向に延ばされるのではなく、2つの反対の方向に延ばされることも考えられる。つまり例えば、各偶数列においてALUは入力オペランドを左側から受け取り、各奇数列においてALUは入力オペランドを右側から受け取る。
データが何度もフィールドを通って送信されなければならない場合には、例えば圧延された環状基体(Schleifenkoerper)におけるそのような配置構成が有利である。択一的な配置構成は厳密である必要もない。特定の用途に関しては他の幾何学を選択することもできる。つまり、フィールドの中央においては端部とは異なる経過方向を選択することができる、などである。相並んだ同一の経過方向にある機能セルユニットの配置構成はバスコネクションに関して有利なものとなる。1つのフィールドにおいて配向されている複数の機能セルの逆方向に延在する配置構成およびこれと共に生じる改善されたデータ処理は制御線などを設けることに依存せずに発明性のあるものと見なされる。
図5に示された機能折りたたみユニットの択一形態が図7に示されている。
本発明によるセルエレメントフィールド。 セルエレメントフィールドの詳細。 異なるデータ処理時間にある図2aの詳細。 異なるデータ処理時間にある図2aの詳細。 図2の詳細の代替的な実施形態。 図2の詳細の殊に有利な変形形態。 本発明の機能セル・メモリセル組合せにおける機能折りたたみの例。 逐次並列的なデータ処理の例。 本発明の殊に有利な実施例。 機能折りたたみユニットの代替形態。

Claims (17)

  1. 代数的および/または論理的な機能を実施する機能セル手段と、情報を受信、記憶および/または出力するメモリセル手段とを備えたデータ処理のためのセルエレメントフィールドにおいて、
    複数の機能セル・メモリセル組合せが前記セルエレメントフィールド内に形成されており、該機能セル・メモリセル組合せはそれぞれ1つの機能セル手段および1つのメモリセル手段を含み、前記機能セル手段および前記メモリセル手段はそれぞれ制御コネクションを介して相互に接続されていることを特徴とする、セルエレメントフィールド。
  2. 機能および/または配線をリコンフィギュレーション可能および/または予め設定可能である複数のユニット、例えば機能セルおよび/またはメモリセルを備えたプロセッサ、コプロセッサおよび/またはマイクロコントローラを形成する、請求項1記載のセルエレメントフィールド。
  3. 前記機能セルは算術的な論理ユニットとして形成されている、請求項1または2記載のセルエレメントフィールド。
  4. 前記算術的な論理ユニットは拡張されたALUとして形成されている、請求項1から3までのいずれか1項記載のセルエレメントフィールド。
  5. 前記メモリセルはデータを揮発性および/または不揮発性に記憶するよう構成されている、請求項1から4までのいずれか1項記載のセルエレメントフィールド。
  6. 前記メモリセルは処理すべきデータおよび/または処理すべきプログラムステップを記憶するよう構成されている、請求項1から5までのいずれか1項記載のセルエレメントフィールド。
  7. 前記メモリセルは該メモリセルを制御する機能セルの制御に基づき、記憶されている情報を前記機能セルに案内されているバスに直接的および/または間接的に供給するよう構成されている、請求項1から6までのいずれか1項記載のセルエレメントフィールド。
  8. 少なくとも1つのメモリセルおよび/または機能セルにレジスタ、例えばメモリセルと機能セルとの間の情報経路に配置されている逆方向レジスタが配属されている、請求項1から7までのいずれか1項記載のセルエレメントエレメント。
  9. 前記メモリセルは、該メモリセルを制御する機能セル、入出力セルおよび/または算術的論理ユニットを備えた該メモリセルを制御しないセルからの情報を受信するよう配置されている、請求項1から8までのいずれか1項記載のセルエレメントフィールド。
  10. 前記機能セル・メモリセル組合せには、外部ユニットおよび/または他の機能セル、機能セル・メモリセル組合せおよび/またはメモリセルに情報を送信する、および/または外部ユニットおよび/または他の機能セル、機能セル・メモリセル組合せおよび/またはメモリセルから情報を受信する、少なくとも1つの入出力手段が配属されている、請求項1から9までのいずれか1項記載のセルエレメントフィールド。
  11. 前記入出力手段は同様に前記機能セルから制御命令を受信するよう構成されている、請求項1から10までのいずれか1項記載のセルエレメントフィールド。
  12. 制御部は以下の命令の少なくとも一部、殊に全てを伝送するよう構成されている、および/または前記メモリセルないし入出力セルは以下の命令を復号するよう構成されている:それぞれ例えば内部および/または外部アクセスに関するDATA WRITE/READ、ADRESSPOINTER WRITE/READ、PROGRAMMPOINTER WRITE/READ、PROGRAMMPOINTER INCREMENT、STACKPOINTER WRITE/READならびにPUSH、POP、OPCODE、FETCH、
    請求項1から11までのいずれか1項記載のセルエレメントフィールド。
  13. 前記機能セルはただ1つのマスタとして、制御コネクションおよび/または制御コネクションとして使用されるバス部にアクセス可能である、請求項1から12までのいずれか1項記載のセルエレメントフィールド。
  14. 前記機能セルはメモリセルおよび入出力セルのうちの少なくとも1つに隣接して配置されている、請求項1から13までのいずれか1項記載のデータ処理のためのセルエレメントフィールド。
  15. 前記セルエレメントは多次元、例えばマトリクス状に配置されており、前記機能セルおよび/または隣接するメモリセルないし入出力セルは上の列からデータを受信可能であり、下の列にデータを出力可能であり、列内にバスが設けられており、前記機能セルおよび少なくとも1つのメモリセルおよび/または入出力セルは同一の列に存在する、請求項1から14までのいずれか1項記載のセルエレメントフィールド。
  16. 代数的および/または論理的な機能を実施する機能セルおよび情報供給セル、例えばメモリセルおよび/または情報を受信および/または出力するおよび/または記憶する入出力セルを備えたセルエレメントフィールド、例えば多次元セルエレメントフィールドを動作させる方法において、
    それぞれが1つの機能セル手段および1つのメモリセル手段を含む複数の機能セル・メモリセル組合せを前記セルエレメントフィールド内に形成し、前記機能セル手段および前記メモリセル手段をそれぞれ制御コネクションを介して相互に接続し、
    少なくとも1つの前記機能セルは制御命令を少なくとも1つの情報供給セルに出力し、該情報供給セルにおいては前記機能セルに対する制御命令情報に応答して処理を行い、
    前記機能セルはシーケンサ的にデータを処理するために前記情報供給セルから供給される情報に応答して別のデータ処理を実施するよう構成されていることを特徴とする、セルエレメントフィールドを動作させる方法。
  17. 前記機能セルは以下の制御命令:
    OPCODE FETCH、
    DATA WRITE INTERN、
    DATA WRITE EXTERN、
    DATA READ INTERN、
    DATA READ EXTERN、
    ADRESSPOINTER WRITE INTERN、
    ADRESSPOINTER WRITE EXTERN、
    ADRESSPOINTER READ INTERN、
    ADRESSPOINTER READ EXTERN、
    PROGRAMMPOINTER WRITE INTERN、
    PROGRAMMPOINTER WRITE EXTERN、
    PROGRAMMPOINTER READ INTERN、
    PROGRAMMPOINTER READ EXTERN、
    STACKPOINTER WRITE INTERN、
    STACKPOINTER WRITE EXTERN、
    STACKPOINTER READ INTERN、
    STACKPOINTER READ EXTERN、
    PUSH、
    POP、
    PROGRAMMPOINTER INCREMENT
    のうちの少なくとも一部を出力し、前記セルエレメントの動作中に前記制御命令の少なくとも一部、殊に全てを必要に応じて出力する、請求項16記載の方法。
JP2004545763A 2002-09-06 2003-09-08 リコンフィギュアラブルなシーケンサ構造 Expired - Lifetime JP4388895B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
DE2002141812 DE10241812A1 (de) 2002-09-06 2002-09-06 Rekonfigurierbare Sequenzerstruktur
DE10315295 2003-04-04
DE10321834 2003-05-15
EP03019428 2003-08-28
PCT/EP2003/009957 WO2004038599A1 (de) 2002-09-06 2003-09-08 Rekonfigurierbare sequenzerstruktur

Publications (2)

Publication Number Publication Date
JP2006501782A JP2006501782A (ja) 2006-01-12
JP4388895B2 true JP4388895B2 (ja) 2009-12-24

Family

ID=32180554

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004545763A Expired - Lifetime JP4388895B2 (ja) 2002-09-06 2003-09-08 リコンフィギュアラブルなシーケンサ構造

Country Status (5)

Country Link
US (9) US7394284B2 (ja)
EP (1) EP1537486A1 (ja)
JP (1) JP4388895B2 (ja)
AU (1) AU2003289844A1 (ja)
WO (1) WO2004038599A1 (ja)

Families Citing this family (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9092595B2 (en) 1997-10-08 2015-07-28 Pact Xpp Technologies Ag Multiprocessor having associated RAM units
US7003660B2 (en) * 2000-06-13 2006-02-21 Pact Xpp Technologies Ag Pipeline configuration unit protocols and communication
JP2003505753A (ja) 1999-06-10 2003-02-12 ペーアーツェーテー インフォルマツィオーンステヒノロギー ゲゼルシャフト ミット ベシュレンクテル ハフツング セル構造におけるシーケンス分割方法
US8058899B2 (en) 2000-10-06 2011-11-15 Martin Vorbach Logic cell array and bus system
US9250908B2 (en) 2001-03-05 2016-02-02 Pact Xpp Technologies Ag Multi-processor bus and cache interconnection system
US9552047B2 (en) 2001-03-05 2017-01-24 Pact Xpp Technologies Ag Multiprocessor having runtime adjustable clock and clock dependent power supply
US9411532B2 (en) 2001-09-07 2016-08-09 Pact Xpp Technologies Ag Methods and systems for transferring data between a processing device and external devices
US9436631B2 (en) 2001-03-05 2016-09-06 Pact Xpp Technologies Ag Chip including memory element storing higher level memory data on a page by page basis
US9037807B2 (en) * 2001-03-05 2015-05-19 Pact Xpp Technologies Ag Processor arrangement on a chip including data processing, memory, and interface elements
US9141390B2 (en) 2001-03-05 2015-09-22 Pact Xpp Technologies Ag Method of processing data with an array of data processors according to application ID
US7624204B2 (en) * 2001-03-22 2009-11-24 Nvidia Corporation Input/output controller node in an adaptable computing environment
US10031733B2 (en) 2001-06-20 2018-07-24 Scientia Sol Mentis Ag Method for processing data
US7594229B2 (en) * 2001-10-09 2009-09-22 Nvidia Corp. Predictive resource allocation in computing systems
US7644279B2 (en) * 2001-12-05 2010-01-05 Nvidia Corporation Consumer product distribution in the embedded system market
US9170812B2 (en) 2002-03-21 2015-10-27 Pact Xpp Technologies Ag Data processing system having integrated pipelined array data processor
US7093255B1 (en) * 2002-05-31 2006-08-15 Quicksilver Technology, Inc. Method for estimating cost when placing operations within a modulo scheduler when scheduling for processors with a large number of function units or reconfigurable data paths
US7620678B1 (en) 2002-06-12 2009-11-17 Nvidia Corporation Method and system for reducing the time-to-market concerns for embedded system design
US7802108B1 (en) 2002-07-18 2010-09-21 Nvidia Corporation Secure storage of program code for an embedded system
JP4388895B2 (ja) 2002-09-06 2009-12-24 ペーアーツェーテー イクスペーペー テクノロジーズ アクチエンゲゼルシャフト リコンフィギュアラブルなシーケンサ構造
US7502915B2 (en) * 2002-09-30 2009-03-10 Nvidia Corporation System and method using embedded microprocessor as a node in an adaptable computing machine
US8949576B2 (en) * 2002-11-01 2015-02-03 Nvidia Corporation Arithmetic node including general digital signal processing functions for an adaptive computing machine
US7617100B1 (en) 2003-01-10 2009-11-10 Nvidia Corporation Method and system for providing an excitation-pattern based audio coding scheme
US9330060B1 (en) * 2003-04-15 2016-05-03 Nvidia Corporation Method and device for encoding and decoding video image data
US8296764B2 (en) * 2003-08-14 2012-10-23 Nvidia Corporation Internal synchronization control for adaptive integrated circuitry
US8130825B2 (en) * 2004-05-10 2012-03-06 Nvidia Corporation Processor for video data encoding/decoding
US8018463B2 (en) * 2004-05-10 2011-09-13 Nvidia Corporation Processor for video data
TWI256013B (en) * 2004-10-12 2006-06-01 Uli Electronics Inc Sound-effect processing circuit
US8731071B1 (en) 2005-12-15 2014-05-20 Nvidia Corporation System for performing finite input response (FIR) filtering in motion estimation
US7999820B1 (en) 2006-10-23 2011-08-16 Nvidia Corporation Methods and systems for reusing memory addresses in a graphics system
US20080111923A1 (en) * 2006-11-09 2008-05-15 Scheuermann W James Processor for video data
US7761728B2 (en) * 2007-01-23 2010-07-20 International Business Machines Corporation Apparatus, system, and method for resetting an inter-integrated circuit data line with a clock line
US8169789B1 (en) 2007-04-10 2012-05-01 Nvidia Corporation Graphics processing unit stiffening frame
US7987065B1 (en) 2007-04-17 2011-07-26 Nvidia Corporation Automatic quality testing of multimedia rendering by software drivers
US8572598B1 (en) 2007-04-18 2013-10-29 Nvidia Corporation Method and system for upgrading software in a computing device
US8756482B2 (en) 2007-05-25 2014-06-17 Nvidia Corporation Efficient encoding/decoding of a sequence of data frames
US8726283B1 (en) 2007-06-04 2014-05-13 Nvidia Corporation Deadlock avoidance skid buffer
US7944453B1 (en) 2007-06-07 2011-05-17 Nvidia Corporation Extrapolation texture filtering for nonresident mipmaps
US7948500B2 (en) * 2007-06-07 2011-05-24 Nvidia Corporation Extrapolation of nonresident mipmap data using resident mipmap data
US9118927B2 (en) 2007-06-13 2015-08-25 Nvidia Corporation Sub-pixel interpolation and its application in motion compensated encoding of a video signal
US8873625B2 (en) 2007-07-18 2014-10-28 Nvidia Corporation Enhanced compression in representing non-frame-edge blocks of image frames
US9081901B2 (en) * 2007-10-31 2015-07-14 Raytheon Company Means of control for reconfigurable computers
US8742794B2 (en) * 2008-04-11 2014-06-03 Massachusetts Institute Of Technology Analog logic automata
US8359479B2 (en) * 2008-07-17 2013-01-22 Lsi Corporation High performance arithmetic logic unit (ALU) for cryptographic applications with built-in countermeasures against side channel attacks
GB2474250B (en) * 2009-10-07 2015-05-06 Advanced Risc Mach Ltd Video reference frame retrieval
US9204460B2 (en) 2011-06-06 2015-12-01 Telefonaktiebolaget L M Ericsson (Publ) Methods and systems for a generic multi-radio access technology
US8782161B2 (en) * 2011-06-30 2014-07-15 Oracle International Corporation Method and system for offloading computation flexibly to a communication adapter
US10534608B2 (en) * 2011-08-17 2020-01-14 International Business Machines Corporation Local computation logic embedded in a register file to accelerate programs
US8476926B1 (en) 2012-02-08 2013-07-02 Altera Corporation Method and apparatus for implementing periphery devices on a programmable circuit using partial reconfiguration
US9160617B2 (en) 2012-09-28 2015-10-13 International Business Machines Corporation Faulty core recovery mechanisms for a three-dimensional network on a processor array
US8990616B2 (en) * 2012-09-28 2015-03-24 International Business Machines Corporation Final faulty core recovery mechanisms for a two-dimensional network on a processor array
US9553590B1 (en) * 2012-10-29 2017-01-24 Altera Corporation Configuring programmable integrated circuit device resources as processing elements
CN103853620B (zh) 2012-11-30 2017-06-09 华为技术有限公司 一种众核处理器进程间相互通信的方法、装置及***
JP2016178229A (ja) 2015-03-20 2016-10-06 株式会社東芝 再構成可能な回路
US10242728B2 (en) * 2016-10-27 2019-03-26 Samsung Electronics Co., Ltd. DPU architecture
US10732866B2 (en) 2016-10-27 2020-08-04 Samsung Electronics Co., Ltd. Scaling out architecture for DRAM-based processing unit (DPU)
KR102424962B1 (ko) 2017-11-15 2022-07-25 삼성전자주식회사 병렬 연산 처리를 수행하는 메모리 장치 및 이를 포함하는 메모리 모듈
US11803507B2 (en) 2018-10-29 2023-10-31 Secturion Systems, Inc. Data stream protocol field decoding by a systolic array
CN111737151B (zh) * 2020-07-27 2020-11-20 深圳诚一信科技有限公司 一种软件交互测试***

Family Cites Families (748)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2067477A (en) 1931-03-20 1937-01-12 Allis Chalmers Mfg Co Gearing
US2748872A (en) 1954-10-04 1956-06-05 Madge Johnston Well tool anchoring device
GB971191A (en) 1962-05-28 1964-09-30 Wolf Electric Tools Ltd Improvements relating to electrically driven equipment
US3473160A (en) 1966-10-10 1969-10-14 Stanford Research Inst Electronically controlled microelectronic cellular logic array
US3531662A (en) 1967-04-10 1970-09-29 Sperry Rand Corp Batch fabrication arrangement for integrated circuits
US3564506A (en) 1968-01-17 1971-02-16 Ibm Instruction retry byte counter
GB1253309A (en) 1969-11-21 1971-11-10 Marconi Co Ltd Improvements in or relating to data processing arrangements
US3753008A (en) 1970-06-20 1973-08-14 Honeywell Inf Systems Memory pre-driver circuit
DE2057312A1 (de) 1970-11-21 1972-05-25 Bhs Bayerische Berg Planetenradgetriebe mit Lastdruckausgleich
US5459846A (en) 1988-12-02 1995-10-17 Hyatt; Gilbert P. Computer architecture system having an imporved memory
US3754211A (en) 1971-12-30 1973-08-21 Ibm Fast error recovery communication controller
US3855577A (en) 1973-06-11 1974-12-17 Texas Instruments Inc Power saving circuit for calculator system
US3956589A (en) 1973-11-26 1976-05-11 Paradyne Corporation Data telecommunication system
US4020469A (en) 1975-04-09 1977-04-26 Frank Manning Programmable arrays
GB1574058A (en) 1976-03-26 1980-09-03 Tokyo Shibaura Electric Co Power supply control in a memory system
US4233667A (en) 1978-10-23 1980-11-11 International Business Machines Corporation Demand powered programmable logic array
US4412303A (en) 1979-11-26 1983-10-25 Burroughs Corporation Array processor architecture
CA1174370A (en) 1980-05-19 1984-09-11 Hidekazu Matsumoto Data processing unit with pipelined operands
US4414547A (en) 1981-08-05 1983-11-08 General Instrument Corporation Storage logic array having two conductor data column
US4498134A (en) 1982-01-26 1985-02-05 Hughes Aircraft Company Segregator functional plane for use in a modular array processor
US4489857A (en) 1982-03-22 1984-12-25 Bobrick Washroom Equipment, Inc. Liquid dispenser
US4590583A (en) 1982-07-16 1986-05-20 At&T Bell Laboratories Coin telephone measurement circuitry
US4498172A (en) 1982-07-26 1985-02-05 General Electric Company System for polynomial division self-testing of digital networks
US4667190A (en) 1982-07-30 1987-05-19 Honeywell Inc. Two axis fast access memory
JPS5936857A (ja) 1982-08-25 1984-02-29 Nec Corp プロセツサユニツト
US4539637A (en) 1982-08-26 1985-09-03 At&T Bell Laboratories Method and apparatus for handling interprocessor calls in a multiprocessor system
US4663706A (en) 1982-10-28 1987-05-05 Tandem Computers Incorporated Multiprocessor multisystem communications network
US4594682A (en) 1982-12-22 1986-06-10 Ibm Corporation Vector processing
US4739474A (en) 1983-03-10 1988-04-19 Martin Marietta Corporation Geometric-arithmetic parallel processor
US4566102A (en) 1983-04-18 1986-01-21 International Business Machines Corporation Parallel-shift error reconfiguration
US5123109A (en) 1983-05-31 1992-06-16 Thinking Machines Corporation Parallel processor including a processor array with plural data transfer arrangements including (1) a global router and (2) a proximate-neighbor transfer system
US4571736A (en) 1983-10-31 1986-02-18 University Of Southwestern Louisiana Digital communication system employing differential coding and sample robbing
US4646300A (en) 1983-11-14 1987-02-24 Tandem Computers Incorporated Communications method
USRE34363E (en) 1984-03-12 1993-08-31 Xilinx, Inc. Configurable electrical circuit having configurable logic elements and configurable interconnects
US4870302A (en) 1984-03-12 1989-09-26 Xilinx, Inc. Configurable electrical circuit having configurable logic elements and configurable interconnects
JPS60198618A (ja) 1984-03-21 1985-10-08 Oki Electric Ind Co Ltd ダイナミツク論理回路
US4577293A (en) 1984-06-01 1986-03-18 International Business Machines Corporation Distributed, on-chip cache
US4761755A (en) 1984-07-11 1988-08-02 Prime Computer, Inc. Data processing system and method having an improved arithmetic unit
US4642487A (en) 1984-09-26 1987-02-10 Xilinx, Inc. Special interconnect for configurable logic array
US4682284A (en) 1984-12-06 1987-07-21 American Telephone & Telegraph Co., At&T Bell Lab. Queue administration method and apparatus
US4623997A (en) 1984-12-13 1986-11-18 United Technologies Corporation Coherent interface with wraparound receive and transmit memories
EP0190813B1 (en) 1985-01-29 1991-09-18 The Secretary of State for Defence in Her Britannic Majesty's Government of the United Kingdom of Great Britain and Processing cell for fault tolerant arrays
US4720778A (en) * 1985-01-31 1988-01-19 Hewlett Packard Company Software debugging analyzer
US5023775A (en) 1985-02-14 1991-06-11 Intel Corporation Software programmable logic array utilizing "and" and "or" gates
US5247689A (en) 1985-02-25 1993-09-21 Ewert Alfred P Parallel digital processor including lateral transfer buses with interrupt switches to form bus interconnection segments
US4706216A (en) 1985-02-27 1987-11-10 Xilinx, Inc. Configurable logic element
US5225719A (en) 1985-03-29 1993-07-06 Advanced Micro Devices, Inc. Family of multiple segmented programmable logic blocks interconnected by a high speed centralized switch matrix
US5015884A (en) 1985-03-29 1991-05-14 Advanced Micro Devices, Inc. Multiple array high performance programmable logic device family
US4972314A (en) 1985-05-20 1990-11-20 Hughes Aircraft Company Data flow signal processor method and apparatus
US4967340A (en) 1985-06-12 1990-10-30 E-Systems, Inc. Adaptive processing system having an array of individually configurable processing components
GB8517376D0 (en) 1985-07-09 1985-08-14 Jesshope C R Processor array
US4748580A (en) 1985-08-30 1988-05-31 Advanced Micro Devices, Inc. Multi-precision fixed/floating-point processor
US4720780A (en) 1985-09-17 1988-01-19 The Johns Hopkins University Memory-linked wavefront array processor
US4722084A (en) 1985-10-02 1988-01-26 Itt Corporation Array reconfiguration apparatus and methods particularly adapted for use with very large scale integrated circuits
EP0221360B1 (en) 1985-11-04 1992-12-30 International Business Machines Corporation Digital data message transmission networks and the establishing of communication paths therein
US5070475A (en) 1985-11-14 1991-12-03 Data General Corporation Floating point unit interface
US4700187A (en) 1985-12-02 1987-10-13 Concurrent Logic, Inc. Programmable, asynchronous logic cell and array
US4852048A (en) 1985-12-12 1989-07-25 Itt Corporation Single instruction multiple data (SIMD) cellular array processing apparatus employing a common bus where a first number of bits manifest a first bus portion and a second number of bits manifest a second bus portion
US4720822A (en) 1986-03-07 1988-01-19 International Business Machines Corporation High frequency signal measurement method and apparatus
US4882687A (en) * 1986-03-31 1989-11-21 Schlumberger Technology Corporation Pixel processor
US5021947A (en) 1986-03-31 1991-06-04 Hughes Aircraft Company Data-flow multiprocessor architecture with three dimensional multistage interconnection network for efficient signal and data processing
US4724307A (en) 1986-04-29 1988-02-09 Gtech Corporation Marked card reader
US5034914A (en) 1986-05-15 1991-07-23 Aquidneck Systems International, Inc. Optical disk data storage method and apparatus with buffered interface
GB8612396D0 (en) 1986-05-21 1986-06-25 Hewlett Packard Ltd Chain-configured interface bus system
US4760525A (en) 1986-06-10 1988-07-26 The United States Of America As Represented By The Secretary Of The Air Force Complex arithmetic vector processor for performing control function, scalar operation, and set-up of vector signal processing instruction
US4791603A (en) 1986-07-18 1988-12-13 Honeywell Inc. Dynamically reconfigurable array logic
US4860201A (en) 1986-09-02 1989-08-22 The Trustees Of Columbia University In The City Of New York Binary tree parallel processor
US4910665A (en) 1986-09-02 1990-03-20 General Electric Company Distributed processing system including reconfigurable elements
US5367208A (en) * 1986-09-19 1994-11-22 Actel Corporation Reconfigurable programmable interconnect architecture
US4884231A (en) * 1986-09-26 1989-11-28 Performance Semiconductor Corporation Microprocessor system with extended arithmetic logic unit
GB2211638A (en) 1987-10-27 1989-07-05 Ibm Simd array processor
US4768196A (en) 1986-10-28 1988-08-30 Silc Technologies, Inc. Programmable logic array
JP2900359B2 (ja) 1986-10-30 1999-06-02 株式会社日立製作所 マルチプロセッサシステム
FR2606184B1 (fr) 1986-10-31 1991-11-29 Thomson Csf Dispositif de calcul reconfigurable
US4918440A (en) 1986-11-07 1990-04-17 Furtek Frederick C Programmable logic cell and array
US4811214A (en) 1986-11-14 1989-03-07 Princeton University Multinode reconfigurable pipeline computer
US4786904A (en) 1986-12-15 1988-11-22 Zoran Corporation Electronically programmable gate array having programmable interconnect lines
US4837735A (en) 1987-06-09 1989-06-06 Martin Marietta Energy Systems, Inc. Parallel machine architecture for production rule systems
US5226122A (en) 1987-08-21 1993-07-06 Compaq Computer Corp. Programmable logic system for filtering commands to a microprocessor
CA1299757C (en) 1987-08-28 1992-04-28 Brent Cameron Beardsley Device initiated partial system quiescing
US5119290A (en) 1987-10-02 1992-06-02 Sun Microsystems, Inc. Alias address support
US4862407A (en) 1987-10-05 1989-08-29 Motorola, Inc. Digital signal processing apparatus
CA1286421C (en) 1987-10-14 1991-07-16 Martin Claude Lefebvre Message fifo buffer controller
US5115510A (en) 1987-10-20 1992-05-19 Sharp Kabushiki Kaisha Multistage data flow processor with instruction packet, fetch, storage transmission and address generation controlled by destination information
US5081575A (en) 1987-11-06 1992-01-14 Oryx Corporation Highly parallel computer architecture employing crossbar switch with selectable pipeline delay
US5113498A (en) 1987-11-10 1992-05-12 Echelon Corporation Input/output section for an intelligent cell which provides sensing, bidirectional communications and control
US5031179A (en) 1987-11-10 1991-07-09 Canon Kabushiki Kaisha Data communication apparatus
US4918690A (en) 1987-11-10 1990-04-17 Echelon Systems Corp. Network and intelligent cell for providing sensing, bidirectional communications and control
NL8800053A (nl) 1988-01-11 1989-08-01 Philips Nv Videoprocessorsysteem, alsmede afbeeldingssysteem en beeldopslagsysteem, voorzien van een dergelijk videoprocessorsysteem.
US5197016A (en) 1988-01-13 1993-03-23 International Chip Corporation Integrated silicon-software compiler
NL8800071A (nl) 1988-01-13 1989-08-01 Philips Nv Dataprocessorsysteem en videoprocessorsysteem, voorzien van een dergelijk dataprocessorsysteem.
USRE34444E (en) * 1988-01-13 1993-11-16 Xilinx, Inc. Programmable logic device
ATE109910T1 (de) 1988-01-20 1994-08-15 Advanced Micro Devices Inc Organisation eines integrierten cachespeichers zur flexiblen anwendung zur unterstützung von multiprozessor-operationen.
US5261113A (en) 1988-01-25 1993-11-09 Digital Equipment Corporation Apparatus and method for single operand register array for vector and scalar data processing operations
US5303172A (en) 1988-02-16 1994-04-12 Array Microsystems Pipelined combination and vector signal processor
US4959781A (en) 1988-05-16 1990-09-25 Stardent Computer, Inc. System for assigning interrupts to least busy processor that already loaded same class of interrupt routines
JP2741867B2 (ja) 1988-05-27 1998-04-22 株式会社日立製作所 情報処理システムおよびプロセツサ
JPH06101043B2 (ja) 1988-06-30 1994-12-12 三菱電機株式会社 マイクロコンピュータ
US4939641A (en) 1988-06-30 1990-07-03 Wang Laboratories, Inc. Multi-processor system with cache memories
US5287511A (en) 1988-07-11 1994-02-15 Star Semiconductor Corporation Architectures and methods for dividing processing tasks into tasks for a programmable real time signal processor and tasks for a decision making microprocessor interfacing therewith
JPH03500461A (ja) 1988-07-22 1991-01-31 アメリカ合衆国 データ駆動式計算用のデータ流れ装置
US5010401A (en) 1988-08-11 1991-04-23 Mitsubishi Denki Kabushiki Kaisha Picture coding and decoding apparatus using vector quantization
US5204935A (en) 1988-08-19 1993-04-20 Fuji Xerox Co., Ltd. Programmable fuzzy logic circuits
US4901268A (en) 1988-08-19 1990-02-13 General Electric Company Multiple function data processor
US5353432A (en) 1988-09-09 1994-10-04 Compaq Computer Corporation Interactive method for configuration of computer system and circuit boards with user specification of system resources and computer resolution of resource conflicts
ES2047629T3 (es) 1988-09-22 1994-03-01 Siemens Ag Disposicion de circuito para instalaciones de conmutacion de telecomunicaciones, especialmente instalaciones de conmutacion telefonica de multiplexacion temporal-pcm con campo de acoplamiento central y campos de acoplamiento parcial conectados.
US5452231A (en) 1988-10-05 1995-09-19 Quickturn Design Systems, Inc. Hierarchically connected reconfigurable logic assembly
ATE131643T1 (de) 1988-10-05 1995-12-15 Quickturn Systems Inc Verfahren zur verwendung einer elektronisch wiederkonfigurierbaren gatterfeld-logik und dadurch hergestelltes gerät
JP2930341B2 (ja) 1988-10-07 1999-08-03 マーチン・マリエッタ・コーポレーション データ並列処理装置
US5014193A (en) 1988-10-14 1991-05-07 Compaq Computer Corporation Dynamically configurable portable computer system
US5136717A (en) 1988-11-23 1992-08-04 Flavors Technology Inc. Realtime systolic, multiple-instruction, single-data parallel computer system
US5041924A (en) 1988-11-30 1991-08-20 Quantum Corporation Removable and transportable hard disk subsystem
US5081375A (en) 1989-01-19 1992-01-14 National Semiconductor Corp. Method for operating a multiple page programmable logic device
US5245616A (en) 1989-02-24 1993-09-14 Rosemount Inc. Technique for acknowledging packets
GB8906145D0 (en) 1989-03-17 1989-05-04 Algotronix Ltd Configurable cellular array
US5203005A (en) 1989-05-02 1993-04-13 Horst Robert W Cell structure for linear array wafer scale integration architecture with capability to open boundary i/o bus without neighbor acknowledgement
US5237686A (en) 1989-05-10 1993-08-17 Mitsubishi Denki Kabushiki Kaisha Multiprocessor type time varying image encoding system and image processor with memory bus control table for arbitration priority
US5109503A (en) 1989-05-22 1992-04-28 Ge Fanuc Automation North America, Inc. Apparatus with reconfigurable counter includes memory for storing plurality of counter configuration files which respectively define plurality of predetermined counters
JP2584673B2 (ja) 1989-06-09 1997-02-26 株式会社日立製作所 テストデータ変更回路を有する論理回路テスト装置
US5343406A (en) 1989-07-28 1994-08-30 Xilinx, Inc. Distributed memory architecture for a configurable logic array and method for using distributed memory
CA2021192A1 (en) 1989-07-28 1991-01-29 Malcolm A. Mumme Simplified synchronous mesh processor
US5233539A (en) 1989-08-15 1993-08-03 Advanced Micro Devices, Inc. Programmable gate array with improved interconnect structure, input/output structure and configurable logic block
US5212652A (en) 1989-08-15 1993-05-18 Advanced Micro Devices, Inc. Programmable gate array with improved interconnect structure
US5489857A (en) 1992-08-03 1996-02-06 Advanced Micro Devices, Inc. Flexible synchronous/asynchronous cell structure for a high density programmable logic device
US5128559A (en) 1989-09-29 1992-07-07 Sgs-Thomson Microelectronics, Inc. Logic block for programmable logic devices
JP2968289B2 (ja) 1989-11-08 1999-10-25 株式会社リコー 中央演算処理装置
GB8925723D0 (en) 1989-11-14 1990-01-04 Amt Holdings Processor array system
GB8925721D0 (en) 1989-11-14 1990-01-04 Amt Holdings Processor array system
US5522083A (en) 1989-11-17 1996-05-28 Texas Instruments Incorporated Reconfigurable multi-processor operating in SIMD mode with one processor fetching instructions for use by remaining processors
US5212777A (en) 1989-11-17 1993-05-18 Texas Instruments Incorporated Multi-processor reconfigurable in single instruction multiple data (SIMD) and multiple instruction multiple data (MIMD) modes and method of operation
DE58908974D1 (de) 1989-11-21 1995-03-16 Itt Ind Gmbh Deutsche Datengesteuerter Arrayprozessor.
US5099447A (en) 1990-01-22 1992-03-24 Alliant Computer Systems Corporation Blocked matrix multiplication for computers with hierarchical memory
WO1991011765A1 (en) 1990-01-29 1991-08-08 Teraplex, Inc. Architecture for minimal instruction set computing system
US5125801A (en) 1990-02-02 1992-06-30 Isco, Inc. Pumping system
JPH03254497A (ja) 1990-03-05 1991-11-13 Mitsubishi Electric Corp マイクロコンピュータ
JP3118266B2 (ja) 1990-03-06 2000-12-18 ゼロックス コーポレイション 同期セグメントバスとバス通信方法
US5036493A (en) 1990-03-15 1991-07-30 Digital Equipment Corporation System and method for reducing power usage by multiple memory modules
US5142469A (en) 1990-03-29 1992-08-25 Ge Fanuc Automation North America, Inc. Method for converting a programmable logic controller hardware configuration and corresponding control program for use on a first programmable logic controller to use on a second programmable logic controller
US5555201A (en) 1990-04-06 1996-09-10 Lsi Logic Corporation Method and system for creating and validating low level description of electronic design from higher level, behavior-oriented description, including interactive system for hierarchical display of control and dataflow information
IL96808A (en) 1990-04-18 1996-03-31 Rambus Inc Introductory / Origin Circuit Agreed Using High-Performance Brokerage
EP0463721A3 (en) 1990-04-30 1993-06-16 Gennum Corporation Digital signal processing device
US5355508A (en) 1990-05-07 1994-10-11 Mitsubishi Denki Kabushiki Kaisha Parallel data processing system combining a SIMD unit with a MIMD unit and sharing a common bus, memory, and system controller
US5198705A (en) 1990-05-11 1993-03-30 Actel Corporation Logic module with configurable combinational and sequential blocks
US5483620A (en) 1990-05-22 1996-01-09 International Business Machines Corp. Learning machine synapse processor system apparatus
US5193202A (en) 1990-05-29 1993-03-09 Wavetracer, Inc. Processor array with relocated operand physical address generator capable of data transfer to distant physical processor for each virtual processor while simulating dimensionally larger array processor
CA2045773A1 (en) 1990-06-29 1991-12-30 Compaq Computer Corporation Byte-compare operation for high-performance processor
US5111079A (en) 1990-06-29 1992-05-05 Sgs-Thomson Microelectronics, Inc. Power reduction circuit for programmable logic device
SE9002558D0 (sv) 1990-08-02 1990-08-02 Carlstedt Elektronik Ab Processor
DE4129614C2 (de) 1990-09-07 2002-03-21 Hitachi Ltd System und Verfahren zur Datenverarbeitung
US5274593A (en) 1990-09-28 1993-12-28 Intergraph Corporation High speed redundant rows and columns for semiconductor memories
US5305446A (en) * 1990-09-28 1994-04-19 Texas Instruments Incorporated Processing devices with improved addressing capabilities, systems and methods
US5076482A (en) 1990-10-05 1991-12-31 The Fletcher Terry Company Pneumatic point driver
US5144166A (en) 1990-11-02 1992-09-01 Concurrent Logic, Inc. Programmable logic cell and array
US5245227A (en) 1990-11-02 1993-09-14 Atmel Corporation Versatile programmable logic cell for use in configurable logic arrays
US5794059A (en) 1990-11-13 1998-08-11 International Business Machines Corporation N-dimensional modified hypercube
US5765011A (en) 1990-11-13 1998-06-09 International Business Machines Corporation Parallel processing system having a synchronous SIMD processing with processing elements emulating SIMD operation using individual instruction streams
ATE180586T1 (de) 1990-11-13 1999-06-15 Ibm Paralleles assoziativprozessor-system
US5734921A (en) * 1990-11-13 1998-03-31 International Business Machines Corporation Advanced parallel array processor computer package
US5588152A (en) 1990-11-13 1996-12-24 International Business Machines Corporation Advanced parallel processor including advanced support hardware
US5625836A (en) 1990-11-13 1997-04-29 International Business Machines Corporation SIMD/MIMD processing memory element (PME)
US5590345A (en) * 1990-11-13 1996-12-31 International Business Machines Corporation Advanced parallel array processor(APAP)
US5617577A (en) 1990-11-13 1997-04-01 International Business Machines Corporation Advanced parallel array processor I/O connection
US5708836A (en) * 1990-11-13 1998-01-13 International Business Machines Corporation SIMD/MIMD inter-processor communication
CA2051222C (en) 1990-11-30 1998-05-05 Pradeep S. Sindhu Consistent packet switched memory bus for shared memory multiprocessors
US5613128A (en) 1990-12-21 1997-03-18 Intel Corporation Programmable multi-processor interrupt controller system with a processor integrated local interrupt controller
US5276836A (en) 1991-01-10 1994-01-04 Hitachi, Ltd. Data processing device with common memory connecting mechanism
US5301284A (en) 1991-01-16 1994-04-05 Walker-Estes Corporation Mixed-resolution, N-dimensional object space method and apparatus
US5301344A (en) 1991-01-29 1994-04-05 Analogic Corporation Multibus sequential processor to perform in parallel a plurality of reconfigurable logic operations on a plurality of data sets
JP2867717B2 (ja) 1991-02-01 1999-03-10 日本電気株式会社 マイクロコンピュータ
US5212716A (en) 1991-02-05 1993-05-18 International Business Machines Corporation Data edge phase sorting circuits
US5218302A (en) 1991-02-06 1993-06-08 Sun Electric Corporation Interface for coupling an analyzer to a distributorless ignition system
DE59109046D1 (de) 1991-02-22 1998-10-08 Siemens Ag Programmierverfahren für einen Logikbaustein
JPH04290155A (ja) 1991-03-19 1992-10-14 Fujitsu Ltd 並列データ処理方式
JPH04293151A (ja) 1991-03-20 1992-10-16 Fujitsu Ltd 並列データ処理方式
US5617547A (en) * 1991-03-29 1997-04-01 International Business Machines Corporation Switch network extension of bus architecture
WO1992018935A1 (en) 1991-04-09 1992-10-29 Fujitsu Limited Data processor and data processing method
JPH04328657A (ja) 1991-04-30 1992-11-17 Toshiba Corp キャッシュメモリ
US5446904A (en) 1991-05-17 1995-08-29 Zenith Data Systems Corporation Suspend/resume capability for a protected mode microprocessor
EP0586557A4 (en) 1991-05-24 1995-04-12 British Tech Group Usa COMPILER OPTIMIZATION FOR COMPUTERS.
US5659797A (en) 1991-06-24 1997-08-19 U.S. Philips Corporation Sparc RISC based computer system including a single chip processor with memory management and DMA units coupled to a DRAM interface
JP3259969B2 (ja) 1991-07-09 2002-02-25 株式会社東芝 キャッシュメモリ制御装置
US5347639A (en) 1991-07-15 1994-09-13 International Business Machines Corporation Self-parallelizing computer system and method
US5338984A (en) 1991-08-29 1994-08-16 National Semiconductor Corp. Local and express diagonal busses in a configurable logic array
US5298805A (en) 1991-08-29 1994-03-29 National Semiconductor Corporation Versatile and efficient cell-to-local bus interface in a configurable logic array
US5581731A (en) 1991-08-30 1996-12-03 King; Edward C. Method and apparatus for managing video data for faster access by selectively caching video data
US5550782A (en) 1991-09-03 1996-08-27 Altera Corporation Programmable logic array integrated circuits
US5260610A (en) 1991-09-03 1993-11-09 Altera Corporation Programmable logic element interconnections for programmable logic array integrated circuits
US5633830A (en) 1995-11-08 1997-05-27 Altera Corporation Random access memory block circuitry for programmable logic array integrated circuit devices
FR2681791B1 (fr) 1991-09-27 1994-05-06 Salomon Sa Dispositif d'amortissement des vibrations pour club de golf.
CA2073516A1 (en) 1991-11-27 1993-05-28 Peter Michael Kogge Dynamic multi-mode parallel processor array architecture computer system
AU2939892A (en) 1991-12-06 1993-06-28 Richard S. Norman Massively-parallel direct output processor array
US5208491A (en) 1992-01-07 1993-05-04 Washington Research Foundation Field programmable gate array
FR2686175B1 (fr) 1992-01-14 1996-12-20 Andre Thepaut Systeme de traitement de donnees multiprocesseur.
US5412795A (en) 1992-02-25 1995-05-02 Micral, Inc. State machine having a variable timing mechanism for varying the duration of logical output states of the state machine based on variation in the clock frequency
JP2791243B2 (ja) * 1992-03-13 1998-08-27 株式会社東芝 階層間同期化システムおよびこれを用いた大規模集積回路
US5452401A (en) 1992-03-31 1995-09-19 Seiko Epson Corporation Selective power-down for high performance CPU/system
JP2647327B2 (ja) 1992-04-06 1997-08-27 インターナショナル・ビジネス・マシーンズ・コーポレイション 大規模並列コンピューティング・システム装置
US5493663A (en) 1992-04-22 1996-02-20 International Business Machines Corporation Method and apparatus for predetermining pages for swapping from physical memory in accordance with the number of accesses
JP2572522B2 (ja) 1992-05-12 1997-01-16 インターナショナル・ビジネス・マシーンズ・コーポレイション コンピューティング装置
US5611049A (en) * 1992-06-03 1997-03-11 Pitts; William M. System for accessing distributed data cache channel at each network node to pass requests and data
EP0643855A1 (en) 1992-06-04 1995-03-22 Xilinx, Inc. Timing driven method for laying out a user's circuit onto a programmable integrated circuit device
DE4221278C2 (de) 1992-06-29 1996-02-29 Martin Vorbach Busgekoppeltes Mehrrechnersystem
EP0877385B1 (en) 1992-07-02 2001-10-17 Atmel Corporation Non-disruptive, randomly addressable memory system
US5475803A (en) 1992-07-10 1995-12-12 Lsi Logic Corporation Method for 2-D affine transformation of images
JP3032382B2 (ja) 1992-07-13 2000-04-17 シャープ株式会社 デジタル信号のサンプリング周波数変換装置
US5365125A (en) 1992-07-23 1994-11-15 Xilinx, Inc. Logic cell for field programmable gate array having optional internal feedback and optional cascade
US5386154A (en) 1992-07-23 1995-01-31 Xilinx, Inc. Compact logic cell for field programmable gate array chip
US5590348A (en) 1992-07-28 1996-12-31 International Business Machines Corporation Status predictor for combined shifter-rotate/merge unit
US5802290A (en) 1992-07-29 1998-09-01 Virtual Computer Corporation Computer network of distributed virtual computers which are EAC reconfigurable in response to instruction to be executed
US5581778A (en) * 1992-08-05 1996-12-03 David Sarnoff Researach Center Advanced massively parallel computer using a field of the instruction to selectively enable the profiling counter to increase its value in response to the system clock
DE69328917T2 (de) 1992-09-03 2000-12-28 Sony Corp Datenaufzeichnungsgerät und -verfahren
US5572710A (en) 1992-09-11 1996-11-05 Kabushiki Kaisha Toshiba High speed logic simulation system using time division emulation suitable for large scale logic circuits
US5425036A (en) 1992-09-18 1995-06-13 Quickturn Design Systems, Inc. Method and apparatus for debugging reconfigurable emulation systems
JPH06180653A (ja) 1992-10-02 1994-06-28 Hudson Soft Co Ltd 割り込み処理方法および装置
GB9223226D0 (en) 1992-11-05 1992-12-16 Algotronix Ltd Improved configurable cellular array (cal ii)
US5857109A (en) 1992-11-05 1999-01-05 Giga Operations Corporation Programmable logic device for real time video processing
US5497498A (en) 1992-11-05 1996-03-05 Giga Operations Corporation Video processing module using a second programmable logic device which reconfigures a first programmable logic device for data transformation
US5392437A (en) 1992-11-06 1995-02-21 Intel Corporation Method and apparatus for independently stopping and restarting functional units
US5394030A (en) 1992-11-10 1995-02-28 Infinite Technology Corporation Programmable logic device
US5357152A (en) 1992-11-10 1994-10-18 Infinite Technology Corporation Logic system of logic networks with programmable selected functions and programmable operational controls
EP0601715A1 (en) * 1992-12-11 1994-06-15 National Semiconductor Corporation Bus of CPU core optimized for accessing on-chip memory devices
US5361373A (en) 1992-12-11 1994-11-01 Gilson Kent L Integrated circuit computing device comprising a dynamically configurable gate array having a microprocessor and reconfigurable instruction execution means and method therefor
US5311079A (en) 1992-12-17 1994-05-10 Ditlow Gary S Low power, high performance PLA
JP2977688B2 (ja) 1992-12-18 1999-11-15 富士通株式会社 マルチプロセッシング装置、方法、及びこれらに使用するプロセッサ
US5428526A (en) 1993-02-03 1995-06-27 Flood; Mark A. Programmable controller with time periodic communication
US5386518A (en) 1993-02-12 1995-01-31 Hughes Aircraft Company Reconfigurable computer interface and method
GB9303084D0 (en) 1993-02-16 1993-03-31 Inmos Ltd Programmable logic circuit
JPH06276086A (ja) 1993-03-18 1994-09-30 Fuji Xerox Co Ltd フィールドプログラマブルゲートアレイ
US5548773A (en) 1993-03-30 1996-08-20 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Digital parallel processor array for optimum path planning
US5386155A (en) 1993-03-30 1995-01-31 Intel Corporation Apparatus and method for selecting polarity and output type in a programmable logic device
US5596742A (en) * 1993-04-02 1997-01-21 Massachusetts Institute Of Technology Virtual interconnections for reconfigurable logic systems
US5418953A (en) 1993-04-12 1995-05-23 Loral/Rohm Mil-Spec Corp. Method for automated deployment of a software program onto a multi-processor architecture
US5473266A (en) 1993-04-19 1995-12-05 Altera Corporation Programmable logic device having fast programmable logic array blocks and a central global interconnect array
AU6774894A (en) 1993-04-26 1994-11-21 Comdisco Systems, Inc. Method for scheduling synchronous data flow graphs
DE4416881C2 (de) 1993-05-13 1998-03-19 Pact Inf Tech Gmbh Verfahren zum Betrieb einer Datenverarbeitungseinrichtung
US5435000A (en) 1993-05-19 1995-07-18 Bull Hn Information Systems Inc. Central processing unit using dual basic processing units and combined result bus
US5349193A (en) 1993-05-20 1994-09-20 Princeton Gamma Tech, Inc. Highly sensitive nuclear spectrometer apparatus and method
EP0701713B1 (en) 1993-05-28 2001-07-18 The Regents Of The University Of California Field programmable logic device with dynamic interconnections to a dynamic logic core
IT1260848B (it) 1993-06-11 1996-04-23 Finmeccanica Spa Sistema a multiprocessore
US5444394A (en) 1993-07-08 1995-08-22 Altera Corporation PLD with selective inputs from local and global conductors
JPH0736858A (ja) 1993-07-21 1995-02-07 Hitachi Ltd 信号処理プロセッサ
US5581734A (en) 1993-08-02 1996-12-03 International Business Machines Corporation Multiprocessor system with shared cache and data input/output circuitry for transferring data amount greater than system bus capacity
CA2129882A1 (en) 1993-08-12 1995-02-13 Soheil Shams Dynamically reconfigurable interprocessor communication network for simd multiprocessors and apparatus implementing same
US5457644A (en) 1993-08-20 1995-10-10 Actel Corporation Field programmable digital signal processing array integrated circuit
GB2282244B (en) 1993-09-23 1998-01-14 Advanced Risc Mach Ltd Integrated circuit
US5440538A (en) 1993-09-23 1995-08-08 Massachusetts Institute Of Technology Communication system with redundant links and data bit time multiplexing
US5502838A (en) 1994-04-28 1996-03-26 Consilium Overseas Limited Temperature management for integrated circuits
US6219688B1 (en) 1993-11-30 2001-04-17 Texas Instruments Incorporated Method, apparatus and system for sum of plural absolute differences
US5455525A (en) 1993-12-06 1995-10-03 Intelligent Logic Systems, Inc. Hierarchically-structured programmable logic array and system for interconnecting logic elements in the logic array
US6064819A (en) 1993-12-08 2000-05-16 Imec Control flow and memory management optimization
US5574753A (en) * 1993-12-23 1996-11-12 Unisys Corporation Glitch free clock start/stop control circuit for outputting a single clock signal and a single sync signal from a plurality of sync signal inputs and a plurality of clock signal inputs
US5535406A (en) 1993-12-29 1996-07-09 Kolchinsky; Alexander Virtual processor module including a reconfigurable programmable matrix
US5680583A (en) 1994-02-16 1997-10-21 Arkos Design, Inc. Method and apparatus for a trace buffer in an emulation system
JPH07234842A (ja) 1994-02-22 1995-09-05 Fujitsu Ltd 並列データ処理システム
WO1995025306A2 (en) 1994-03-14 1995-09-21 Stanford University Distributed shared-cache for multi-processors
EP1191457A2 (en) 1994-03-22 2002-03-27 Hyperchip Inc. Monolithic systems with dynamically focused input/output
US5574927A (en) 1994-03-25 1996-11-12 International Meta Systems, Inc. RISC architecture computer configured for emulation of the instruction set of a target computer
US5561738A (en) 1994-03-25 1996-10-01 Motorola, Inc. Data processor for executing a fuzzy logic operation and method therefor
US5504439A (en) 1994-04-01 1996-04-02 Xilinx, Inc. I/O interface cell for use with optional pad
US5761484A (en) 1994-04-01 1998-06-02 Massachusetts Institute Of Technology Virtual interconnections for reconfigurable logic systems
US5781756A (en) 1994-04-01 1998-07-14 Xilinx, Inc. Programmable logic device with partially configurable memory cells and a method for configuration
US5430687A (en) 1994-04-01 1995-07-04 Xilinx, Inc. Programmable logic device including a parallel input device for loading memory cells
US5896551A (en) * 1994-04-15 1999-04-20 Micron Technology, Inc. Initializing and reprogramming circuitry for state independent memory array burst operations control
WO1995028671A1 (en) 1994-04-18 1995-10-26 Green Logic Inc. An improved system logic controller for digital computers
US5426378A (en) 1994-04-20 1995-06-20 Xilinx, Inc. Programmable logic device which stores more than one configuration and means for switching configurations
US5677909A (en) 1994-05-11 1997-10-14 Spectrix Corporation Apparatus for exchanging data between a central station and a plurality of wireless remote stations on a time divided commnication channel
JP2671804B2 (ja) 1994-05-27 1997-11-05 日本電気株式会社 階層型資源管理方法
US5532693A (en) 1994-06-13 1996-07-02 Advanced Hardware Architectures Adaptive data compression system with systolic string matching logic
EP0690378A1 (en) 1994-06-30 1996-01-03 Tandem Computers Incorporated Tool and method for diagnosing and correcting errors in a computer programm
JP3308770B2 (ja) 1994-07-22 2002-07-29 三菱電機株式会社 情報処理装置および情報処理装置における計算方法
US5600845A (en) * 1994-07-27 1997-02-04 Metalithic Systems Incorporated Integrated circuit computing device comprising a dynamically configurable gate array having a microprocessor and reconfigurable instruction execution means and method therefor
JP3365581B2 (ja) 1994-07-29 2003-01-14 富士通株式会社 自己修復機能付き情報処理装置
US5798719A (en) 1994-07-29 1998-08-25 Discovision Associates Parallel Huffman decoder
US5574930A (en) 1994-08-12 1996-11-12 University Of Hawaii Computer system and method using functional memory
US5513366A (en) 1994-09-28 1996-04-30 International Business Machines Corporation Method and system for dynamically reconfiguring a register file in a vector processor
US5619720A (en) 1994-10-04 1997-04-08 Analog Devices, Inc. Digital signal processor having link ports for point-to-point communication
US5450022A (en) 1994-10-07 1995-09-12 Xilinx Inc. Structure and method for configuration of a field programmable gate array
EP0707269A1 (en) 1994-10-11 1996-04-17 International Business Machines Corporation Cache coherence network for a multiprocessor data processing system
US5530946A (en) 1994-10-28 1996-06-25 Dell Usa, L.P. Processor failure detection and recovery circuit in a dual processor computer system and method of operation thereof
US5815726A (en) 1994-11-04 1998-09-29 Altera Corporation Coarse-grained look-up table architecture
JPH08137824A (ja) 1994-11-15 1996-05-31 Mitsubishi Semiconductor Software Kk セルフテスト機能内蔵シングルチップマイコン
US6154826A (en) 1994-11-16 2000-11-28 University Of Virginia Patent Foundation Method and device for maximizing memory system bandwidth by accessing data in a dynamically determined order
US5808487A (en) 1994-11-30 1998-09-15 Hitachi Micro Systems, Inc. Multi-directional small signal transceiver/repeater
US5584013A (en) 1994-12-09 1996-12-10 International Business Machines Corporation Hierarchical cache arrangement wherein the replacement of an LRU entry in a second level cache is prevented when the cache entry is the only inclusive entry in the first level cache
EP0721157A1 (en) * 1994-12-12 1996-07-10 Advanced Micro Devices, Inc. Microprocessor with selectable clock frequency
US5537580A (en) 1994-12-21 1996-07-16 Vlsi Technology, Inc. Integrated circuit fabrication using state machine extraction from behavioral hardware description language
US5603005A (en) 1994-12-27 1997-02-11 Unisys Corporation Cache coherency scheme for XBAR storage structure with delayed invalidates until associated write request is executed
JP3598139B2 (ja) 1994-12-28 2004-12-08 株式会社日立製作所 データ処理装置
US6128720A (en) 1994-12-29 2000-10-03 International Business Machines Corporation Distributed processing array with component processors performing customized interpretation of instructions
US5682491A (en) 1994-12-29 1997-10-28 International Business Machines Corporation Selective processing and routing of results among processors controlled by decoding instructions using mask value derived from instruction tag and processor identifier
US5778237A (en) 1995-01-10 1998-07-07 Hitachi, Ltd. Data processor and single-chip microcomputer with changing clock frequency and operating voltage
US5696791A (en) 1995-01-17 1997-12-09 Vtech Industries, Inc. Apparatus and method for decoding a sequence of digitally encoded data
US5532957A (en) 1995-01-31 1996-07-02 Texas Instruments Incorporated Field reconfigurable logic/memory array
US5493239A (en) 1995-01-31 1996-02-20 Motorola, Inc. Circuit and method of configuring a field programmable gate array
US6052773A (en) 1995-02-10 2000-04-18 Massachusetts Institute Of Technology DPGA-coupled microprocessors
US5659785A (en) 1995-02-10 1997-08-19 International Business Machines Corporation Array processor communication architecture with broadcast processor instructions
US5742180A (en) * 1995-02-10 1998-04-21 Massachusetts Institute Of Technology Dynamically programmable gate array with multiple contexts
EP0809825A1 (en) 1995-02-14 1997-12-03 Vlsi Technology, Inc. Method and apparatus for reducing power consumption in digital electronic circuits
US5537057A (en) 1995-02-14 1996-07-16 Altera Corporation Programmable logic array device with grouped logic regions and three types of conductors
DE69632424T2 (de) * 1995-02-17 2005-05-12 Kabushiki Kaisha Toshiba, Kawasaki Server für kontinuierliche Daten und Datentransferschema für mehrfache gleichzeitige Datenzugriffe
US5892961A (en) * 1995-02-17 1999-04-06 Xilinx, Inc. Field programmable gate array having programming instructions in the configuration bitstream
US5675743A (en) 1995-02-22 1997-10-07 Callisto Media Systems Inc. Multi-media server
US5570040A (en) 1995-03-22 1996-10-29 Altera Corporation Programmable logic array integrated circuit incorporating a first-in first-out memory
US5757207A (en) 1995-03-22 1998-05-26 Altera Corporation Programmable logic array integrated circuit incorporating a first-in first-out memory
US5600342A (en) * 1995-04-04 1997-02-04 Hughes Aircraft Company Diamond lattice void structure for wideband antenna systems
US5748979A (en) 1995-04-05 1998-05-05 Xilinx Inc Reprogrammable instruction set accelerator using a plurality of programmable execution units and an instruction page table
US5752035A (en) 1995-04-05 1998-05-12 Xilinx, Inc. Method for compiling and executing programs for reprogrammable instruction set accelerator
US5651137A (en) 1995-04-12 1997-07-22 Intel Corporation Scalable cache attributes for an input/output bus
JP3313007B2 (ja) * 1995-04-14 2002-08-12 三菱電機株式会社 マイクロコンピュータ
US5933642A (en) 1995-04-17 1999-08-03 Ricoh Corporation Compiling system and method for reconfigurable computing
US5794062A (en) 1995-04-17 1998-08-11 Ricoh Company Ltd. System and method for dynamically reconfigurable computing using a processing unit having changeable internal hardware organization
US6077315A (en) 1995-04-17 2000-06-20 Ricoh Company Ltd. Compiling system and method for partially reconfigurable computing
JP3329986B2 (ja) 1995-04-28 2002-09-30 富士通株式会社 マルチプロセッサシステム
WO1996034346A1 (en) * 1995-04-28 1996-10-31 Xilinx, Inc. Microprocessor with distributed registers accessible by programmable logic device
US5600597A (en) 1995-05-02 1997-02-04 Xilinx, Inc. Register protection structure for FPGA
GB9508931D0 (en) 1995-05-02 1995-06-21 Xilinx Inc Programmable switch for FPGA input/output signals
US5701091A (en) 1995-05-02 1997-12-23 Xilinx, Inc. Routing resources for hierarchical FPGA
US5541530A (en) 1995-05-17 1996-07-30 Altera Corporation Programmable logic array integrated circuits with blocks of logic regions grouped into super-blocks
US5649179A (en) 1995-05-19 1997-07-15 Motorola, Inc. Dynamic instruction allocation for a SIMD processor
US5721921A (en) 1995-05-25 1998-02-24 Cray Research, Inc. Barrier and eureka synchronization architecture for multiprocessors
US5821774A (en) * 1995-05-26 1998-10-13 Xilinx, Inc. Structure and method for arithmetic function implementation in an EPLD having high speed product term allocation structure
JPH08328941A (ja) 1995-05-31 1996-12-13 Nec Corp メモリアクセス制御回路
JP3677315B2 (ja) * 1995-06-01 2005-07-27 シャープ株式会社 データ駆動型情報処理装置
US5646546A (en) 1995-06-02 1997-07-08 International Business Machines Corporation Programmable logic cell having configurable gates and multiplexers
US5631578A (en) 1995-06-02 1997-05-20 International Business Machines Corporation Programmable array interconnect network
US5652529A (en) 1995-06-02 1997-07-29 International Business Machines Corporation Programmable array clock/reset resource
US5671432A (en) 1995-06-02 1997-09-23 International Business Machines Corporation Programmable array I/O-routing resource
US5646544A (en) 1995-06-05 1997-07-08 International Business Machines Corporation System and method for dynamically reconfiguring a programmable gate array
US5815715A (en) 1995-06-05 1998-09-29 Motorola, Inc. Method for designing a product having hardware and software components and product therefor
ZA965340B (en) 1995-06-30 1997-01-27 Interdigital Tech Corp Code division multiple access (cdma) communication system
US5889982A (en) * 1995-07-01 1999-03-30 Intel Corporation Method and apparatus for generating event handler vectors based on both operating mode and event type
US5559450A (en) 1995-07-27 1996-09-24 Lucent Technologies Inc. Field programmable gate array with multi-port RAM
US5978583A (en) 1995-08-07 1999-11-02 International Business Machines Corp. Method for resource control in parallel environments using program organization and run-time support
US5649176A (en) 1995-08-10 1997-07-15 Virtual Machine Works, Inc. Transition analysis and circuit resynthesis method and device for digital circuit modeling
US5996083A (en) 1995-08-11 1999-11-30 Hewlett-Packard Company Microprocessor having software controllable power consumption
GB2304438A (en) 1995-08-17 1997-03-19 Kenneth Austin Re-configurable application specific device
US5778439A (en) 1995-08-18 1998-07-07 Xilinx, Inc. Programmable logic device with hierarchical confiquration and state storage
US5784313A (en) 1995-08-18 1998-07-21 Xilinx, Inc. Programmable logic device including configuration data or user data memory slices
US5583450A (en) 1995-08-18 1996-12-10 Xilinx, Inc. Sequencer for a time multiplexed programmable logic device
US5646545A (en) 1995-08-18 1997-07-08 Xilinx, Inc. Time multiplexed programmable logic device
US5737565A (en) 1995-08-24 1998-04-07 International Business Machines Corporation System and method for diallocating stream from a stream buffer
US5737516A (en) * 1995-08-30 1998-04-07 Motorola, Inc. Data processing system for performing a debug function and method therefor
US5734869A (en) 1995-09-06 1998-03-31 Chen; Duan-Ping High speed logic circuit simulator
US6430309B1 (en) 1995-09-15 2002-08-06 Monogen, Inc. Specimen preview and inspection system
US5652894A (en) 1995-09-29 1997-07-29 Intel Corporation Method and apparatus for providing power saving modes to a pipelined processor
US5745734A (en) 1995-09-29 1998-04-28 International Business Machines Corporation Method and system for programming a gate array using a compressed configuration bit stream
JP3223769B2 (ja) 1995-10-11 2001-10-29 三菱電機株式会社 回転センサとその製造方法
US5754827A (en) 1995-10-13 1998-05-19 Mentor Graphics Corporation Method and apparatus for performing fully visible tracing of an emulation
US5815004A (en) 1995-10-16 1998-09-29 Xilinx, Inc. Multi-buffered configurable logic block output lines in a field programmable gate array
US5642058A (en) 1995-10-16 1997-06-24 Xilinx , Inc. Periphery input/output interconnect structure
US5608342A (en) 1995-10-23 1997-03-04 Xilinx, Inc. Hierarchical programming of electrically configurable integrated circuits
US5656950A (en) 1995-10-26 1997-08-12 Xilinx, Inc. Interconnect lines including tri-directional buffer circuits
US5675262A (en) 1995-10-26 1997-10-07 Xilinx, Inc. Fast carry-out scheme in a field programmable gate array
US5943242A (en) 1995-11-17 1999-08-24 Pact Gmbh Dynamically reconfigurable data processing system
US5732209A (en) * 1995-11-29 1998-03-24 Exponential Technology, Inc. Self-testing multi-processor die with internal compare points
US5812844A (en) 1995-12-07 1998-09-22 Microsoft Corporation Method and system for scheduling the execution of threads using optional time-specific scheduling constraints
US5773994A (en) 1995-12-15 1998-06-30 Cypress Semiconductor Corp. Method and apparatus for implementing an internal tri-state bus within a programmable logic circuit
JPH09231788A (ja) 1995-12-19 1997-09-05 Fujitsu Ltd シフトレジスタ及びプログラマブル論理回路並びにプログラマブル論理回路システム
CA2166369C (en) 1995-12-29 2004-10-19 Robert J. Blainey Method and system for determining inter-compilation unit alias information
US7266725B2 (en) * 2001-09-03 2007-09-04 Pact Xpp Technologies Ag Method for debugging reconfigurable architectures
US5715476A (en) 1995-12-29 1998-02-03 Intel Corporation Method and apparatus for controlling linear and toggle mode burst access sequences using toggle mode increment logic
US5804986A (en) 1995-12-29 1998-09-08 Cypress Semiconductor Corp. Memory in a programmable logic device
JP3247043B2 (ja) 1996-01-12 2002-01-15 株式会社日立製作所 内部信号で障害検出を行う情報処理システムおよび論理lsi
KR100415417B1 (ko) 1996-01-15 2004-04-17 지멘스 악티엔게젤샤프트 이미지-처리프로세서
JP2795244B2 (ja) 1996-01-17 1998-09-10 日本電気株式会社 プログラムデバッグシステム
US5760602A (en) 1996-01-17 1998-06-02 Hewlett-Packard Company Time multiplexing a plurality of configuration settings of a programmable switch element in a FPGA
US6247036B1 (en) 1996-01-22 2001-06-12 Infinite Technology Corp. Processor with reconfigurable arithmetic data path
US5854918A (en) 1996-01-24 1998-12-29 Ricoh Company Ltd. Apparatus and method for self-timed algorithmic execution
US5898602A (en) 1996-01-25 1999-04-27 Xilinx, Inc. Carry chain circuit with flexible carry function for implementing arithmetic and logical functions
US5936424A (en) 1996-02-02 1999-08-10 Xilinx, Inc. High speed bus with tree structure for selecting bus driver
US5635851A (en) 1996-02-02 1997-06-03 Xilinx, Inc. Read and writable data bus particularly for programmable logic devices
US5727229A (en) 1996-02-05 1998-03-10 Motorola, Inc. Method and apparatus for moving data in a parallel processor
US5754459A (en) 1996-02-08 1998-05-19 Xilinx, Inc. Multiplier circuit design for a programmable logic device
KR0165515B1 (ko) * 1996-02-17 1999-01-15 김광호 그래픽 데이터의 선입선출기 및 선입선출 방법
GB9604496D0 (en) 1996-03-01 1996-05-01 Xilinx Inc Embedded memory for field programmable gate array
US6020758A (en) * 1996-03-11 2000-02-01 Altera Corporation Partially reconfigurable programmable logic device
US5841973A (en) 1996-03-13 1998-11-24 Cray Research, Inc. Messaging in distributed memory multiprocessing system having shell circuitry for atomic control of message storage queue's tail pointer structure in local memory
US6279077B1 (en) 1996-03-22 2001-08-21 Texas Instruments Incorporated Bus interface buffer control in a microprocessor
US6311265B1 (en) 1996-03-25 2001-10-30 Torrent Systems, Inc. Apparatuses and methods for programming parallel computers
US6154049A (en) 1998-03-27 2000-11-28 Xilinx, Inc. Multiplier fabric for use in field programmable gate arrays
US5956518A (en) 1996-04-11 1999-09-21 Massachusetts Institute Of Technology Intermediate-grain reconfigurable processing device
US5687325A (en) 1996-04-19 1997-11-11 Chang; Web Application specific field programmable gate array
US6173434B1 (en) * 1996-04-22 2001-01-09 Brigham Young University Dynamically-configurable digital processor using method for relocating logic array modules
US5960200A (en) 1996-05-03 1999-09-28 I-Cube System to transition an enterprise to a distributed infrastructure
US5894565A (en) * 1996-05-20 1999-04-13 Atmel Corporation Field programmable gate array with distributed RAM and increased cell utilization
US5784636A (en) 1996-05-28 1998-07-21 National Semiconductor Corporation Reconfigurable computer architecture for use in signal processing applications
US5892370A (en) * 1996-06-21 1999-04-06 Quicklogic Corporation Clock network for field programmable gate array
US5887165A (en) * 1996-06-21 1999-03-23 Mirage Technologies, Inc. Dynamically reconfigurable hardware system for real-time control of processes
US5893165A (en) 1996-07-01 1999-04-06 Sun Microsystems, Inc. System and method for parallel execution of memory transactions using multiple memory models, including SSO, TSO, PSO and RMO
US6785826B1 (en) 1996-07-17 2004-08-31 International Business Machines Corporation Self power audit and control circuitry for microprocessor functional units
US6023742A (en) * 1996-07-18 2000-02-08 University Of Washington Reconfigurable computing architecture for providing pipelined data paths
US6526461B1 (en) 1996-07-18 2003-02-25 Altera Corporation Interconnect chip for programmable logic devices
US6023564A (en) 1996-07-19 2000-02-08 Xilinx, Inc. Data processing system using a flash reconfigurable logic device as a dynamic execution unit for a sequence of instructions
US5774704A (en) 1996-07-29 1998-06-30 Silicon Graphics, Inc. Apparatus and method for dynamic central processing unit clock adjustment
US6058465A (en) 1996-08-19 2000-05-02 Nguyen; Le Trong Single-instruction-multiple-data processing in a multimedia signal processor
KR100280285B1 (ko) 1996-08-19 2001-02-01 윤종용 멀티미디어 신호에 적합한 멀티미디어 프로세서
US5838165A (en) 1996-08-21 1998-11-17 Chatter; Mukesh High performance self modifying on-the-fly alterable logic FPGA, architecture and method
US5933023A (en) 1996-09-03 1999-08-03 Xilinx, Inc. FPGA architecture having RAM blocks with programmable word length and width and dedicated address and data lines
US6624658B2 (en) * 1999-02-04 2003-09-23 Advantage Logic, Inc. Method and apparatus for universal program controlled bus architecture
US5859544A (en) * 1996-09-05 1999-01-12 Altera Corporation Dynamic configurable elements for programmable logic devices
US6049866A (en) 1996-09-06 2000-04-11 Silicon Graphics, Inc. Method and system for an efficient user mode cache manipulation using a simulated instruction
JP3934710B2 (ja) 1996-09-13 2007-06-20 株式会社ルネサステクノロジ マイクロプロセッサ
US5828858A (en) 1996-09-16 1998-10-27 Virginia Tech Intellectual Properties, Inc. Worm-hole run-time reconfigurable processor field programmable gate array (FPGA)
US6209020B1 (en) 1996-09-20 2001-03-27 Nortel Networks Limited Distributed pipeline memory architecture for a computer system with even and odd pids
US6178494B1 (en) 1996-09-23 2001-01-23 Virtual Computer Corporation Modular, hybrid processor and method for producing a modular, hybrid processor
US5805477A (en) 1996-09-26 1998-09-08 Hewlett-Packard Company Arithmetic cell for field programmable devices
US5694602A (en) 1996-10-01 1997-12-02 The United States Of America As Represented By The Secretary Of The Air Force Weighted system and method for spatial allocation of a parallel load
SG125044A1 (en) 1996-10-14 2006-09-29 Mitsubishi Gas Chemical Co Oxygen absorption composition
US5901279A (en) 1996-10-18 1999-05-04 Hughes Electronics Corporation Connection of spares between multiple programmable devices
US5832288A (en) 1996-10-18 1998-11-03 Samsung Electronics Co., Ltd. Element-select mechanism for a vector processor
US5892962A (en) * 1996-11-12 1999-04-06 Lucent Technologies Inc. FPGA-based processor
US5844422A (en) 1996-11-13 1998-12-01 Xilinx, Inc. State saving and restoration in reprogrammable FPGAs
US5895487A (en) 1996-11-13 1999-04-20 International Business Machines Corporation Integrated processing and L2 DRAM cache
US5860119A (en) 1996-11-25 1999-01-12 Vlsi Technology, Inc. Data-packet fifo buffer system with end-of-packet flags
US6005410A (en) 1996-12-05 1999-12-21 International Business Machines Corporation Interconnect structure between heterogeneous core regions in a programmable array
DE19651075A1 (de) 1996-12-09 1998-06-10 Pact Inf Tech Gmbh Einheit zur Verarbeitung von numerischen und logischen Operationen, zum Einsatz in Prozessoren (CPU's), Mehrrechnersystemen, Datenflußprozessoren (DFP's), digitalen Signal Prozessoren (DSP's) oder dergleichen
US5913925A (en) 1996-12-16 1999-06-22 International Business Machines Corporation Method and system for constructing a program including out-of-order threads and processor and method for executing threads out-of-order
DE19654593A1 (de) 1996-12-20 1998-07-02 Pact Inf Tech Gmbh Umkonfigurierungs-Verfahren für programmierbare Bausteine zur Laufzeit
US6338106B1 (en) 1996-12-20 2002-01-08 Pact Gmbh I/O and memory bus system for DFPS and units with two or multi-dimensional programmable cell architectures
DE19654595A1 (de) 1996-12-20 1998-07-02 Pact Inf Tech Gmbh I0- und Speicherbussystem für DFPs sowie Bausteinen mit zwei- oder mehrdimensionaler programmierbaren Zellstrukturen
DE19654846A1 (de) 1996-12-27 1998-07-09 Pact Inf Tech Gmbh Verfahren zum selbständigen dynamischen Umladen von Datenflußprozessoren (DFPs) sowie Bausteinen mit zwei- oder mehrdimensionalen programmierbaren Zellstrukturen (FPGAs, DPGAs, o. dgl.)
US6427156B1 (en) 1997-01-21 2002-07-30 Xilinx, Inc. Configurable logic block with AND gate for efficient multiplication in FPGAS
EP0858167A1 (en) 1997-01-29 1998-08-12 Hewlett-Packard Company Field programmable processor device
EP0858168A1 (en) 1997-01-29 1998-08-12 Hewlett-Packard Company Field programmable processor array
DE19704044A1 (de) * 1997-02-04 1998-08-13 Pact Inf Tech Gmbh Verfahren zur automatischen Adressgenerierung von Bausteinen innerhalb Clustern aus einer Vielzahl dieser Bausteine
US5865239A (en) * 1997-02-05 1999-02-02 Micropump, Inc. Method for making herringbone gears
US6055619A (en) 1997-02-07 2000-04-25 Cirrus Logic, Inc. Circuits, system, and methods for processing multiple data streams
US6542998B1 (en) 1997-02-08 2003-04-01 Pact Gmbh Method of self-synchronization of configurable elements of a programmable module
DE19704728A1 (de) * 1997-02-08 1998-08-13 Pact Inf Tech Gmbh Verfahren zur Selbstsynchronisation von konfigurierbaren Elementen eines programmierbaren Bausteines
DE19704742A1 (de) 1997-02-11 1998-09-24 Pact Inf Tech Gmbh Internes Bussystem für DFPs, sowie Bausteinen mit zwei- oder mehrdimensionalen programmierbaren Zellstrukturen, zur Bewältigung großer Datenmengen mit hohem Vernetzungsaufwand
JP3730740B2 (ja) 1997-02-24 2006-01-05 株式会社日立製作所 並列ジョブ多重スケジューリング方法
US6150837A (en) 1997-02-28 2000-11-21 Actel Corporation Enhanced field programmable gate array
US5927423A (en) 1997-03-05 1999-07-27 Massachusetts Institute Of Technology Reconfigurable footprint mechanism for omnidirectional vehicles
US6125408A (en) 1997-03-10 2000-09-26 Compaq Computer Corporation Resource type prioritization in generating a device configuration
US5857097A (en) * 1997-03-10 1999-01-05 Digital Equipment Corporation Method for identifying reasons for dynamic stall cycles during the execution of a program
US5884075A (en) * 1997-03-10 1999-03-16 Compaq Computer Corporation Conflict resolution using self-contained virtual devices
GB2323188B (en) 1997-03-14 2002-02-06 Nokia Mobile Phones Ltd Enabling and disabling clocking signals to elements
US6085317A (en) 1997-08-15 2000-07-04 Altera Corporation Reconfigurable computer architecture using programmable logic devices
AUPO647997A0 (en) 1997-04-30 1997-05-22 Canon Information Systems Research Australia Pty Ltd Memory controller architecture
US6272257B1 (en) 1997-04-30 2001-08-07 Canon Kabushiki Kaisha Decoder of variable length codes
US6321366B1 (en) 1997-05-02 2001-11-20 Axis Systems, Inc. Timing-insensitive glitch-free logic system and method
US6389379B1 (en) 1997-05-02 2002-05-14 Axis Systems, Inc. Converification system and method
US6035371A (en) * 1997-05-28 2000-03-07 3Com Corporation Method and apparatus for addressing a static random access memory device based on signals for addressing a dynamic memory access device
US6047115A (en) 1997-05-29 2000-04-04 Xilinx, Inc. Method for configuring FPGA memory planes for virtual hardware computation
US6421817B1 (en) 1997-05-29 2002-07-16 Xilinx, Inc. System and method of computation in a programmable logic device using virtual instructions
US6385672B1 (en) 1997-05-30 2002-05-07 3Com Corporation System to optimize packet buffer utilization via selectively partitioned transmit and receive buffer portions
US6339840B1 (en) 1997-06-02 2002-01-15 Iowa State University Research Foundation, Inc. Apparatus and method for parallelizing legacy computer code
US6011407A (en) * 1997-06-13 2000-01-04 Xilinx, Inc. Field programmable gate array with dedicated computer bus interface and method for configuring both
US5996048A (en) 1997-06-20 1999-11-30 Sun Microsystems, Inc. Inclusion vector architecture for a level two cache
US6058266A (en) 1997-06-24 2000-05-02 International Business Machines Corporation Method of, system for, and computer program product for performing weighted loop fusion by an optimizing compiler
US6240502B1 (en) 1997-06-25 2001-05-29 Sun Microsystems, Inc. Apparatus for dynamically reconfiguring a processor
US5838988A (en) 1997-06-25 1998-11-17 Sun Microsystems, Inc. Computer product for precise architectural update in an out-of-order processor
US5966534A (en) * 1997-06-27 1999-10-12 Cooke; Laurence H. Method for compiling high level programming languages into an integrated processor with reconfigurable logic
US5970254A (en) 1997-06-27 1999-10-19 Cooke; Laurence H. Integrated processor and programmable data path chip for reconfigurable computing
US6072348A (en) 1997-07-09 2000-06-06 Xilinx, Inc. Programmable power reduction in a clock-distribution circuit
US6437441B1 (en) 1997-07-10 2002-08-20 Kawasaki Microelectronics, Inc. Wiring structure of a semiconductor integrated circuit and a method of forming the wiring structure
US6020760A (en) * 1997-07-16 2000-02-01 Altera Corporation I/O buffer circuit with pin multiplexing
EP0892352B1 (en) 1997-07-18 2005-04-13 Bull S.A. Computer system with a bus having a segmented structure
US6282701B1 (en) 1997-07-31 2001-08-28 Mutek Solutions, Ltd. System and method for monitoring and analyzing the execution of computer programs
US6026478A (en) 1997-08-01 2000-02-15 Micron Technology, Inc. Split embedded DRAM processor
US6170051B1 (en) * 1997-08-01 2001-01-02 Micron Technology, Inc. Apparatus and method for program level parallelism in a VLIW processor
US6078736A (en) 1997-08-28 2000-06-20 Xilinx, Inc. Method of designing FPGAs for dynamically reconfigurable computing
US6038656A (en) * 1997-09-12 2000-03-14 California Institute Of Technology Pipelined completion for asynchronous communication
JP3636871B2 (ja) 1997-09-16 2005-04-06 株式会社日立製作所 並列プロセッサシステム
JP3612186B2 (ja) 1997-09-19 2005-01-19 株式会社ルネサステクノロジ データ処理装置
US6539415B1 (en) 1997-09-24 2003-03-25 Sony Corporation Method and apparatus for the allocation of audio/video tasks in a network system
US6148407A (en) 1997-09-30 2000-11-14 Intel Corporation Method and apparatus for producing computer platform fingerprints
US6034542A (en) 1997-10-14 2000-03-07 Xilinx, Inc. Bus structure for modularized chip with FPGA modules
US5966143A (en) 1997-10-14 1999-10-12 Motorola, Inc. Data allocation into multiple memories for concurrent access
US5870544A (en) * 1997-10-20 1999-02-09 International Business Machines Corporation Method and apparatus for creating a secure connection between a java applet and a web server
SG82587A1 (en) 1997-10-21 2001-08-21 Sony Corp Recording apparatus, recording method, playback apparatus, playback method, recording/playback apparatus, recording/playback method, presentation medium and recording medium
JP4128251B2 (ja) 1997-10-23 2008-07-30 富士通株式会社 配線密度予測方法およびセル配置装置
US6076157A (en) 1997-10-23 2000-06-13 International Business Machines Corporation Method and apparatus to force a thread switch in a multithreaded processor
US6212544B1 (en) 1997-10-23 2001-04-03 International Business Machines Corporation Altering thread priorities in a multithreaded processor
US6209065B1 (en) 1997-10-24 2001-03-27 Compaq Computer Corporation Mechanism for optimizing generation of commit-signals in a distributed shared-memory system
US6108737A (en) 1997-10-24 2000-08-22 Compaq Computer Corporation Method and apparatus for reducing latency of inter-reference ordering in a multiprocessor system
US6247147B1 (en) 1997-10-27 2001-06-12 Altera Corporation Enhanced embedded logic analyzer
US6108760A (en) 1997-10-31 2000-08-22 Silicon Spice Method and apparatus for position independent reconfiguration in a network of multiple context processing elements
US6122719A (en) 1997-10-31 2000-09-19 Silicon Spice Method and apparatus for retiming in a network of multiple context processing elements
US5915123A (en) 1997-10-31 1999-06-22 Silicon Spice Method and apparatus for controlling configuration memory contexts of processing elements in a network of multiple context processing elements
US6127908A (en) 1997-11-17 2000-10-03 Massachusetts Institute Of Technology Microelectro-mechanical system actuator device and reconfigurable circuits utilizing same
JPH11147335A (ja) 1997-11-18 1999-06-02 Fuji Xerox Co Ltd 描画処理装置
JP4197755B2 (ja) * 1997-11-19 2008-12-17 富士通株式会社 信号伝送システム、該信号伝送システムのレシーバ回路、および、該信号伝送システムが適用される半導体記憶装置
US6212650B1 (en) 1997-11-24 2001-04-03 Xilinx, Inc. Interactive dubug tool for programmable circuits
US6237059B1 (en) 1997-11-26 2001-05-22 Compaq Computer Corporation Method for estimating statistics of properties of memory system interactions among contexts in a computer system
US6075935A (en) 1997-12-01 2000-06-13 Improv Systems, Inc. Method of generating application specific integrated circuits using a programmable hardware architecture
US6091263A (en) 1997-12-12 2000-07-18 Xilinx, Inc. Rapidly reconfigurable FPGA having a multiple region architecture with reconfiguration caches useable as data RAM
DE69737750T2 (de) 1997-12-17 2008-03-06 Hewlett-Packard Development Co., L.P., Houston Erst- und Zweitprozessoren verwendetes Verfahren
DE69827589T2 (de) * 1997-12-17 2005-11-03 Elixent Ltd. Konfigurierbare Verarbeitungsanordnung und Verfahren zur Benutzung dieser Anordnung, um eine Zentraleinheit aufzubauen
DE69841256D1 (de) 1997-12-17 2009-12-10 Panasonic Corp Befehlsmaskierung um Befehlsströme einem Prozessor zuzuleiten
US6567834B1 (en) 1997-12-17 2003-05-20 Elixent Limited Implementation of multipliers in programmable arrays
DE19861088A1 (de) * 1997-12-22 2000-02-10 Pact Inf Tech Gmbh Verfahren zur Reparatur von integrierten Schaltkreisen
US6260114B1 (en) 1997-12-30 2001-07-10 Mcmz Technology Innovations, Llc Computer cache memory windowing
US6172520B1 (en) * 1997-12-30 2001-01-09 Xilinx, Inc. FPGA system with user-programmable configuration ports and method for reconfiguring the FPGA
US6049222A (en) 1997-12-30 2000-04-11 Xilinx, Inc Configuring an FPGA using embedded memory
US6301706B1 (en) 1997-12-31 2001-10-09 Elbrus International Limited Compiler method and apparatus for elimination of redundant speculative computations from innermost loops
US6105106A (en) 1997-12-31 2000-08-15 Micron Technology, Inc. Computer system, memory device and shift register including a balanced switching circuit with series connected transfer gates which are selectively clocked for fast switching times
US6216223B1 (en) 1998-01-12 2001-04-10 Billions Of Operations Per Second, Inc. Methods and apparatus to dynamically reconfigure the instruction pipeline of an indirect very long instruction word scalable processor
US6034538A (en) * 1998-01-21 2000-03-07 Lucent Technologies Inc. Virtual logic system for reconfigurable hardware
US6038646A (en) 1998-01-23 2000-03-14 Sun Microsystems, Inc. Method and apparatus for enforcing ordered execution of reads and writes across a memory interface
US6389579B1 (en) 1998-01-26 2002-05-14 Chameleon Systems Reconfigurable logic for table lookup
US6230307B1 (en) 1998-01-26 2001-05-08 Xilinx, Inc. System and method for programming the hardware of field programmable gate arrays (FPGAs) and related reconfiguration resources as if they were software by creating hardware objects
US6034545A (en) * 1998-01-30 2000-03-07 Arm Limited Macrocell for data processing circuit
DE19803593A1 (de) 1998-01-30 1999-08-12 Daimler Chrysler Ag Schaltvorrichtung für ein Zahnräderwechselgetriebe
US6141734A (en) 1998-02-03 2000-10-31 Compaq Computer Corporation Method and apparatus for optimizing the performance of LDxL and STxC interlock instructions in the context of a write invalidate protocol
EP0945788B1 (en) 1998-02-04 2004-08-04 Texas Instruments Inc. Data processing system with digital signal processor core and co-processor and data processing method
US6086628A (en) 1998-02-17 2000-07-11 Lucent Technologies Inc. Power-related hardware-software co-synthesis of heterogeneous distributed embedded systems
US7152027B2 (en) 1998-02-17 2006-12-19 National Instruments Corporation Reconfigurable test system
US6198304B1 (en) * 1998-02-23 2001-03-06 Xilinx, Inc. Programmable logic device
US6096091A (en) 1998-02-24 2000-08-01 Advanced Micro Devices, Inc. Dynamically reconfigurable logic networks interconnected by fall-through FIFOs for flexible pipeline processing in a system-on-a-chip
DE19807872A1 (de) 1998-02-25 1999-08-26 Pact Inf Tech Gmbh Verfahren zur Verwaltung von Konfigurationsdaten in Datenflußprozessoren sowie Bausteinen mit zwei- oder mehrdimensionalen programmierbaren Zellstruktur (FPGAs, DPGAs, o. dgl.
US6088800A (en) 1998-02-27 2000-07-11 Mosaid Technologies, Incorporated Encryption processor with shared memory interconnect
FR2776093A1 (fr) 1998-03-10 1999-09-17 Philips Electronics Nv Circuit processeur programmable muni d'une memoire reconfigurable, pour realiser un filtre numerique
US6124868A (en) 1998-03-24 2000-09-26 Ati Technologies, Inc. Method and apparatus for multiple co-processor utilization of a ring buffer
US5990910A (en) 1998-03-24 1999-11-23 Ati Technologies, Inc. Method and apparatus for co-processing multi-formatted data
US6298043B1 (en) 1998-03-28 2001-10-02 Nortel Networks Limited Communication system architecture and a connection verification mechanism therefor
US6374286B1 (en) 1998-04-06 2002-04-16 Rockwell Collins, Inc. Real time processor capable of concurrently running multiple independent JAVA machines
US6456628B1 (en) 1998-04-17 2002-09-24 Intelect Communications, Inc. DSP intercommunication network
US6084429A (en) 1998-04-24 2000-07-04 Xilinx, Inc. PLD having a window pane architecture with segmented and staggered interconnect wiring between logic block arrays
US6421808B1 (en) 1998-04-24 2002-07-16 Cadance Design Systems, Inc. Hardware design language for the design of integrated circuits
US6119219A (en) 1998-04-30 2000-09-12 International Business Machines Corporation System serialization with early release of individual processor
US6052524A (en) 1998-05-14 2000-04-18 Software Development Systems, Inc. System and method for simulation of integrated hardware and software components
US6173419B1 (en) 1998-05-14 2001-01-09 Advanced Technology Materials, Inc. Field programmable gate array (FPGA) emulator for debugging software
US6449283B1 (en) 1998-05-15 2002-09-10 Polytechnic University Methods and apparatus for providing a fast ring reservation arbitration
US5999990A (en) 1998-05-18 1999-12-07 Motorola, Inc. Communicator having reconfigurable resources
US6286090B1 (en) 1998-05-26 2001-09-04 Compaq Computer Corporation Mechanism for selectively imposing interference order between page-table fetches and corresponding data fetches
US6298396B1 (en) 1998-06-01 2001-10-02 Advanced Micro Devices, Inc. System for loading a current buffer desciptor register with a value different from current value to cause a previously read buffer descriptor to be read again
US6092174A (en) * 1998-06-01 2000-07-18 Context, Inc. Dynamically reconfigurable distributed integrated circuit processor and method
JP3123977B2 (ja) * 1998-06-04 2001-01-15 日本電気株式会社 プログラマブル機能ブロック
US6282627B1 (en) 1998-06-29 2001-08-28 Chameleon Systems, Inc. Integrated processor and programmable data path chip for reconfigurable computing
US6202182B1 (en) * 1998-06-30 2001-03-13 Lucent Technologies Inc. Method and apparatus for testing field programmable gate arrays
DE69803373T2 (de) 1998-07-06 2002-08-14 Hewlett Packard Co Verdrahtung von Zellen in logischen Feldern
AU4848699A (en) 1998-07-08 2000-02-01 Broadcom Corporation A method of sending packets between trunk ports of network switches
US6125072A (en) 1998-07-21 2000-09-26 Seagate Technology, Inc. Method and apparatus for contiguously addressing a memory system having vertically expanded multiple memory arrays
US6609088B1 (en) 1998-07-24 2003-08-19 Interuniversitaire Micro-Elektronica Centrum Method for determining an optimized memory organization of a digital device
US6137307A (en) 1998-08-04 2000-10-24 Xilinx, Inc. Structure and method for loading wide frames of data from a narrow input bus
DE19835189C2 (de) 1998-08-04 2001-02-08 Unicor Rohrsysteme Gmbh Vorrichtung zur kontinuierlichen Herstellung von nahtlosen Kunststoffrohren
US6321296B1 (en) 1998-08-04 2001-11-20 International Business Machines Corporation SDRAM L3 cache using speculative loads with command aborts to lower latency
US6289369B1 (en) 1998-08-25 2001-09-11 International Business Machines Corporation Affinity, locality, and load balancing in scheduling user program-level threads for execution by a computer system
US20020152060A1 (en) 1998-08-31 2002-10-17 Tseng Ping-Sheng Inter-chip communication system
US7100026B2 (en) 2001-05-30 2006-08-29 The Massachusetts Institute Of Technology System and method for performing efficient conditional vector operations for data parallel architectures involving both input and conditional vector values
US6205458B1 (en) 1998-09-21 2001-03-20 Rn2R, L.L.C. Adder and multiplier circuits employing logic gates having discrete, weighted inputs and methods of performing combinatorial operations therewith
US6216174B1 (en) 1998-09-29 2001-04-10 Silicon Graphics, Inc. System and method for fast barrier synchronization
US6421757B1 (en) 1998-09-30 2002-07-16 Conexant Systems, Inc Method and apparatus for controlling the programming and erasing of flash memory
JP3551353B2 (ja) 1998-10-02 2004-08-04 株式会社日立製作所 データ再配置方法
US6467009B1 (en) 1998-10-14 2002-10-15 Triscend Corporation Configurable processor system unit
US6215326B1 (en) 1998-11-18 2001-04-10 Altera Corporation Programmable logic device architecture with super-regions having logic regions and a memory region
US6658564B1 (en) 1998-11-20 2003-12-02 Altera Corporation Reconfigurable programmable logic device computer system
US6977649B1 (en) 1998-11-23 2005-12-20 3Dlabs, Inc. Ltd 3D graphics rendering with selective read suspend
US6249756B1 (en) 1998-12-07 2001-06-19 Compaq Computer Corp. Hybrid flow control
AU1843300A (en) 1998-12-11 2000-06-26 Microsoft Corporation Accelerating a distributed component architecture over a network using a modified rpc communication
US6044030A (en) * 1998-12-21 2000-03-28 Philips Electronics North America Corporation FIFO unit with single pointer
US6694434B1 (en) 1998-12-23 2004-02-17 Entrust Technologies Limited Method and apparatus for controlling program execution and program distribution
US6434695B1 (en) 1998-12-23 2002-08-13 Apple Computer, Inc. Computer operating system using compressed ROM image in RAM
US6757847B1 (en) 1998-12-29 2004-06-29 International Business Machines Corporation Synchronization for system analysis
US6496902B1 (en) 1998-12-31 2002-12-17 Cray Inc. Vector and scalar data cache for a vector multiprocessor
US6218876B1 (en) * 1999-01-08 2001-04-17 Altera Corporation Phase-locked loop circuitry for programmable logic devices
JP3585800B2 (ja) 1999-01-13 2004-11-04 株式会社東芝 情報処理装置
US6539438B1 (en) 1999-01-15 2003-03-25 Quickflex Inc. Reconfigurable computing system and method and apparatus employing same
US6490695B1 (en) 1999-01-22 2002-12-03 Sun Microsystems, Inc. Platform independent memory image analysis architecture for debugging a computer program
US6321298B1 (en) 1999-01-25 2001-11-20 International Business Machines Corporation Full cache coherency across multiple raid controllers
US6226717B1 (en) 1999-02-04 2001-05-01 Compaq Computer Corporation System and method for exclusive access to shared storage
US7003660B2 (en) * 2000-06-13 2006-02-21 Pact Xpp Technologies Ag Pipeline configuration unit protocols and communication
DE10028397A1 (de) 2000-06-13 2001-12-20 Pact Inf Tech Gmbh Registrierverfahren
US6243808B1 (en) 1999-03-08 2001-06-05 Chameleon Systems, Inc. Digital data bit order conversion using universal switch matrix comprising rows of bit swapping selector groups
US6191614B1 (en) 1999-04-05 2001-02-20 Xilinx, Inc. FPGA configuration circuit including bus-based CRC register
US6512804B1 (en) 1999-04-07 2003-01-28 Applied Micro Circuits Corporation Apparatus and method for multiple serial data synchronization using channel-lock FIFO buffers optimized for jitter
GB9909196D0 (en) 1999-04-21 1999-06-16 Texas Instruments Ltd Transfer controller with hub and ports architecture
US6286134B1 (en) 1999-04-23 2001-09-04 Sun Microsystems, Inc. Instruction selection in a multi-platform environment
US6381624B1 (en) 1999-04-29 2002-04-30 Hewlett-Packard Company Faster multiply/accumulator
US6298472B1 (en) 1999-05-07 2001-10-02 Chameleon Systems, Inc. Behavioral silicon construct architecture and mapping
US6748440B1 (en) 1999-05-12 2004-06-08 Microsoft Corporation Flow of streaming data through multiple processing modules
US7007096B1 (en) * 1999-05-12 2006-02-28 Microsoft Corporation Efficient splitting and mixing of streaming-data frames for processing through multiple processing modules
US6211697B1 (en) 1999-05-25 2001-04-03 Actel Integrated circuit that includes a field-programmable gate array and a hard gate array having the same underlying structure
JP2003505753A (ja) * 1999-06-10 2003-02-12 ペーアーツェーテー インフォルマツィオーンステヒノロギー ゲゼルシャフト ミット ベシュレンクテル ハフツング セル構造におけるシーケンス分割方法
DE19926538A1 (de) 1999-06-10 2000-12-14 Pact Inf Tech Gmbh Hardware und Betriebsverfahren
EP1061439A1 (en) 1999-06-15 2000-12-20 Hewlett-Packard Company Memory and instructions in computer architecture containing processor and coprocessor
US6757892B1 (en) 1999-06-24 2004-06-29 Sarnoff Corporation Method for determining an optimal partitioning of data among several memories
JP3420121B2 (ja) 1999-06-30 2003-06-23 Necエレクトロニクス株式会社 不揮発性半導体記憶装置
US6347346B1 (en) * 1999-06-30 2002-02-12 Chameleon Systems, Inc. Local memory unit system with global access for use on reconfigurable chips
GB2352548B (en) * 1999-07-26 2001-06-06 Sun Microsystems Inc Method and apparatus for executing standard functions in a computer system
US6745317B1 (en) 1999-07-30 2004-06-01 Broadcom Corporation Three level direct communication connections between neighboring multiple context processing elements
US6370596B1 (en) 1999-08-03 2002-04-09 Chameleon Systems, Inc. Logic flag registers for monitoring processing system events
US6341318B1 (en) * 1999-08-10 2002-01-22 Chameleon Systems, Inc. DMA data streaming
US6204687B1 (en) * 1999-08-13 2001-03-20 Xilinx, Inc. Method and structure for configuring FPGAS
US6507947B1 (en) * 1999-08-20 2003-01-14 Hewlett-Packard Company Programmatic synthesis of processor element arrays
US6438747B1 (en) 1999-08-20 2002-08-20 Hewlett-Packard Company Programmatic iteration scheduling for parallel processors
US6606704B1 (en) 1999-08-31 2003-08-12 Intel Corporation Parallel multithreaded processor with plural microengines executing multiple threads each microengine having loadable microcode
US6457100B1 (en) 1999-09-15 2002-09-24 International Business Machines Corporation Scaleable shared-memory multi-processor computer system having repetitive chip structure with efficient busing and coherence controls
US6288566B1 (en) 1999-09-23 2001-09-11 Chameleon Systems, Inc. Configuration state memory for functional blocks on a reconfigurable chip
US6311200B1 (en) 1999-09-23 2001-10-30 Chameleon Systems, Inc. Reconfigurable program sum of products generator
US6349346B1 (en) * 1999-09-23 2002-02-19 Chameleon Systems, Inc. Control fabric unit including associated configuration memory and PSOP state machine adapted to provide configuration address to reconfigurable functional unit
US6631487B1 (en) 1999-09-27 2003-10-07 Lattice Semiconductor Corp. On-line testing of field programmable gate array resources
DE19946752A1 (de) 1999-09-29 2001-04-12 Infineon Technologies Ag Rekonfigurierbares Gate-Array
US6598128B1 (en) 1999-10-01 2003-07-22 Hitachi, Ltd. Microprocessor having improved memory management unit and cache memory
US6412043B1 (en) 1999-10-01 2002-06-25 Hitachi, Ltd. Microprocessor having improved memory management unit and cache memory
US6665758B1 (en) 1999-10-04 2003-12-16 Ncr Corporation Software sanity monitor
US6526430B1 (en) 1999-10-04 2003-02-25 Texas Instruments Incorporated Reconfigurable SIMD coprocessor architecture for sum of absolute differences and symmetric filtering (scalable MAC engine for image processing)
US6434642B1 (en) 1999-10-07 2002-08-13 Xilinx, Inc. FIFO memory system and method with improved determination of full and empty conditions and amount of data stored
EP1230591B1 (en) 1999-11-18 2007-01-03 Sun Microsystems, Inc. Decompression bit processing with a general purpose alignment tool
JP2001167066A (ja) 1999-12-08 2001-06-22 Nec Corp プロセッサ間通信方法及びマルチプロセッサシステム
US6501999B1 (en) 1999-12-22 2002-12-31 Intel Corporation Multi-processor mobile computer system having one processor integrated with a chipset
US6625654B1 (en) * 1999-12-28 2003-09-23 Intel Corporation Thread signaling in multi-threaded network processor
US6633181B1 (en) * 1999-12-30 2003-10-14 Stretch, Inc. Multi-scale programmable array
DE60138432D1 (de) 2000-01-07 2009-06-04 Nippon Telegraph & Telephone Funktionsrekonfigurierbare Halbleitervorrichtung und integrierte Schaltung zum Konfigurieren der Halbleitervorrichtung
JP2001202236A (ja) 2000-01-20 2001-07-27 Fuji Xerox Co Ltd プログラマブル論理回路装置によるデータ処理方法、プログラマブル論理回路装置、情報処理システム、プログラマブル論理回路装置への回路再構成方法
US20020031166A1 (en) 2000-01-28 2002-03-14 Ravi Subramanian Wireless spread spectrum communication platform using dynamically reconfigurable logic
US6925641B1 (en) 2000-02-04 2005-08-02 Xronix Communications, Inc. Real time DSP load management system
US6496971B1 (en) 2000-02-07 2002-12-17 Xilinx, Inc. Supporting multiple FPGA configuration modes using dedicated on-chip processor
US6487709B1 (en) 2000-02-09 2002-11-26 Xilinx, Inc. Run-time routing for programmable logic devices
US7036106B1 (en) 2000-02-17 2006-04-25 Tensilica, Inc. Automated processor generation system for designing a configurable processor and method for the same
US6519674B1 (en) * 2000-02-18 2003-02-11 Chameleon Systems, Inc. Configuration bits layout
US6865663B2 (en) 2000-02-24 2005-03-08 Pts Corporation Control processor dynamically loading shadow instruction register associated with memory entry of coprocessor in flexible coupling mode
JP3674515B2 (ja) 2000-02-25 2005-07-20 日本電気株式会社 アレイ型プロセッサ
US6434672B1 (en) 2000-02-29 2002-08-13 Hewlett-Packard Company Methods and apparatus for improving system performance with a shared cache memory
US6539477B1 (en) * 2000-03-03 2003-03-25 Chameleon Systems, Inc. System and method for control synthesis using a reachable states look-up table
KR100841411B1 (ko) 2000-03-14 2008-06-25 소니 가부시끼 가이샤 전송장치, 수신장치, 전송방법, 수신방법과 기록매체
US6657457B1 (en) 2000-03-15 2003-12-02 Intel Corporation Data transfer on reconfigurable chip
US6871341B1 (en) 2000-03-24 2005-03-22 Intel Corporation Adaptive scheduling of function cells in dynamic reconfigurable logic
US6665865B1 (en) 2000-04-27 2003-12-16 Microsoft Corporation Equivalence class based synchronization optimization
US6624819B1 (en) 2000-05-01 2003-09-23 Broadcom Corporation Method and system for providing a flexible and efficient processor for use in a graphics processing system
US6845445B2 (en) 2000-05-12 2005-01-18 Pts Corporation Methods and apparatus for power control in a scalable array of processor elements
US6362650B1 (en) * 2000-05-18 2002-03-26 Xilinx, Inc. Method and apparatus for incorporating a multiplier into an FPGA
US6373779B1 (en) 2000-05-19 2002-04-16 Xilinx, Inc. Block RAM having multiple configurable write modes for use in a field programmable gate array
US6725334B2 (en) 2000-06-09 2004-04-20 Hewlett-Packard Development Company, L.P. Method and system for exclusive two-level caching in a chip-multiprocessor
US6675265B2 (en) 2000-06-10 2004-01-06 Hewlett-Packard Development Company, L.P. Multiprocessor cache coherence system and method in which processor nodes and input/output nodes are equal participants
US7340596B1 (en) 2000-06-12 2008-03-04 Altera Corporation Embedded processor with watchdog timer for programmable logic
US6285624B1 (en) 2000-07-08 2001-09-04 Han-Ping Chen Multilevel memory access method
US6799265B1 (en) 2000-07-11 2004-09-28 Intel Corporation Dependency checking for reconfigurable logic
US20030028690A1 (en) 2000-07-20 2003-02-06 John Appleby-Alis System, method and article of manufacture for a reconfigurable hardware-based multimedia device
DE10036627A1 (de) 2000-07-24 2002-02-14 Pact Inf Tech Gmbh Integrierter Schaltkreis
DE10129237A1 (de) 2000-10-09 2002-04-18 Pact Inf Tech Gmbh Verfahren zur Bearbeitung von Daten
JP2002041489A (ja) 2000-07-25 2002-02-08 Mitsubishi Electric Corp 同期信号生成回路、それを用いたプロセッサシステムおよび同期信号生成方法
US7164422B1 (en) 2000-07-28 2007-01-16 Ab Initio Software Corporation Parameterized graphs with conditional components
US7924837B1 (en) 2000-07-31 2011-04-12 Avaya Communication Israel Ltd. IP multicast in VLAN environment
US6538468B1 (en) * 2000-07-31 2003-03-25 Cypress Semiconductor Corporation Method and apparatus for multiple boot-up functionalities for a programmable logic device (PLD)
US6542844B1 (en) 2000-08-02 2003-04-01 International Business Machines Corporation Method and apparatus for tracing hardware states using dynamically reconfigurable test circuits
US6754805B1 (en) 2000-08-07 2004-06-22 Transwitch Corporation Method and apparatus for configurable multi-cell digital signal processing employing global parallel configuration
US7219342B2 (en) 2000-08-07 2007-05-15 Altera Corporation Software-to-hardware compiler
DE60041444D1 (de) 2000-08-21 2009-03-12 Texas Instruments Inc Mikroprozessor
US7249351B1 (en) 2000-08-30 2007-07-24 Broadcom Corporation System and method for preparing software for execution in a dynamically configurable hardware environment
US6829697B1 (en) 2000-09-06 2004-12-07 International Business Machines Corporation Multiple logical interfaces to a shared coprocessor resource
US6538470B1 (en) 2000-09-18 2003-03-25 Altera Corporation Devices and methods with programmable logic and digital signal processing regions
US7346644B1 (en) 2000-09-18 2008-03-18 Altera Corporation Devices and methods with programmable logic and digital signal processing regions
US6518787B1 (en) * 2000-09-21 2003-02-11 Triscend Corporation Input/output architecture for efficient configuration of programmable input/output cells
GB2367647B (en) 2000-10-03 2002-11-20 Sun Microsystems Inc Resource access control for a processor
US6525678B1 (en) * 2000-10-06 2003-02-25 Altera Corporation Configuring a programmable logic device
ATE437476T1 (de) 2000-10-06 2009-08-15 Pact Xpp Technologies Ag Zellenanordnung mit segmentierter zwischenzellstruktur
US20040015899A1 (en) * 2000-10-06 2004-01-22 Frank May Method for processing data
US20020045952A1 (en) 2000-10-12 2002-04-18 Blemel Kenneth G. High performance hybrid micro-computer
JP2002123563A (ja) 2000-10-13 2002-04-26 Nec Corp コンパイル方法および合成装置ならびに記録媒体
US6398383B1 (en) 2000-10-30 2002-06-04 Yu-Hwei Huang Flashlight carriable on one's person
JP3636986B2 (ja) 2000-12-06 2005-04-06 松下電器産業株式会社 半導体集積回路
GB2370380B (en) 2000-12-19 2003-12-31 Picochip Designs Ltd Processor architecture
JP4022147B2 (ja) 2000-12-20 2007-12-12 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 設定可能な機能ユニットを備えるデータ処理装置
US6571322B2 (en) 2000-12-28 2003-05-27 International Business Machines Corporation Multiprocessor computer system with sectored cache line mechanism for cache intervention
US6426649B1 (en) 2000-12-29 2002-07-30 Quicklogic Corporation Architecture for field programmable gate array
US6483343B1 (en) 2000-12-29 2002-11-19 Quicklogic Corporation Configurable computational unit embedded in a programmable device
US6522167B1 (en) * 2001-01-09 2003-02-18 Xilinx, Inc. User configurable on-chip memory system
US6392912B1 (en) 2001-01-10 2002-05-21 Chameleon Systems, Inc. Loading data plane on reconfigurable chip
US7020673B2 (en) * 2001-01-19 2006-03-28 Sony Corporation Reconfigurable arithmetic device and arithmetic system including that arithmetic device and address generation device and interleave device applicable to arithmetic system
US20020099759A1 (en) 2001-01-24 2002-07-25 Gootherts Paul David Load balancer with starvation avoidance
US6633242B2 (en) 2001-02-08 2003-10-14 Sun Microsystems, Inc. Entropy coding using adaptable prefix codes
US6847370B2 (en) * 2001-02-20 2005-01-25 3D Labs, Inc., Ltd. Planar byte memory organization with linear access
US7581076B2 (en) 2001-03-05 2009-08-25 Pact Xpp Technologies Ag Methods and devices for treating and/or processing data
US7210129B2 (en) * 2001-08-16 2007-04-24 Pact Xpp Technologies Ag Method for translating programs for reconfigurable architectures
US7444531B2 (en) 2001-03-05 2008-10-28 Pact Xpp Technologies Ag Methods and devices for treating and processing data
US9436631B2 (en) 2001-03-05 2016-09-06 Pact Xpp Technologies Ag Chip including memory element storing higher level memory data on a page by page basis
US9037807B2 (en) 2001-03-05 2015-05-19 Pact Xpp Technologies Ag Processor arrangement on a chip including data processing, memory, and interface elements
GB2373595B (en) 2001-03-15 2005-09-07 Italtel Spa A system of distributed microprocessor interfaces toward macro-cell based designs implemented as ASIC or FPGA bread boarding and relative common bus protocol
US6836839B2 (en) 2001-03-22 2004-12-28 Quicksilver Technology, Inc. Adaptive integrated circuitry with heterogeneous and reconfigurable matrices of diverse and adaptive computational units having fixed, application specific computational elements
US6792588B2 (en) 2001-04-02 2004-09-14 Intel Corporation Faster scalable floorplan which enables easier data control flow
US20020143505A1 (en) 2001-04-02 2002-10-03 Doron Drusinsky Implementing a finite state machine using concurrent finite state machines with delayed communications and no shared control signals
US6836849B2 (en) 2001-04-05 2004-12-28 International Business Machines Corporation Method and apparatus for controlling power and performance in a multiprocessing system according to customer level operational requirements
US20030086300A1 (en) 2001-04-06 2003-05-08 Gareth Noyes FPGA coprocessing system
US6836842B1 (en) 2001-04-24 2004-12-28 Xilinx, Inc. Method of partial reconfiguration of a PLD in which only updated portions of configuration data are selected for reconfiguring the PLD
US7155602B2 (en) 2001-04-30 2006-12-26 Src Computers, Inc. Interface for integrating reconfigurable processors into a general purpose computing system
US6999984B2 (en) 2001-05-02 2006-02-14 Intel Corporation Modification to reconfigurable functional unit in a reconfigurable chip to perform linear feedback shift register function
US6802026B1 (en) 2001-05-15 2004-10-05 Xilinx, Inc. Parameterizable and reconfigurable debugger core generators
US6976239B1 (en) 2001-06-12 2005-12-13 Altera Corporation Methods and apparatus for implementing parameterizable processors and peripherals
EP1402382B1 (de) 2001-06-20 2010-08-18 Richter, Thomas Verfahren zur bearbeitung von daten
US10031733B2 (en) 2001-06-20 2018-07-24 Scientia Sol Mentis Ag Method for processing data
JP3580785B2 (ja) * 2001-06-29 2004-10-27 株式会社半導体理工学研究センター ルックアップテーブル、ルックアップテーブルを備えるプログラマブル論理回路装置、および、ルックアップテーブルの構成方法
US7043416B1 (en) 2001-07-27 2006-05-09 Lsi Logic Corporation System and method for state restoration in a diagnostic module for a high-speed microprocessor
US7383421B2 (en) 2002-12-05 2008-06-03 Brightscale, Inc. Cellular engine for a data processing system
US7036114B2 (en) 2001-08-17 2006-04-25 Sun Microsystems, Inc. Method and apparatus for cycle-based computation
US7216204B2 (en) 2001-08-27 2007-05-08 Intel Corporation Mechanism for providing early coherency detection to enable high performance memory updates in a latency sensitive multithreaded environment
US6874108B1 (en) 2001-08-27 2005-03-29 Agere Systems Inc. Fault tolerant operation of reconfigurable devices utilizing an adjustable system clock
US6868476B2 (en) 2001-08-27 2005-03-15 Intel Corporation Software controlled content addressable memory in a general purpose execution datapath
US7472230B2 (en) 2001-09-14 2008-12-30 Hewlett-Packard Development Company, L.P. Preemptive write back controller
US20030056091A1 (en) * 2001-09-14 2003-03-20 Greenberg Craig B. Method of scheduling in a reconfigurable hardware architecture with multiple hardware configurations
US20030055861A1 (en) * 2001-09-18 2003-03-20 Lai Gary N. Multipler unit in reconfigurable chip
US20030052711A1 (en) * 2001-09-19 2003-03-20 Taylor Bradley L. Despreader/correlator unit for use in reconfigurable chip
US8686475B2 (en) 2001-09-19 2014-04-01 Pact Xpp Technologies Ag Reconfigurable elements
US6854073B2 (en) 2001-09-25 2005-02-08 International Business Machines Corporation Debugger program time monitor
US6625631B2 (en) 2001-09-28 2003-09-23 Intel Corporation Component reduction in montgomery multiplier processing element
US6798239B2 (en) 2001-09-28 2004-09-28 Xilinx, Inc. Programmable gate array having interconnecting logic to support embedded fixed logic circuitry
US7000161B1 (en) * 2001-10-15 2006-02-14 Altera Corporation Reconfigurable programmable logic system with configuration recovery mode
US20060264508A1 (en) 2001-10-16 2006-11-23 Stone Richard A Modulation of ocular growth and myopia by gaba drugs
AU2002357739A1 (en) 2001-11-16 2003-06-10 Morpho Technologies Viterbi convolutional coding method and apparatus
US6886092B1 (en) 2001-11-19 2005-04-26 Xilinx, Inc. Custom code processing in PGA by providing instructions from fixed logic processor portion to programmable dedicated processor portion
US8412915B2 (en) * 2001-11-30 2013-04-02 Altera Corporation Apparatus, system and method for configuration of adaptive integrated circuitry having heterogeneous computational elements
US7188234B2 (en) 2001-12-12 2007-03-06 Intel Corporation Run-ahead program execution with value prediction
US6668237B1 (en) 2002-01-17 2003-12-23 Xilinx, Inc. Run-time reconfigurable testing of programmable logic devices
US20030154349A1 (en) 2002-01-24 2003-08-14 Berg Stefan G. Program-directed cache prefetching for media processors
US6476634B1 (en) * 2002-02-01 2002-11-05 Xilinx, Inc. ALU implementation in single PLD logic cell
DE10204044A1 (de) 2002-02-01 2003-08-14 Tridonicatco Gmbh & Co Kg Elektronisches Vorschaltgerät für Gasentladungslampe
US8914590B2 (en) 2002-08-07 2014-12-16 Pact Xpp Technologies Ag Data processing method and device
US6961924B2 (en) 2002-05-21 2005-11-01 International Business Machines Corporation Displaying variable usage while debugging
US20030226056A1 (en) 2002-05-28 2003-12-04 Michael Yip Method and system for a process manager
US7415594B2 (en) * 2002-06-26 2008-08-19 Coherent Logix, Incorporated Processing system with interspersed stall propagating processors and communication elements
WO2004021176A2 (de) 2002-08-07 2004-03-11 Pact Xpp Technologies Ag Verfahren und vorrichtung zur datenverarbeitung
US20070083730A1 (en) 2003-06-17 2007-04-12 Martin Vorbach Data processing device and method
US6865662B2 (en) 2002-08-08 2005-03-08 Faraday Technology Corp. Controlling VLIW instruction operations supply to functional units using switches based on condition head field
US6908227B2 (en) 2002-08-23 2005-06-21 Intel Corporation Apparatus for thermal management of multiple core microprocessors
US6976131B2 (en) 2002-08-23 2005-12-13 Intel Corporation Method and apparatus for shared cache coherency for a chip multiprocessor or multiprocessor system
JP4388895B2 (ja) 2002-09-06 2009-12-24 ペーアーツェーテー イクスペーペー テクノロジーズ アクチエンゲゼルシャフト リコンフィギュアラブルなシーケンサ構造
US6931494B2 (en) 2002-09-09 2005-08-16 Broadcom Corporation System and method for directional prefetching
US6803787B1 (en) * 2002-09-25 2004-10-12 Lattice Semiconductor Corp. State machine in a programmable logic device
US6802206B2 (en) 2002-10-11 2004-10-12 American Axle & Manufacturing, Inc. Torsional actuation NVH test method
US7571303B2 (en) 2002-10-16 2009-08-04 Akya (Holdings) Limited Reconfigurable integrated circuit
US7155708B2 (en) 2002-10-31 2006-12-26 Src Computers, Inc. Debugging and performance profiling using control-dataflow graph representations with reconfigurable hardware emulation
US7299458B2 (en) 2002-10-31 2007-11-20 Src Computers, Inc. System and method for converting control flow graph representations to control-dataflow graph representations
US6816814B2 (en) 2002-11-12 2004-11-09 Sonics, Inc. Method and apparatus for decomposing and verifying configurable hardware
US7873811B1 (en) 2003-03-10 2011-01-18 The United States Of America As Represented By The United States Department Of Energy Polymorphous computing fabric
US20130111188A9 (en) 2003-07-24 2013-05-02 Martin Vorbach Low latency massive parallel data processing device
US7127560B2 (en) 2003-10-14 2006-10-24 International Business Machines Corporation Method of dynamically controlling cache size
US7412581B2 (en) * 2003-10-28 2008-08-12 Renesas Technology America, Inc. Processor for virtual machines and method therefor
TW200532454A (en) 2003-11-12 2005-10-01 Gatechange Technologies Inc System and method for message passing fabric in a modular processor architecture
US8495122B2 (en) 2003-12-29 2013-07-23 Xilinx, Inc. Programmable device with dynamic DSP architecture
US7840627B2 (en) 2003-12-29 2010-11-23 Xilinx, Inc. Digital signal processing circuit having input register blocks
US7567997B2 (en) 2003-12-29 2009-07-28 Xilinx, Inc. Applications of cascading DSP slices
US7870182B2 (en) 2003-12-29 2011-01-11 Xilinx Inc. Digital signal processing circuit having an adder circuit with carry-outs
US7472155B2 (en) 2003-12-29 2008-12-30 Xilinx, Inc. Programmable logic device with cascading DSP slices
US7038952B1 (en) 2004-05-04 2006-05-02 Xilinx, Inc. Block RAM with embedded FIFO buffer
US7290238B2 (en) 2004-05-12 2007-10-30 International Business Machines Corporation Method, system and program product for building an automated datapath system generating tool
JP4396446B2 (ja) 2004-08-20 2010-01-13 ソニー株式会社 情報処理装置および方法、並びにプログラム
US7299339B2 (en) 2004-08-30 2007-11-20 The Boeing Company Super-reconfigurable fabric architecture (SURFA): a multi-FPGA parallel processing architecture for COTS hybrid computing framework
DE102005021749A1 (de) 2005-05-11 2006-11-16 Fachhochschule Dortmund Verfahren und Vorrichtung zur programmgesteuerten Informationsverarbeitung
US7933838B2 (en) 2005-05-17 2011-04-26 Zhishen Ye Apparatus for secure digital content distribution and methods therefor
US20070043965A1 (en) 2005-08-22 2007-02-22 Intel Corporation Dynamic memory sizing for power reduction
US7455450B2 (en) 2005-10-07 2008-11-25 Advanced Micro Devices, Inc. Method and apparatus for temperature sensing in integrated circuits
US7759968B1 (en) 2006-09-27 2010-07-20 Xilinx, Inc. Method of and system for verifying configuration data
US8156313B2 (en) * 2007-09-22 2012-04-10 Navosha Corporation Chained operation of functional units in integrated circuit by writing DONE/complete value and by reading as GO/start value from same memory location
US7971051B2 (en) 2007-09-27 2011-06-28 Fujitsu Limited FPGA configuration protection and control using hardware watchdog timer
US20090193384A1 (en) 2008-01-25 2009-07-30 Mihai Sima Shift-enabled reconfigurable device
JP2010277303A (ja) 2009-05-28 2010-12-09 Renesas Electronics Corp 半導体装置及び異常検出方法
US8650514B2 (en) * 2010-06-23 2014-02-11 Tabula, Inc. Rescaling

Also Published As

Publication number Publication date
US9274984B2 (en) 2016-03-01
US7782087B2 (en) 2010-08-24
US20180067896A1 (en) 2018-03-08
US7602214B2 (en) 2009-10-13
WO2004038599A1 (de) 2004-05-06
US20080191737A1 (en) 2008-08-14
EP1537486A1 (de) 2005-06-08
US20110006805A1 (en) 2011-01-13
US8310274B2 (en) 2012-11-13
US8803552B2 (en) 2014-08-12
US9817790B2 (en) 2017-11-14
US20130024657A1 (en) 2013-01-24
US20060192586A1 (en) 2006-08-31
JP2006501782A (ja) 2006-01-12
US20110148460A1 (en) 2011-06-23
US20140351482A1 (en) 2014-11-27
US7928763B2 (en) 2011-04-19
US20100039139A1 (en) 2010-02-18
US7394284B2 (en) 2008-07-01
US20160170925A1 (en) 2016-06-16
AU2003289844A1 (en) 2004-05-13
US10296488B2 (en) 2019-05-21

Similar Documents

Publication Publication Date Title
JP4388895B2 (ja) リコンフィギュアラブルなシーケンサ構造
AU2001245761B2 (en) Enhanced memory algorithmic processor architecture for multiprocessor computer systems
KR101120398B1 (ko) 스레드 최적화된 멀티프로세서 구조
US5680597A (en) System with flexible local control for modifying same instruction partially in different processor of a SIMD computer system to execute dissimilar sequences of instructions
US7237091B2 (en) Multiprocessor computer architecture incorporating a plurality of memory algorithm processors in the memory subsystem
WO1991020027A1 (en) Method and apparatus for a special purpose arithmetic boolean unit
AU2001245761A1 (en) Enhanced memory algorithmic processor architecture for multiprocessor computer systems
EP2310953B1 (en) Improvements relating to single instruction multiple data (simd) architectures
KR20080083417A (ko) 저전력형 컨피규레이션 캐시와 이를 포함하는 재구성형프로세싱 시스템
US20240054081A1 (en) Controlling access to a memory shared by a cluster of multiple processing elements
KR20060090512A (ko) 리소스 공유 및 파이프 라이닝 구성을 갖는 재구성가능배열구조
Walstrom et al. The design of the amalgam reconfigurable cluster
CN117597691A (zh) 用于深度神经网络体系结构中的推理处理的稀疏性感知数据储存器
Izawa et al. A Co-Processor Design for an Energy Efficient Reconfigurable Accelerator CMA
Campi et al. A stream register file unit for reconfigurable processors

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060817

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080922

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090206

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090501

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090513

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090603

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090610

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090703

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090710

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090806

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090904

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20091005

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121009

Year of fee payment: 3