JP4099804B2 - ワークピースの表面を処理する方法及びその装置 - Google Patents

ワークピースの表面を処理する方法及びその装置 Download PDF

Info

Publication number
JP4099804B2
JP4099804B2 JP00217798A JP217798A JP4099804B2 JP 4099804 B2 JP4099804 B2 JP 4099804B2 JP 00217798 A JP00217798 A JP 00217798A JP 217798 A JP217798 A JP 217798A JP 4099804 B2 JP4099804 B2 JP 4099804B2
Authority
JP
Japan
Prior art keywords
workpiece
electrode
chamber
wall portion
dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP00217798A
Other languages
English (en)
Other versions
JPH10226882A (ja
Inventor
スチュアート デンホルム アレック
シャオ ジクン
Original Assignee
アクセリス テクノロジーズ インコーポレーテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by アクセリス テクノロジーズ インコーポレーテッド filed Critical アクセリス テクノロジーズ インコーポレーテッド
Publication of JPH10226882A publication Critical patent/JPH10226882A/ja
Application granted granted Critical
Publication of JP4099804B2 publication Critical patent/JP4099804B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32412Plasma immersion ion implantation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/48Ion implantation

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physical Vapour Deposition (AREA)
  • Plasma Technology (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は、ワークピースをイオンプラズマ内に侵入させ、そしてプラズマ内のイオンを加速してワークピースにイオンを注入することにより、ワークピースにイオン不純物を注入するイオン注入装置に関する。
【0002】
【従来の技術】
商業的に有益なイオン注入装置は、注入室から離れたイオン源室を有するイオン源を使用し、このイオン源において、1つ以上のワークピースがイオン源からのイオンによって処理される。
【0003】
イオンが生成され、分析され、そして加速されて、イオンビームが形成されるように、イオン源室の出口開口からイオンが放出される。
【0004】
イオンビームは、排気されたビーム通路に沿ってイオン注入室に向けられ、1つ以上のワークピース、一般的には、円形のウエハに衝突する。このウエハは、注入室内に配置されている。イオンビームは、イオンをウエハに衝突させるのに十分なエネルギーを有しており、イオンが注入室内のウエハに浸透するようになっている。
【0005】
このような装置における一般的な利用では、ウエハはシリコンウエハであり、イオンはウエハをドーピングして、半導体材料を作るために使用される。
【0006】
従来の注入装置では、マスクや不活性化層を使用する選択注入でIC(集積回路)を製造することができる。この注入技術のための装置は、大きく、複雑で、高価であり、また、低いエネルギーでイオンを注入できるようにその能力が制限されている。
【0007】
「プラズマ源を用いたイオン注入方法及び装置」と題する、コンラッドの米国特許第4764394号明細書には、イオン衝撃手段によってターゲットを処理するためのイオン注入装置が開示されている。密閉室内のターゲットにイオン化プラズマを形成することによって三次元ターゲットの表面へのイオン注入が可能である。
一旦、ターゲットの周囲領域にプラズマが形成されると、イオンビームを介してターゲットを走査することなく、プラズマからのイオンはあらゆる面からターゲット対象物に指向される。
【0008】
この注入は、1つ以上のワークピースに高電圧の負の繰り返しパルスを加えることによって達成される。これらのパルスは、イオンをターゲットの露出面に指向させる。
【0009】
前述の米国特許第4764394号明細書に開示されているプラズマを生成する技術は、中性ガスをターゲット領域に導入して、イオン化放射でガスをイオン化している。
【0010】
【発明が解決しようとする課題】
リーベルマンによるプラズマ注入プロセスの理論的研究によれば、急激な負電圧がターゲットのワークピースに印加されると、表面近くの電子は、ワークピースの回りの領域からナノ秒以内に離れて、イオン マトリックス シース(ion matrix sheath) を均一な密度にすることが、エム.エイ.リーベルマン(M.A.Lieberman) の「プラズマ侵入によるイオン注入モデル」[J.Applied Physics ,66(1989年)第2926頁参照]に示されている。
【0011】
その後、シース内のイオンは、反転イオンプラズマ周波数の時間尺度で、加速され、1つまたはそれ以上のワークピース内へ侵入する。次に、このイオンは、ターゲットのワークピースから、さらに、シース境界部へと移動し、ワークピース内に向かう、より多くのイオンを放出する。
【0012】
より多く時間が経過すると、定常状態のイオンが一般的に空間電荷状態に発展する。約1cm厚さのシースがマイクロ秒単位内で発達する。この点については、エム.エム.シャミン(M.M.Shamim)等の「プラズマ源によるイオン注入におけるエネルギーのイオン衝撃手段による電子放出の測定」[J.Applied Physics ,70(1991年)第4756頁]を見られたい。
【0013】
プラズマ内の電界は、低く、かつほぼ全ての電位がターゲットに加えられて、シースを横断するイオンを加速する。一般的に、プラズマからのイオンがターゲット表面に衝突するとき、二次電子が作り出される。これらの二次電子は、ターゲットからシース間の電圧降下を介して加速され、そして、密閉室の壁面で終端する。二次電子放出係数の一般的な議論は、エス.クイン(S.Qin) 等の「有限上昇時間における高電圧パルスに対するマイクロウエーブ マルチポラー(Multipoar) バケットプラズマの応答」[IEEE議事録 Plas,Sci,20(1992年)第569頁を参照されたい。
【0014】
コンラッドの特許に開示されているこのようないくつかのイオン注入装置は、接地電位に注入室を保つとともに、ターゲットのワークピースに相対的に負電位のパルスを印加する。ほぼ平坦なターゲットのワークピースは、半導体ウエハまたは平坦なパネルディスプレイ基板等の一面側を処理する必要があるので、負のパルスをターゲットに印加するイオン注入装置は、好ましいものではない。このようなイオン注入装置において、ターゲットワークピースは、一般的に高い負電位にまで上昇させなければならない。
【0015】
このことは、ワークピースの取扱いをより困難で複雑ものとし、またこれらの装置は高い負電位を受けるので、ファラデーカップまたはカロリーメータ(calormeters) 等の監視装置によってターゲットのワークピースにおいてチャージコレクターに配給される電位を監視する。
【0016】
本発明の目的は、イオン注入よりターゲットのワークピースの表面を処理するのための新規でかつ改良された方法及び装置を提供することである。
【0017】
【課題を解決するための手段】
上記目を達成するために、本発明は各請求項に記載の構成を有している。本発明によれば、ターゲットのワークピースは、基準電位に維持され、かつワークピースの表面近くの電界は、ワークピース近くの導電体の電極に正の電圧パルスを供給することにより生じる。
【0018】
電力消費を減ずるために、正のパルスが供給される電極に対して負の電位を有するイオン注入室内の表面領域が減少される。イオン注入のために必要とされる全電流は減じられ、それに従って、イオン注入に必要な電力量もまた減じられる。
【0019】
本発明の好ましい実施の形態において、1つ以上のワークピースは、イオン注入室の内部領域内で1つ以上のワークピースを支持する導電性のワーク支持体によって支持される。イオン注入室は、室内部に接近する導電性の内側壁部分を有する。イオン注入室内にはまた、導電性の電極が配置され、この電極は、導電性のワーク支持体に対して位置決められている。当然、荷電ガス分子が、イオン注入室内に放出され、イオン化ガスまたはイオン化プラズマがワークピースの注入表面の近くに形成されるように、順次イオン化される。
【0020】
本発明の特徴によれば、導電性のワーク支持体、ワークピース、及びイオン注入室の導電性の内壁部分は、全て同一の基準電位に維持される。また、前記電極に対して負の電位を有する前記ワーク支持体及び前記注入室の内壁部分を誘電体でシールドする。導電性の電極には、この基準電位に関連して正のパルスが供給され、ガス分子から形成されたイオンが、ワークピースの注入表面に衝突する前に加速されるようにするための電界が与えられる。
【0021】
イオン注入のために必要なプラズマ状態の発生は、ワークピースの表面、またはそれに近い部分での電界によって決定され、その効率は、ワークピースに直接対向しないが相対的に正のパルスが供給される表面をほぼシールドすることによって改善することができる。
【0022】
好ましい実施の形態では、電極は、パルスが発展するにつれてこの電極に関連する電気力線がその電極内に集中するように誘電体でシールドされ、この誘電体のに近接する室内部内には集中しない。
【0023】
選択的に、誘電体は、基準電位に維持されているケーシング内を覆うことができる。
【0024】
効率は、基準電位に維持されている表面をシールドすることによって改善され、ターゲットのワークピースの表面での電界を高めるために必要となるものではない。本発明の実施の形態では、注入室の導電性壁部分及び導電性ワーク支持体が誘電体でシールドされ、これにより、電極に対して負の電位を有する注入室内の露出した表面領域の総量(ワーク支持体及び注入室の内壁部分)を効果的に減少させる。
【0025】
ワークピースは接地電位にあるので、本発明は、ワーク支持体およびワークピースの取扱い、機械的な走査、または他の動作を容易にし、イオンの注入量の均一化を改善することができる。また、注入量を測定するのに用いられるファラデーカップまたカロリーメータ等の装置が基準電位に維持されるので、本発明は、目標物の冷却及びイオン注入量の監視を簡単に行うことができる。
【0026】
本発明のこれら及び他の目的、優位性及び特徴は、本発明の好適な実施の形態を説明した詳細な説明及び図面に基いてより良く理解できるであろう。
【0027】
【発明の実施の形態】
本発明の実施の形態を図面に基づいて説明する。図1は、イオン注入装置の全体図であり、この注入装置10は、イオン処理を行うためにほぼ平坦なワークピース14が挿入される処理室12を有する。本発明の装置は、集積回路の製造中にシリコンウエハのイオン注入のために使用される。
【0028】
図1に示されている注入装置は、一度に1つのウエハを処理室(イオン注入室)12内に挿入するためのロードロック20を備えている。このロードロック20を使用することにより、ワークピースが処理室12内あるいは室外に出し入れされる時、バルブ手段21によって処理室12は減圧状態(大気圧に対して)に維持される。このバルブ手段21は、ワークピースが処理室12内に挿入される時に、または処理室12から回収される時に開閉される。
【0029】
図1に示されている処理室12は、ワークピース14が挿入される室内部24を形成する内壁22を有している。ワークピース14は、平坦な導電性のテーブルまたはワーク支持体30上に位置される。ワーク支持体30は、適当な寸法を有し、例えば、ワーク支持体上に支持されるワークピースの大きさに合う寸法を有している。
【0030】
ワーク支持体から上方に離れてガス放出用マニホールド32があり、このマニホールド32は、マニホールドとワークピース14の間の領域34にイオン化可能なガスを放出する。この領域34は、イオン注入装置10の動作中、イオンプラズマが作り出される。
【0031】
イオン注入室(処理室)12の外側にあるガス源36は、注入室の壁を貫通してマニホールド32に通じている導管37を介してイオン化可能なガスを注入する。マニホールド32は、導管37からワークピース14に面して整列された複数の開口(図示略)に通じる複数の分岐通路を有している。
【0032】
一様に処理するためには、マニホールド32とワークピース14との間の領域34内のガス密度をできるだけ一様に維持することが必要である。好適には、マニホールド32は図面において略円形であり、マニホールドの外周部の回りのガス濃度をできるだけ一様に維持するために、整列された複数の開口を有している。
【0033】
これに関して、複数のワークピース14は、プラズマの電位的に一様でない領域を避けるために、略円形の支持体30の端部から内側に距離を置いた支持体30上に配置される。また、これら部材の端部の電界強度を制御するために、ワーク支持体30、及びマニホールド32(電極)の端部の形状を好適に設計することによって、一様な注入が保証される。
【0034】
まず、ガス分子がイオン化されるプラズマ領域34(1つ以上の電子が剥離される)にガスが入ると、正電荷の原子は、マニホールド32が接地電位に維持されている間、マニホールド32に印加される一連の正電圧パルスによってワークピースに向かって加速される。ここに開示する実施の形態では、単一のワークピース14がワーク支持体30上に支持されているが、本発明の装置を用いて、多数のワークピースを同時にイオン注入することもできる。
【0035】
図1は、ワークピース14の上方の領域34でワークピースを処理する物質の集中を作り出すために使用される、相対的にバイアスをかける配置が示されている。この導電性のワーク支持体30、ワークピース14、及び処理室の壁部分22は、全て接地電位である。正電圧パルスがマニホールド32に印加されると、領域34における比較的高い電界により、イオンが作り出されて支持体30に向けて加速される。
【0036】
モジュレータ回路(電子源または制御回路)100が、処理室12の外側に配置され、マニホールド32に電圧パルスを印加する。従って、このマニホールド32は、1つの電極として作用し、また、支持体30も他の電極として作用する。商業的に受け入れられる多数の電源のうちのいずれか1つが、適切な電圧パルスを供給するために適している。
【0037】
その一例として、高電力用IGFETアセンブリを用いて電源を構成することができ、スイッチの閉成時に電圧パルスを発生し、スイッチの開成時に電圧パルスを停止する。このパルス112は、導管37に電気的に結合された導体113によって印加され、本発明の好ましい形態では、導管37は導電性物質から構成されている。代わりに、このパルスは、注入室の壁を貫いてマニホールド32に直接連結された絶縁導体を通って導くこともできる。
【0038】
好ましいモジュレータ回路100は、連続するパルス112を供給する電源を含み、このパルスは、注入室内に注入されるガス分子をイオン化し、そしてこのイオン化されたガス分子を1つ以上のワークピース14の注入表面に向かって加速する。
【0039】
パルス112の繰り返し速度及びパルス持続時間は、グロー放電が生じてマニホールド32に印加されるパルスによって領域34にプラズマが形成されかつ維持されるように選択される。
【0040】
プラズマ密度は、イオン化のためのパルスが取り除かれた後、再結合及び拡散によるミリ秒単位の時間で衰退する。連続してプラズマを維持するために、1秒当たり何千回またはそれ以上のパルス繰り返し率が必要である。しかし、低いパルス速度でも各パルスがある間、イオン化及び注入過程が起こり、低い比率でイオン注入が与えられる。
【0041】
汚染を減少するために、本発明の実施の形態における支持体30及びマニホールド32は、シリコンによって被覆されたアルミニウムで形成されている。注入室の壁22および/または支持体の非作業領域の少なくとも一部を適当な絶縁材料、例えば、水晶でライニングすることにより、電力消費と共に汚染を減少させることができる。
【0042】
ワークピース14を注入室内に挿入するためのロードロック20を使用することにより、注入室12の内部を排気状態のままに保つことができる。真空ポンプ140は、注入室12とポンプ140の間に置かれたバルブ142によって規定される減じた圧力に注入室内部を保つ。
【0043】
正のパルスが印加されたマニホールド32に関連する電気力線は、ワークピース14の表面を含み、注入室12内でマニホールド32に対して負の電位を有する表面領域(ワーク支持体及び注入室の内壁部分)に向けられる。絶縁性の誘電体40を用いたマニホールド32と配送導管、及び導電性のケーシング42を基準電位に維持してシールドすることにより効率が改善される。
【0044】
このシールドは、マニホールド32に対面する領域の反対側にある、正のパルスが印加されたマニホールド32の部分を覆うもので、それゆえ、ワークピースの表面またはその近くに電界を発生させる必要がない。マニホールド32をシールドすることにより、マニホールド32とワークピースの間の領域34内の電気力線を集中させることができ、そして注入室12の内部領域24内のいずれの部分でも電界強度が減少する。
【0045】
誘電体40を用いることにより、モジュレータ回路100によって駆動される負荷を減少し、これにより、モジュレータ回路100により消費される電力を減少させる。ケーシング42を用いて、導管37に関連する電界が誘電体40内に含まれるので、加速するイオン及び電子に関連した負荷を生じない。
【0046】
ケーシング42を用いない場合、シールドは、与えられているが効率が減少する。ケーシング42がなければ、誘電体40の外部表面は、プラズマを形成する電子の流れによって接地電位に保たれる。
【0047】
は、イオン注入装置10を示し、この注入装置は注入室12でイオンを増大するために紫外線光源102を含んでいる。このイオン注入室12は、光源102から紫外線の通路に送るための窓を有する。この紫外線は、注入室12を通り、マニホールド32と支持体30の間の領域に向かい、そして、ワークピース14の比較のイオン化領域において、マニホールド32を介して注入室に入るガス原子のイオン化を促進する。
【0048】
効率は、注入室12の表面領域をシールドすることによっても改善することができ、この注入室は、正のパルスが印加されたマニホールド32に対して負の電位に維持され、そしてワークピース14の近くまたはその表面に電界を与える必要がない。
【0049】
図2に示すように、注入室12の内壁部分22シールドするために、誘電体50を用いることができる。誘電体50の内側表面51は、正のイオンが衝突し、そして、二次電子が出る誘電体表面51によって正に帯電し、マニホールド32と同一の電位となる。
【0050】
誘電体50は、電界が誘電体51の表面またはその近くのイオンプラズマを通過するのを阻止する。その結果、この領域では、イオン化また加速されるガス分子が減少し、そして、モジュレータ回路100に導かれた対応する負荷も減少する。
【0051】
図3は、本発明に従う別のイオン注入装置を示している。この注入装置は、正のパルスが印加されたマニホールド32に対して負の電位を有するイオン注入室12内の表面領域の総量を減少する。この形態では、イオン注入装置10の部品は、正のパルスが印加される。
【0052】
図3に示すように、イオン源60及びこれに供給されるイオン化可能な物質の供給源61は、モジュレータ回路100によって正のパルスが印加されて高電位となっている。イオン源60は、誘導的に結合したプラズマ[inductively coupled plasma(ICP)] 、ヘリコン(helicon) 、ECRまたはマイクロ波ソース等の多数の商業的に利用されているソースの1つを含んでいる。
【0053】
絶縁プレート63および絶縁リング64は、イオン注入装置10の正のパルスが印加された部分を、負の電位を有するワークピース14の表面領域から分離する。
【0054】
モジュレータ回路100の負荷は、マニホールド32に対して負の電位にある選択された表面領域をシールドするためにバイアスされたグリッドを用いることにより減少する。本実施の形態では、図4に示すように、金属製のグリッド70が,注入室12の内壁22の表面からほぼ1cm離れて平行に配置されている。絶縁スペーサまたは支柱71が、グリッド70を注入室の内壁22から分離している。互いに電気的に連結しているグリッド70は、図4の符号114で示すように内壁22に対して負にバイアスされ、その結果、イオン衝撃によって内壁22の表面に生じる二次電子が、バイアスされたグリッド70によって抑制される。
【0055】
本発明によれば、高電圧が印加されると、グリッド70の表面近くの電界強度は、内壁22にグリッド70を設けない場合よりも数倍高くなる。しかし、プラズマシース(plasma sheath) がグリッド70から離れて広がるので、シース境界部での電界強度は、通常のレベルとなり、シースの拡張も通常の速さである。
【0056】
グリッド70がないと、正のイオンが衝突する表面は、マニホールド32に対して負の電位を有し、イオンに対する電子の割合が5倍程高い二次電子を発生する。グリッド70を備えると、低いバイアス電圧でも十分に、関連する二次電子の負荷電流を減少させることができる。グリッドの影響により、電子放出の表面領域が減少する。このバイアス電圧は、二次電子の負荷を減少させるのに必要であり、外形状に応じて約50〜150ボルトの範囲の非常に低い値である。
【0057】
本発明の変形例をある程度限定して説明してきたが。本発明は、添付された特許請求の範囲またはその技術的思想に含まれる全ての変更や修正を含んでいる。
【図面の簡単な説明】
【図1】図1は、本発明の好ましい実施の形態に従って構成されたイオン注入装置の概略図である。
【図2】図2は、本発明の別の実施の形態に従って構成されたイオン注入装置の概略図である。
【図3】図3は、本発明のもう1つの実施の形態に従って構成されたイオン注入装置の概略図である。
【図4】図4は、さらに、本発明のもう1つの実施の形態に従って構成されたイオン注入装置の概略図である。
【符号の説明】
12 処理室
14 ワークピース
20 ロードロック
21 バルブ
22 内壁部分
24 室内部
30 ワーク支持体
32 マニホールド(電極)
34 領域
36 ガス供給源
60 イオン源
100 モジュレータ回路

Claims (23)

  1. ワークピースの表面にイオンを衝突させてこの表面を処理する方法であって、
    (a) 室内部(24)を有し、かつ1つ以上のワークピース(14)を支持するための導電性のワーク支持体(30)を前記室内部(24)に配置し、さらにワークピースを、ワーク支持体上の、前記ワーク支持体と導電性の電極との間の領域に置くことができるように、前記ワーク支持体に対して導電性の電極を配置しているイオン注入室(12)を設け、
    (b) 1つ以上のワークピースをイオン注入室(12)に挿入して、ワークピースの注入表面が前記電極に対面するように、このワークピースを前記ワーク支持体(30)上に配置し、
    (c) 前記ワーク支持体(30)、1つ以上のワークピース、及び前記イオン注入室(12)の導電性の壁部分(22)同一の基準電位に保ち、かつ前記電極に対して負の電位を有する前記ワーク支持体 (30) 及び前記注入室 (12) の内壁部分 (22) を誘電体でシールドし、
    (d) 前記イオン注入室内のイオン化可能な注入物質を、前記ワーク支持体(30)によって支持される1つ以上のワークピースに近接して配置し、
    (e) この注入物質をイオン化して、前記ワークピースの注入表面の近くにイオンプラズマを形成し、
    (f) 電界を介してプラズマ内のイオンを加速して、前記ワークピースの注入表面にイオンを衝突させる、各工程を含んでいることを特徴とするワークピースの表面を処理する方法。
  2. 前記イオンを加速する工程が、基準電位に対して正の電圧パルス(112) を用いて、電気的にパルスを電極に加えることにより行われることを特徴とする請求項1記載の方法。
  3. 電気的にパルスを電極に加える工程は、ガス分子をイオン化し、かつこのイオン化されたガス分子を加速することを特徴としている請求項2記載の方法。
  4. イオン化可能な注入物質は、中性ガス分子から作られ、かつこのイオン化工程は、電子源(100) からの電界を印加することにより行われることを特徴とする請求項1記載の方法。
  5. イオン化工程は、ICP、ヘリコン(helicon) 、ECR、マイクロ波ソースを含むグループから選択したイオン源(60)を用いて、ガス分子をイオン化することからなる請求項1記載の方法。
  6. 誘電体(50)を用いて電極のための支持構造をシールドする工程をさらに含、前記電極のワーク支持体(30)に関連した電気力線が、前記誘電体内に集中して、この誘電体に極めて接近した室内部(24)内には集中しないことを特徴とする請求項1記載の方法。
  7. ケーシング内の前記誘電体(50)の外部部分を覆い、かつこのケーシングを基準電位に維持する付加的な工程を含むことを特徴とする請求項6記載の方法。
  8. 前記ワーク支持体(30)及び前記注入室 (12) の内壁部分 (22) を誘電体(50)シールドする工程は、前記電極に対して負電位にある注入室(12)内の表面領域の総量を減少させ、そして、イオン化されたガス分子が注入されるようにすることを特徴とする請求項1記載の方法。
  9. 前記内壁部分(22)の内側領域をシールドするための誘電体(50)をさらに含み、前記イオン化されたガス分子が衝突し、そして、この領域の表面に二次電子が出て、前記誘電体(50)が電極(32)の電位とほぼ同一の正電位となるようにさせ、これにより、前記電極に対して負電位にある注入室(12)内の表面領域の総量を減少させ、そして、イオン化されたガス分子が注入されるようにすることを特徴とする請求項1記載の方法。
  10. イオン注入室(12)は、この注入室の内壁部分からイオン源(60)を分離するための絶縁体(63,64) をさらに含み、前記イオン源は、前記電極(32)の電位とほぼ同一の正の電位となるように電気的にパルスを加えるようになっており、これにより、前記電極に対して負電位にある注入室(12)内の表面領域の総量を減少させ、そして、イオン化されたガス分子が注入されるようにすることを特徴とする請求項1記載の方法。
  11. 金属製グリッド(70)によって、前記イオン注入室(12)の内壁部分(22)をシールドし、また、前記壁部分に対して前記グリッドの表面を負の電位にバイアスをかける工程をさらに有しており、前記壁部分で発生する二次電子がかなり抑制され、これにより、前記電極に対して負電位にある注入室(12)内の表面領域の総量を減少させ、そして、前記電極から二次電子が放出できるようにすることを特徴とする請求項1記載の方法。
  12. 前記イオン化工程は、紫外線放射が紫外線光源(102) からのイオン化室の領域を通過させる副工程を含んでいることを特徴とする請求項1記載の方法
  13. ワークピースの表面にイオンを衝突させてこの注入表面を処理する装置であって、
    (a) 室内部(24)を形成し、その中に1つ以上のワークピース(14)を挿入可能であり、前記室内部に接した導電性の内壁部分(22)を有しているイオン注入室(12)と、
    (b) このイオン注入室(12)の内部領域内に延びている導電性のワーク支持体(30)と、
    (c) このワーク支持体(30)に対してイオン注入室内に配置され、前記ワーク支持体上にあってこの支持体(30)との間の領域(34)に前記ワークピース(14)を配置できるようにする導電性の電極(32)と、
    (d) イオン化可能な注入物質を前記イオン注入室内に注入し、イオン化したイオンのプラズマが1つ以上のワークピースの注入表面の近くに形成されるように前記注入物質をイオン化するための手段(36,61,60)と、
    (e) 前記ワーク支持体 (30) と前記イオン注入室 (12) の内壁部分 (22) を同一の基準電位に維持するための手段と、
    (f) 前記電極に対して負の電位を有する前記ワーク支持体 (30) 及び前記注入室 (12) の内壁部分 (22) を誘電体でシールドする手段と、
    (g) 前記ワーク支持体(30)、1つ以上のワークピース、及び前記イオン注入室(12)壁部分(22)に対して正の電位となるように前記電極に電気的にパルスを与え、かつイオンが前記ワークピースの注入表面に衝突する前にイオンを加速する電界を形成する電源を含んでいる制御回路(100) とを備えていることを特徴とするワークピースの処理装置。
  14. 前記電源は、高電力用IGFETアセンブリ等のソリッドステートスイッチを含み、スイッチの閉位置で電圧パルスを開始し、スイッチの開位置で電圧パルスを停止させることを特徴とする請求項13記載のワークピースの処理装置。
  15. 前記電極をシールドするための誘電体(40)をさらに含み、前記電極に関連した電気力線が、この誘電体を通過し、前記電極に近接するイオン化したガス内には通らないことを特徴とする請求項13記載のワークピースの処理装置。
  16. 前記電極をシールドするための前記誘電体 (40)の外側部分をほぼシールドするためのケーシング(42)をさらに含んでいることを特徴とする請求項15記載のワークピースの処理装置。
  17. 前記ケーシング(42)を基準電位に維持するための手段をさらに含むことを特徴とする請求項15記載のワークピースの処理装置。
  18. 前記ワーク支持体(30)及び前記注入室 (12) の内壁部分 (22) を誘電体( 50 )でシールドする手段は、前記電極に対して負電位にある前記注入室(12)内の表面領域の総量を減少させ、そして、イオン化されたガス分子が注入されるようにすることを特徴とする請求項13記載のワークピースの処理装置。
  19. 前記内壁部分(22)の内側領域をシールドするための誘電体 50 をさらに含み、前記イオン化したガス分子が衝突し、そして、この領域の表面から二次電子を放出し、前記誘電体(50)が電極(32)の電位とほぼ同一の正電位となるようにさせ、これにより、前記電極に対して負電位にある注入室(12)内の表面領域の総量を減少させ、そして、イオン化されたガス分子が注入されるようにすることを特徴とする請求項13記載のワークピースの処理装置。
  20. 前記イオン注入室(12)は、この注入室の内壁部分(22)からイオン源(60)を分離するための絶縁体(63,64) をさらに含み、前記イオン源は、電極(32)の電位とほぼ同一の正電位となるように電気的にパルスを加えるようになっており、これにより、前記電極に対して負電位にある注入室(12)内の表面領域の総量を減少させ、そして、イオン化されたガス分子が注入されるようにすることを特徴とする請求項13記載のワークピースの処理装置。
  21. 前記内壁部分をシールドするために、前記イオン注入室(12)の前記内壁部分(22)から離間した、負バイアスの金属製グリッド(70)をさらに含み、これにより、前記電極に対して負電位にある注入室(12)内の表面領域の総量を減少させ、そして、イオン化されたガス分子が注入されるようにすることを特徴とする請求項13記載のワークピースの処理装置。
  22. 前記金属製グリッド(70)の表面にバイアスを加える手段(114) をさらに含み、導電性の内壁部分(22)に発生する二次電子がかなり抑制され、これにより、前記導電性の電極に対して負電位にある注入室(12)内の表面領域の総量を減少させ、そして、イオン化されたガス分子が注入されるようにすることを特徴とする請求項21記載のワークピースの処理装置。
  23. 1つ以上のワークピースの近くのイオン注入室の領域(34)に、紫外線エネルギーを通過させるようにするための紫外線光源(102) を備えていることを特徴とする請求項13記載のワークピースの処理装置。
JP00217798A 1997-01-09 1998-01-08 ワークピースの表面を処理する方法及びその装置 Expired - Fee Related JP4099804B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US780808 1997-01-09
US08/780,808 US5911832A (en) 1996-10-10 1997-01-09 Plasma immersion implantation with pulsed anode

Publications (2)

Publication Number Publication Date
JPH10226882A JPH10226882A (ja) 1998-08-25
JP4099804B2 true JP4099804B2 (ja) 2008-06-11

Family

ID=25120764

Family Applications (1)

Application Number Title Priority Date Filing Date
JP00217798A Expired - Fee Related JP4099804B2 (ja) 1997-01-09 1998-01-08 ワークピースの表面を処理する方法及びその装置

Country Status (6)

Country Link
US (1) US5911832A (ja)
EP (1) EP0860854A1 (ja)
JP (1) JP4099804B2 (ja)
KR (1) KR100407606B1 (ja)
CN (1) CN1198072A (ja)
TW (1) TW388922B (ja)

Families Citing this family (77)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5653811A (en) 1995-07-19 1997-08-05 Chan; Chung System for the plasma treatment of large area substrates
DE19702294A1 (de) * 1997-01-23 1998-07-30 Rossendorf Forschzent Modulator für die Plasmaimmersions-Ionenimplantation
US6300643B1 (en) 1998-08-03 2001-10-09 Varian Semiconductor Equipment Associates, Inc. Dose monitor for plasma doping system
US6020592A (en) 1998-08-03 2000-02-01 Varian Semiconductor Equipment Associates, Inc. Dose monitor for plasma doping system
US6050218A (en) * 1998-09-28 2000-04-18 Eaton Corporation Dosimetry cup charge collection in plasma immersion ion implantation
US6182604B1 (en) 1999-10-27 2001-02-06 Varian Semiconductor Equipment Associates, Inc. Hollow cathode for plasma doping system
US6335536B1 (en) 1999-10-27 2002-01-01 Varian Semiconductor Equipment Associates, Inc. Method and apparatus for low voltage plasma doping using dual pulses
DE10008617A1 (de) 2000-02-24 2001-09-06 Infineon Technologies Ag Verfahren zur Herstellung einer ferroelektrischen Schicht
US6305316B1 (en) * 2000-07-20 2001-10-23 Axcelis Technologies, Inc. Integrated power oscillator RF source of plasma immersion ion implantation system
US7166524B2 (en) * 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US6939434B2 (en) * 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US7223676B2 (en) * 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US7294563B2 (en) * 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US20070042580A1 (en) * 2000-08-10 2007-02-22 Amir Al-Bayati Ion implanted insulator material with reduced dielectric constant
US6893907B2 (en) 2002-06-05 2005-05-17 Applied Materials, Inc. Fabrication of silicon-on-insulator structure using plasma immersion ion implantation
US7094316B1 (en) 2000-08-11 2006-08-22 Applied Materials, Inc. Externally excited torroidal plasma source
US7288491B2 (en) * 2000-08-11 2007-10-30 Applied Materials, Inc. Plasma immersion ion implantation process
US7137354B2 (en) * 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
US7430984B2 (en) * 2000-08-11 2008-10-07 Applied Materials, Inc. Method to drive spatially separate resonant structure with spatially distinct plasma secondaries using a single generator and switching elements
US7303982B2 (en) * 2000-08-11 2007-12-04 Applied Materials, Inc. Plasma immersion ion implantation process using an inductively coupled plasma source having low dissociation and low minimum plasma voltage
US7037813B2 (en) * 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
US7094670B2 (en) 2000-08-11 2006-08-22 Applied Materials, Inc. Plasma immersion ion implantation process
US7320734B2 (en) * 2000-08-11 2008-01-22 Applied Materials, Inc. Plasma immersion ion implantation system including a plasma source having low dissociation and low minimum plasma voltage
US7479456B2 (en) * 2004-08-26 2009-01-20 Applied Materials, Inc. Gasless high voltage high contact force wafer contact-cooling electrostatic chuck
US7183177B2 (en) * 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US20050230047A1 (en) * 2000-08-11 2005-10-20 Applied Materials, Inc. Plasma immersion ion implantation apparatus
US7465478B2 (en) * 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
US7309997B1 (en) 2000-09-15 2007-12-18 Varian Semiconductor Equipment Associates, Inc. Monitor system and method for semiconductor processes
EP1229068B1 (en) * 2001-02-06 2005-09-14 Shibuya Kogyo Co., Ltd. Method and apparatus for modifying the inner surface of containers made of polymeric compound
US20030079688A1 (en) * 2001-10-26 2003-05-01 Walther Steven R. Methods and apparatus for plasma doping by anode pulsing
US6716727B2 (en) 2001-10-26 2004-04-06 Varian Semiconductor Equipment Associates, Inc. Methods and apparatus for plasma doping and ion implantation in an integrated processing system
US20030101935A1 (en) * 2001-12-04 2003-06-05 Walther Steven R. Dose uniformity control for plasma doping systems
US20030116089A1 (en) * 2001-12-04 2003-06-26 Walther Steven R. Plasma implantation system and method with target movement
US7355687B2 (en) * 2003-02-20 2008-04-08 Hunter Engineering Company Method and apparatus for vehicle service system with imaging components
US20050205211A1 (en) * 2004-03-22 2005-09-22 Vikram Singh Plasma immersion ion implantion apparatus and method
US7695590B2 (en) 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US20050211547A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma reactor and process using plural ion shower grids
US7244474B2 (en) * 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US7291360B2 (en) * 2004-03-26 2007-11-06 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
US20050211546A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma process using an ion shower grid
US20050260354A1 (en) * 2004-05-20 2005-11-24 Varian Semiconductor Equipment Associates, Inc. In-situ process chamber preparation methods for plasma ion implantation systems
US7396746B2 (en) 2004-05-24 2008-07-08 Varian Semiconductor Equipment Associates, Inc. Methods for stable and repeatable ion implantation
US7878145B2 (en) * 2004-06-02 2011-02-01 Varian Semiconductor Equipment Associates, Inc. Monitoring plasma ion implantation systems for fault detection and process control
US20050287307A1 (en) * 2004-06-23 2005-12-29 Varian Semiconductor Equipment Associates, Inc. Etch and deposition control for plasma implantation
US7741621B2 (en) * 2004-07-14 2010-06-22 City University Of Hong Kong Apparatus and method for focused electric field enhanced plasma-based ion implantation
US8058156B2 (en) 2004-07-20 2011-11-15 Applied Materials, Inc. Plasma immersion ion implantation reactor having multiple ion shower grids
US7767561B2 (en) * 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
US20060043531A1 (en) * 2004-08-27 2006-03-02 Varian Semiconductor Equipment Associates, Inc. Reduction of source and drain parasitic capacitance in CMOS devices
US7666464B2 (en) * 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
CN1315150C (zh) * 2004-11-12 2007-05-09 哈尔滨工业大学 等离子体脉冲注入的装置
US20060121704A1 (en) * 2004-12-07 2006-06-08 Varian Semiconductor Equipment Associates, Inc. Plasma ion implantation system with axial electrostatic confinement
US20060236931A1 (en) * 2005-04-25 2006-10-26 Varian Semiconductor Equipment Associates, Inc. Tilted Plasma Doping
US7428915B2 (en) * 2005-04-26 2008-09-30 Applied Materials, Inc. O-ringless tandem throttle valve for a plasma reactor chamber
US7312162B2 (en) * 2005-05-17 2007-12-25 Applied Materials, Inc. Low temperature plasma deposition process for carbon layer deposition
US20060260545A1 (en) * 2005-05-17 2006-11-23 Kartik Ramaswamy Low temperature absorption layer deposition and high speed optical annealing system
US7422775B2 (en) * 2005-05-17 2008-09-09 Applied Materials, Inc. Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US7109098B1 (en) 2005-05-17 2006-09-19 Applied Materials, Inc. Semiconductor junction formation process including low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US7312148B2 (en) * 2005-08-08 2007-12-25 Applied Materials, Inc. Copper barrier reflow process employing high speed optical annealing
US7323401B2 (en) * 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7335611B2 (en) * 2005-08-08 2008-02-26 Applied Materials, Inc. Copper conductor annealing process employing high speed optical annealing with a low temperature-deposited optical absorber layer
US7429532B2 (en) * 2005-08-08 2008-09-30 Applied Materials, Inc. Semiconductor substrate process using an optically writable carbon-containing mask
US8642135B2 (en) 2005-09-01 2014-02-04 Micron Technology, Inc. Systems and methods for plasma doping microfeature workpieces
US20070170867A1 (en) * 2006-01-24 2007-07-26 Varian Semiconductor Equipment Associates, Inc. Plasma Immersion Ion Source With Low Effective Antenna Voltage
US8932430B2 (en) 2011-05-06 2015-01-13 Axcelis Technologies, Inc. RF coupled plasma abatement system comprising an integrated power oscillator
US20080132046A1 (en) * 2006-12-04 2008-06-05 Varian Semiconductor Equipment Associates, Inc. Plasma Doping With Electronically Controllable Implant Angle
US20080169183A1 (en) * 2007-01-16 2008-07-17 Varian Semiconductor Equipment Associates, Inc. Plasma Source with Liner for Reducing Metal Contamination
WO2008089168A2 (en) * 2007-01-19 2008-07-24 Applied Materials, Inc. Plasma immersion chamber
US7820533B2 (en) * 2007-02-16 2010-10-26 Varian Semiconductor Equipment Associates, Inc. Multi-step plasma doping with improved dose control
US20090084987A1 (en) * 2007-09-28 2009-04-02 Varian Semiconductor Equipment Associates, Inc. Charge neutralization in a plasma processing apparatus
CN101922046B (zh) * 2010-09-01 2012-07-04 中国科学院微电子研究所 一种等离子体浸没注入装置
CN102333409B (zh) * 2011-06-17 2013-01-02 深圳市华星光电技术有限公司 大气压等离子装置及其制造方法
US8461558B2 (en) * 2011-07-01 2013-06-11 Varian Semiconductor Equipment Associates, Inc. System and method for ion implantation with dual purpose mask
CN102413627B (zh) * 2011-07-22 2013-03-20 中国科学院空间科学与应用研究中心 一种改变等离子体参数的方法
US8916056B2 (en) 2012-10-11 2014-12-23 Varian Semiconductor Equipment Associates, Inc. Biasing system for a plasma processing apparatus
EP3503159B1 (fr) * 2017-12-20 2021-05-05 The Swatch Group Research and Development Ltd Procédé d'implantation d'ions sur une surface d'un objet à traiter
US11348784B2 (en) 2019-08-12 2022-05-31 Beijing E-Town Semiconductor Technology Co., Ltd Enhanced ignition in inductively coupled plasmas for workpiece processing
CN114071849B (zh) * 2021-11-15 2023-11-14 上海无线电设备研究所 一种超高声速目标烧蚀扩散物等离子体发生器

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3118785A1 (de) * 1981-05-12 1982-12-02 Siemens AG, 1000 Berlin und 8000 München Verfahren und vorrichtung zum dotieren von halbleitermaterial
US4764394A (en) * 1987-01-20 1988-08-16 Wisconsin Alumni Research Foundation Method and apparatus for plasma source ion implantation
JPH01185918A (ja) * 1988-01-21 1989-07-25 Fuji Electric Co Ltd 半導体基体への不純物導入装置
JPH0639709B2 (ja) * 1988-09-05 1994-05-25 日立電子エンジニアリング株式会社 プラズマcvd装置
JPH03102755A (ja) * 1989-09-18 1991-04-30 Nissin Electric Co Ltd イオン注入方法
US5580384A (en) * 1989-09-22 1996-12-03 Balzers Aktiengesellschaft Method and apparatus for chemical coating on opposite surfaces of workpieces
WO1993018201A1 (en) * 1992-03-02 1993-09-16 Varian Associates, Inc. Plasma implantation process and equipment
US5346600A (en) * 1992-08-14 1994-09-13 Hughes Aircraft Company Plasma-enhanced magnetron-sputtered deposition of materials
US5289010A (en) * 1992-12-08 1994-02-22 Wisconsin Alumni Research Foundation Ion purification for plasma ion implantation
US5572038A (en) * 1993-05-07 1996-11-05 Varian Associates, Inc. Charge monitor for high potential pulse current dose measurement apparatus and method
US5354381A (en) * 1993-05-07 1994-10-11 Varian Associates, Inc. Plasma immersion ion implantation (PI3) apparatus
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
JPH0786603A (ja) * 1993-09-16 1995-03-31 Sharp Corp 半導体膜の製造方法
JP3254861B2 (ja) * 1993-10-29 2002-02-12 日新電機株式会社 イオン注入装置
KR100302167B1 (ko) * 1993-11-05 2001-11-22 히가시 데쓰로 플라즈마처리장치및플라즈마처리방법
JP2592217B2 (ja) * 1993-11-11 1997-03-19 株式会社フロンテック 高周波マグネトロンプラズマ装置
US5565036A (en) * 1994-01-19 1996-10-15 Tel America, Inc. Apparatus and method for igniting plasma in a process module
US5680013A (en) * 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5558718A (en) * 1994-04-08 1996-09-24 The Regents, University Of California Pulsed source ion implantation apparatus and method
US5449920A (en) * 1994-04-20 1995-09-12 Northeastern University Large area ion implantation process and apparatus
DE4432156A1 (de) * 1994-09-09 1996-03-14 Smc Spezialmaterialien Zur Flu Verfahren und Vorrichtung zum Aufbringen und/oder Implantieren metallischer Atome und/oder Ionen auf bzw. in ein Substrat
JP3512550B2 (ja) * 1995-01-30 2004-03-29 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP2748886B2 (ja) * 1995-03-31 1998-05-13 日本電気株式会社 プラズマ処理装置
US5711812A (en) * 1995-06-06 1998-01-27 Varian Associates, Inc. Apparatus for obtaining dose uniformity in plasma doping (PLAD) ion implantation processes
US5682400A (en) * 1995-09-27 1997-10-28 Krasnov; Alexander V. Supersonic and subsonic laser with high frequency discharge excitation

Also Published As

Publication number Publication date
JPH10226882A (ja) 1998-08-25
CN1198072A (zh) 1998-11-04
KR100407606B1 (ko) 2004-03-20
KR19980070441A (ko) 1998-10-26
EP0860854A1 (en) 1998-08-26
TW388922B (en) 2000-05-01
US5911832A (en) 1999-06-15

Similar Documents

Publication Publication Date Title
JP4099804B2 (ja) ワークピースの表面を処理する方法及びその装置
JP4178330B2 (ja) プラズマ注入システム
US6020592A (en) Dose monitor for plasma doping system
US6500496B1 (en) Hollow cathode for plasma doping system
KR100876049B1 (ko) 통합 처리 시스템 내에서의 플라즈마 도핑 및 이온 주입을위한 방법 및 장치
KR100559197B1 (ko) 플라즈마 침지 이온 주입을 위한 전처리 공정
KR100388594B1 (ko) 이온빔처리장치
KR100835355B1 (ko) 플라즈마를 이용한 이온주입장치
US20070069157A1 (en) Methods and apparatus for plasma implantation with improved dopant profile
KR101034422B1 (ko) 애노드 펄스에 의한 플라즈마 도핑을 위한 방법 및 장치
CA2249157C (en) Uniform distribution monoenergetic ion implantation
JP3509343B2 (ja) イオン源
JP3577785B2 (ja) イオンビーム発生装置
JP3473219B2 (ja) イオンビーム発生装置
KR100552850B1 (ko) 선택적 이온 주입 장치 및 선택적 이온 주입 방법
JP2538804B2 (ja) 電子ビ―ム源
KR19980032745A (ko) 펄스 플레이트 플라즈마 이온주입 시스템
JPH10283976A (ja) イオン注入装置
JPH0992199A (ja) イオンビーム発生方法およびその装置
JPH05190134A (ja) イオン注入装置およびその注入方法
JPS60165721A (ja) 反応性イオンビ−ムエツチング方法
Wengrow et al. Application of a pulsed, RF-driven, multicusp source for low energy plasma immersion ion implantation
JPH0721951A (ja) 粒子ビ−ム中和装置およびその装置を用いた粒子ビ−ム照射装置
JPH06151326A (ja) プラズマ処理装置
KR20020080934A (ko) 이온주입장치의 매니플레이터

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20041013

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20070913

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070926

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20071225

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20071228

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080122

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20080213

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20080307

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110328

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees