JP3952542B2 - Method for forming silicon oxide film - Google Patents

Method for forming silicon oxide film Download PDF

Info

Publication number
JP3952542B2
JP3952542B2 JP16375997A JP16375997A JP3952542B2 JP 3952542 B2 JP3952542 B2 JP 3952542B2 JP 16375997 A JP16375997 A JP 16375997A JP 16375997 A JP16375997 A JP 16375997A JP 3952542 B2 JP3952542 B2 JP 3952542B2
Authority
JP
Japan
Prior art keywords
oxide film
silicon oxide
processing chamber
silicon
atmosphere
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP16375997A
Other languages
Japanese (ja)
Other versions
JPH1116901A (en
Inventor
章秀 柏木
秀樹 木村
伸史 田中
豊隆 片岡
篤 鈴木
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Priority to JP16375997A priority Critical patent/JP3952542B2/en
Publication of JPH1116901A publication Critical patent/JPH1116901A/en
Application granted granted Critical
Publication of JP3952542B2 publication Critical patent/JP3952542B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Landscapes

  • Formation Of Insulating Films (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は、半導体装置の製造におけるシリコン酸化膜の形成方法に関する。
【0002】
【従来の技術】
例えばMOS型半導体装置の製造においては、シリコン酸化膜から成るゲート酸化膜をシリコン半導体基板の表面に形成する必要がある。また、薄膜トランジスタ(TFT)の製造においても、絶縁性基板の上に設けられたシリコン層の表面にシリコン酸化膜から成るゲート酸化膜を形成する必要がある。このようなシリコン酸化膜は、半導体装置の信頼性を担っているといっても過言ではない。従って、シリコン酸化膜には、常に、高い絶縁破壊耐圧及び長期信頼性が要求される。
【0003】
例えばMOS型半導体装置を製造する場合、従来、ゲート酸化膜を成膜する前に、NH4OH/H22水溶液で洗浄し更にHCl/H22水溶液で洗浄するというRCA洗浄によりシリコン半導体基板の表面を洗浄し、その表面から微粒子や金属不純物を除去する。ところで、RCA洗浄を行うと、シリコン半導体基板の表面は洗浄液と反応し、厚さ0.5〜1nm程度のシリコン酸化膜(以下、かかるシリコン酸化膜を単に酸化膜と呼ぶ)が形成される。かかる酸化膜の膜厚は不均一であり、しかも、酸化膜中には洗浄液成分が残留する。そこで、フッ化水素酸水溶液にシリコン半導体基板を浸漬して、かかる酸化膜を除去し、シリコン半導体基板の清浄な表面を露出させる。その後、かかるシリコン半導体基板をシリコン酸化膜成膜装置の処理室(酸化炉)に搬入して、シリコン半導体基板の表面にシリコン酸化膜を形成する。フッ化水素酸水溶液による洗浄後のシリコン半導体基板の表面は、大半が水素で終端しており、一部がフッ素で終端されている。
【0004】
シリコン酸化膜成膜装置としては、ゲート酸化膜の薄膜化及び基板の大口径化に伴い、石英製の処理室(酸化炉)を水平に保持した横型方式から垂直に保持した縦型方式のシリコン酸化膜成膜装置への移行が進んでいる。これは、縦型方式のシリコン酸化膜成膜装置の方が、横型方式のシリコン酸化膜成膜装置よりも、基板の大口径化に対処し易いばかりか、シリコン半導体基板を処理室に搬入する際の大気の巻き込みによって生成するシリコン酸化膜を低減することができるからである。しかしながら、縦型のシリコン酸化膜成膜装置を用いる場合であっても、2nm厚程度のシリコン酸化膜がシリコン半導体基板の表面に形成されてしまう。そのため、(1)シリコン酸化膜成膜装置に配設された基板搬入出部に大量の窒素ガスを流して窒素ガス雰囲気とする方法(窒素ガスパージ方式)、(2)一旦、基板搬入出部内を真空とした後、窒素ガス等で基板搬入出部内を置換して大気を排除する方法(真空ロードロック方式)等を採用し、出来る限りシリコン酸化膜の形成を抑制する方法が提案されている。
【0005】
そして、処理室(酸化炉)内を不活性ガス雰囲気とした状態で、シリコン半導体基板を処理室(酸化炉)に搬入し、次いで、処理室(酸化炉)内を酸化性雰囲気に切り替え、シリコン半導体基板を熱処理することでゲート酸化膜を形成する。ゲート酸化膜の形成には、高温に保持された処理室内に高純度の水蒸気を導入することによってシリコン半導体基板の表面を熱酸化する方法(湿式酸化法)が採用されており、高純度の酸素によってシリコン半導体基板表面を酸化する方法(乾式酸化法)よりも、電気的信頼性の高いゲート酸化膜を形成することができる。この湿式酸化法の1つに、水素ガスを酸素ガスと高温で混合し、燃焼させることによって生成した水蒸気を用いるパイロジェニック酸化法(水素燃焼酸化法とも呼ばれる)があり、多く採用されている。通常、このパイロジェニック酸化法においては、処理室(酸化炉)の外部に設けられ、そして700〜900゜Cに保持された燃焼室内に酸素ガスを導入し、その後、燃焼室内に水素ガスを導入して、高温中で水素ガスを燃焼させる。これによって得られた水蒸気を酸化種として用いる。
【0006】
縦型のシリコン酸化膜成膜装置の概念図を図2に示す。この縦型のシリコン酸化膜成膜装置は、石英製の二重管構造の処理室10と、処理室10へ水蒸気等を導入するためのガス導入部12と、処理室10からガスを排気するガス排気部13と、SiCから成る円筒状の均熱管16を介して処理室10内を所定の雰囲気温度に保持するためのヒータ14と、基板搬入出部20と、基板搬入出部20へ窒素ガスを導入するためのガス導入部21と、基板搬入出部20からガスを排気するガス排気部22と、処理室10と基板搬入出部20とを仕切るシャッター15と、シリコン半導体基板を処理室10内に搬入出するためのエレベータ機構23から構成されている。エレベータ機構23には、シリコン半導体基板を載置するための石英ボート24が取り付けられている。また、燃焼室30に供給された水素ガスを酸素ガスと、燃焼室30内で高温にて混合し、燃焼させることによって、水蒸気を生成させる。かかる水蒸気は、配管31、ガス流路11及びガス導入部12を介して処理室10内に供給される。尚、ガス流路11は二重管構造の処理室10の外側部分に位置する。
【0007】
図2に示した縦型のシリコン酸化膜成膜装置を使用した、パイロジェニック酸化法に基づく従来のシリコン酸化膜の形成方法の概要を、図2、図39〜図41を参照して、以下、説明する。
【0008】
[工程−10]
処理室10へガス導入部12から窒素ガスを導入し、処理室10内を窒素ガス雰囲気とし、且つ、均熱管16を介してヒータ14によって処理室10内の雰囲気温度を700〜800゜Cに保持する。尚、この状態においては、シャッター15は閉じておく(図39の(A)参照)。基板搬入出部20は大気に解放された状態である。
【0009】
[工程−20]
そして、基板搬入出部20にシリコン半導体基板40を搬入し、石英ボート24にシリコン半導体基板40を載置する。基板搬入出部20へのシリコン半導体基板40の搬入が完了した後、図示しない扉を閉め、基板搬入出部20にガス導入部21から窒素ガスを導入し、ガス排気部22から排出し、基板搬入出部20内を窒素ガス雰囲気とする(図39の(B)参照)。
【0010】
[工程−30]
基板搬入出部20内が十分に窒素ガス雰囲気となった時点で、シャッター15を開き(図40の(B)参照)、エレベータ機構23を作動させて石英ボート24を上昇させ、シリコン半導体基板40を処理室10内に搬入する(図41の(A)参照)。エレベータ機構23が最上昇位置に辿り着くと、石英ボート24の基部によって処理室10と基板搬入出部20との間は連通しなくなる構造となっている。
【0011】
シャッター15を開く前に、処理室10内を窒素ガス雰囲気のままにしておくと、以下の問題が生じる。即ち、フッ化水素酸水溶液で表面を露出させたシリコン半導体基板を高温の窒素ガス雰囲気中に搬入すると、シリコン半導体基板40の表面に荒れが生じる。この現象は、フッ化水素酸水溶液での洗浄によってシリコン半導体基板40の表面に形成されたSi−H結合や一部のSi−F結合が、水素やフッ素の昇温脱離によって失われ、シリコン半導体基板40の表面にエッチング現象が生じることに起因する。アルゴンガス中でシリコン半導体基板を600゜C以上に昇温するとシリコン半導体基板の表面に激しい凹凸が生じることが、培風館発行、大見忠弘著「ウルトラクリーンULSI技術」、第21頁に記載されている。このような現象を抑制するために、シャッター15を開く前に、例えば、0.5容量%程度の酸素ガスを含んだ窒素ガスをガス導入部12から処理室10内に導入し、処理室10内を0.5容量%程度の酸素ガスを含んだ窒素ガス雰囲気とする(図40の(A)参照)。
【0012】
[工程−40]
その後、処理室10内の雰囲気温度を800〜900゜Cとし、水素ガスを酸素ガスと燃焼室30内で高温にて混合し、燃焼させることによって生成した水蒸気を、配管31、ガス流路11及びガス導入部12を介して処理室10へ導入し、ガス排気部13から排気する(図41の(B)参照)。これによって、シリコン半導体基板40の表面にシリコン酸化膜が形成される。尚、水蒸気を処理室10へ導入する前に、不完全燃焼した水素ガスが処理室10内に導入されることによって爆鳴気反応が生じることを防止するために、ガス導入部12から酸素ガスを処理室10内に導入する。
【0013】
【発明が解決しようとする課題】
シャッター15を開く前に、0.5容量%程度の酸素ガスを含んだ窒素ガスをガス導入部12から処理室10内に導入し、処理室10内を0.5容量%程度の酸素ガスを含んだ窒素ガス雰囲気とすることによって(図40の(A)参照)、シリコン半導体基板の表面に凹凸が形成される現象を抑制することができる。あるいは又、培風館発行、大見忠弘著「ウルトラクリーンULSI技術」、第21頁には、水素終端したシリコン半導体基板を、終端水素が安定に存在する300゜Cで乾式酸化を行い、これによって形成されたシリコン酸化膜を保護膜とすれば、シリコン半導体基板の表面に凹凸が形成される問題を回避できると報告されている。
【0014】
しかしながら、処理室10内に酸素ガスを含んだ窒素ガスが導入されるが故に、処理室10内に搬入されたシリコン半導体基板の表面にシリコン酸化膜が形成される。かかるシリコン酸化膜は、本質的には、乾燥酸素ガスのみによって形成されたシリコン酸化膜(ドライ酸化膜と呼ぶ)であり、湿式酸化法にて形成されたシリコン酸化膜(ウェット酸化膜と呼ぶ)よりも特性が劣る。例えば、処理室10内を800゜Cに保持し、0.5容量%の酸素ガスを含んだ窒素ガスをガス導入部12から処理室10内に導入した状態でシリコン半導体基板を処理室10内に搬入すると、シリコン半導体基板の表面には2nm以上のドライ酸化膜が形成される。ゲート長0.18〜0.13μmの半導体装置においては、4〜3nm厚さのゲート酸化膜が用いられることが予想されている。このように、例えば4nm厚さのゲート酸化膜を形成しようとした場合、厚さの5割以上がドライ酸化膜で占められることになる。
【0015】
このような問題を解決する手段が、特開平6−291112号公報に開示されている。即ち、フッ化水素酸水溶液でシリコン半導体基板を洗浄した後、過酸化水素水にシリコン半導体基板を浸漬することによってシリコン半導体基板の表面に保護膜としてのシリコン酸化膜を形成する技術が、この特許公開公報には開示されている。しかしながら、この方法では、過酸化水素水の濃度制御等によってシリコン半導体基板の表面に均一なシリコン酸化膜を再現性良く形成することが困難である。また、過酸化水素水中の不純物がシリコン酸化膜中に取り込まれるという問題もある。
【0016】
長期安定性に優れ、絶縁耐圧が高く、且つ膜厚の薄いシリコン酸化膜を形成する方法が、例えば、特開平6−318588号公報に開示されている。この方法は、シリコン半導体の表面に熱酸化法により極薄熱酸化シリコン膜を形成した後、この極薄熱酸化シリコン膜上に気相成長法(CVD法)によりシリコン酸化膜を堆積させ、次いで、酸化雰囲気中で熱処理を行う方法である。この方法は、気相成長法(CVD法)によりシリコン酸化膜を堆積させるので、シリコン酸化膜の形成プロセスが複雑になるという問題を有する。
【0017】
尚、以上の問題は、シリコン半導体基板の表面において生じるだけでなく、絶縁性基板や絶縁層等の上に設けられたシリコン層の表面においても生じる問題である。
【0018】
ところで、湿式酸化法は、乾式酸化法と比較して、電気的信頼性の高いゲート酸化膜を形成することができる。しかしながら、シリコン酸化膜中における酸化種の拡散のし易さの相違から、同一酸化温度における酸化速度は、乾式酸化法よりも湿式酸化法の方が早い。また、例えば、パイロジェニック酸化法の特性を生かすために水蒸気分圧を高くすると、酸化速度は更に早くなる。
【0019】
湿式酸化法における酸化速度が早い点は、素子分離領域の形成のように厚い(例えば0.1μm以上)シリコン酸化膜を形成する場合は有利である。しかしながら、極めて薄いシリコン酸化膜をシリコン半導体基板の表面に、均一に且つ制御性良く形成することが要求されるゲート酸化膜の形成においては、湿式酸化法の酸化速度が早い点は大きな問題となり得る。即ち、2〜3nmの極薄のシリコン酸化膜を形成する場合に必要とされる酸化時間は、酸化温度や水蒸気分圧等にも依るが、数分程度である。更には、前述の窒素ガスパージ方式や真空ロードロック方式等を採用しない場合には、酸化時間が1分以下という場合も生じ得る。
【0020】
一方、このような極薄のシリコン酸化膜は集積度の高いLSIに用いられるが、このようなLSIの製造においては、チップサイズの増大に伴い、直径200mm以上の大口径のシリコン半導体基板が用いられる。それ故、湿式酸化法に基づき極薄のシリコン酸化膜を形成する場合、その酸化速度の早さとシリコン半導体基板の大きさとに起因して、高いシリコン半導体基板面内膜厚均一性を有するシリコン酸化膜を形成することは困難になりつつある。
【0021】
従って、本発明の目的は、シリコン層の表面にシリコン酸化膜を形成する際のシリコン層の表面に荒れ(凹凸)が発生することを防止でき、しかも、高い膜厚均一性を有する非常に薄いシリコン酸化膜を確実に形成することができ、更には、従来の乾式酸化法よりも電気的信頼性の高いゲート酸化膜を形成することができるシリコン酸化膜の形成方法を提供することにある。
【0022】
【課題を解決するための手段】
上記の目的を達成するための本発明の第1の態様に係るシリコン酸化膜の形成方法は、
(イ)シリコン層の表面からシリコン原子が脱離しない温度に雰囲気を保持した状態にて、塩化水素を含む乾燥酸素ガスを用いた酸化法によって該シリコン層の表面にシリコン酸化膜を形成する工程と、
(ロ)雰囲気温度を所望の温度まで昇温する工程と、
(ハ)該所望の温度に雰囲気を保持した状態にて、湿式ガスを用いた酸化法によって、更にシリコン酸化膜を形成する工程、
から成ることを特徴とする。
【0023】
上記の目的を達成するための本発明の第2の態様に係るシリコン酸化膜の形成方法は、
(A)シリコン層を有する被処理材の該シリコン層にシリコン酸化膜を形成するための第1の処理室と、
(B)シリコン酸化膜を形成するための第2の処理室と、
(C)第1の処理室と第2の処理室とを結ぶ搬送路、
を備えたシリコン酸化膜成膜装置を用いたシリコン酸化膜の形成方法であって、
(イ)被処理材を第1の処理室に搬入し、シリコン層の表面からシリコン原子が脱離しない温度に第1の処理室内の雰囲気を保持した状態にて、塩化水素を含む乾燥酸素ガスを用いた酸化法によって該シリコン層の表面にシリコン酸化膜を形成する工程と、
(ロ)被処理材を、第1の処理室から搬送路を介して第2の処理室に搬入する工程と、
(ハ)第2の処理室にて、湿式ガスを用いた酸化法によって、更にシリコン酸化膜を形成する工程、
から成ることを特徴とする。
【0024】
本発明の第1若しくは第2の態様に係るシリコン酸化膜の形成方法においては、シリコン層の表面からシリコン原子が脱離しない温度に雰囲気を保持した状態にて、塩化水素を含む乾燥酸素ガスを用いた酸化法によってシリコン層の表面にシリコン酸化膜を形成する。このような温度に雰囲気を保持することによって、シリコン層の表面に凹凸(荒れ)が生じることを防止し得る。しかも、塩化水素を含む乾燥酸素ガスを用いた酸化法によって、
4HCl+O2→2H2O+2Cl2
という反応に基づきシリコン層の表面にシリコン酸化膜を形成する。ところで、塩化水素を含む乾燥酸素ガスを用いた酸化法における酸化速度は、湿式酸化法と比較して遅い。それ故、極薄シリコン酸化膜の形成において、優れた面内膜厚制御性(均一性)を得ることができる。しかも、塩化水素を含む乾燥酸素ガスを用いた酸化法によって形成されるシリコン酸化膜の特性は、純粋な乾燥酸素ガスを用いた酸化法によって形成されるシリコン酸化膜の特性よりも優れている。
【0025】
更には、シリコン層の表面に既に保護膜としても機能するシリコン酸化膜が形成された状態で、湿式ガスを用いた酸化法によって更にシリコン酸化膜を形成するので、湿式ガスを用いた酸化法によるシリコン酸化膜形成温度まで雰囲気温度を昇温する過程において、たとえ、かかる昇温過程が非酸化性雰囲気の場合においても、シリコン層の表面に凹凸(荒れ)が生じることがない。しかも、湿式ガスを用いた酸化法によってシリコン酸化膜を形成するので、優れた特性を有するシリコン酸化膜を形成することができる。尚、工程(イ)で形成されたシリコン酸化膜の特性は、通常、例えばゲート酸化膜として要求される特性を十分満たしていない。工程(ハ)にてシリコン酸化膜を更に形成することによって、ゲート酸化膜として要求される特性を十分に満足するシリコン酸化膜を得ることができる。
【0026】
2段階のシリコン酸化膜の形成を1つの処理室内で行う場合には、処理室内の温度制御を広い範囲に亙って行わなければならず、処理室内の温度を正確に制御することが困難となる場合がある。また、処理室内の温度を昇温させる必要があるため、スループットの低下を招き易い。本発明の第2の態様に係るシリコン酸化膜の形成方法においては、第1の処理室及び第2の処理室においてシリコン酸化膜を形成するので、各処理室内の雰囲気温度を所定の一定温度に保持すればよく、各処理室内の温度制御を一層正確に行うことができるばかりか、処理室内の温度安定性に優れる。従って、シリコン酸化膜の膜厚制御性に優れる。しかも、処理室内の雰囲気温度を昇温させる必要がないため、スループットの低下を招くこともない。また、工程(イ)においては塩化水素を用い、工程(ハ)においては湿式ガスを用いるため、例えば配管の腐食防止の観点からも処理室を2つに分けることは有利である。
【0027】
本発明の第1若しくは第2の態様に係るシリコン酸化膜の形成方法においては、工程(イ)における乾燥酸素ガス中の塩化水素含有率を、0.02乃至4容量%、好ましくは0.5乃至3容量%、一層好ましくは1乃至3容量%とすることが望ましい。尚、乾燥酸素ガスを、窒素ガス、アルゴンガス、ヘリウムガス等の不活性ガスで希釈してもよい。尚、乾燥酸素ガスには、塩化水素に代えて、CCl4、C2HCl3、Cl2、CH2Cl2、あるいはC23Cl3を添加することもできるが、添加量には最適値があり、上記の塩化水素の場合とは異なる。
【0028】
本発明の第1若しくは第2の態様に係るシリコン酸化膜の形成方法において、シリコン層の表面からシリコン原子が脱離しない温度は、シリコン層表面を終端している原子とシリコン原子との結合が切断されない温度であることが望ましい。この場合、シリコン層の表面からシリコン原子が脱離しない温度は、Si−H結合が切断されない温度若しくはSi−F結合が切断されない温度であることが好ましい。尚、シリコン層の表面からシリコン原子が脱離しない温度は、1.013×105Pa(1気圧)にて測定した値であり、室温以上400゜C以下が実用上の範囲であるが、湿式ガスを用いた酸化法によって、更にシリコン酸化膜を形成する工程における雰囲気温度を700〜800゜Cとする場合を考慮すると、好ましくは100゜Cを越え、400゜C以下、更に好ましくは300゜C以上400゜C以下とすることが望ましい。
【0029】
本発明の第1若しくは第2の態様に係るシリコン酸化膜の形成方法において、工程(ハ)における湿式ガスを用いた酸化法は、パイロジェニック酸化法、純水の加熱により発生した水蒸気による酸化法、並びに、酸素ガス又は不活性ガスによって加熱純水をバブリングすることで発生した水蒸気による酸化法の内の少なくとも1種の酸化法であることが好ましい。湿式ガスを用いた酸化法によってシリコン酸化膜を形成するので、優れた経時絶縁破壊(TDDB)特性を有するシリコン酸化膜を得ることができる。尚、湿式ガスを用いた酸化法において、湿式ガスを、窒素ガス、アルゴンガス、ヘリウムガス等の不活性ガスで希釈してもよい。
【0030】
尚、工程(ハ)における湿式ガスにはハロゲン元素が含有されていてもよい。これによって、タイムゼロ絶縁破壊(TZDB)特性及び経時絶縁破壊(TDDB)特性に優れたシリコン酸化膜を得ることができる。尚、ハロゲン元素として、塩素、臭素、フッ素を挙げることができるが、なかでも塩素であることが望ましい。湿式ガス中に含有されるハロゲン元素の形態としては、例えば、塩化水素(HCl)、CCl4、C2HCl3、Cl2、CH2Cl2、C23Cl3、HBr、NF3を挙げることができる。湿式ガス中のハロゲン元素の含有率は、分子又は化合物の形態を基準として、0.001〜10容量%、好ましくは0.005〜10容量%、更に好ましくは0.02〜10容量%である。例えば塩化水素を用いる場合、湿式ガス中の塩化水素含有率は0.02〜10容量%であることが望ましい。
【0031】
本発明の第1に係るシリコン酸化膜の形成方法の工程(ハ)における雰囲気温度、あるいは又、本発明の第2に係るシリコン酸化膜の形成方法の工程(ハ)におけるシリコン酸化膜を形成するときの第2の処理室の雰囲気温度は、600乃至1200゜C、好ましくは700乃至1000゜C、更に好ましくは750乃至900゜Cであることが望ましい。
【0032】
本発明の第1の態様に係るシリコン酸化膜の形成方法においては、工程(ロ)における雰囲気を、不活性ガス雰囲気若しくは減圧雰囲気とするか、あるいは又、湿式ガスを含む酸化雰囲気とすることが望ましい。ここで、不活性ガスとして、窒素ガス、アルゴンガス、ヘリウムガスを例示することができる。尚、工程(ロ)における雰囲気中の不活性ガス若しくは湿式ガスには、ハロゲン元素が含有されていてもよい。これによって、工程(イ)にて形成されたシリコン酸化膜の特性の一層の向上を図ることができる。即ち、工程(イ)において生じ得る欠陥であるシリコンダングリングボンド(Si・)やSiOHが工程(ロ)においてハロゲン元素と反応し、シリコンダングリングボンドが終端しあるいは脱水反応を生じる結果、信頼性劣化因子であるこれらの欠陥が排除される。特に、これらの欠陥の排除は、工程(イ)において形成された初期のシリコン酸化膜に対して効果的である。尚、ハロゲン元素として、塩素、臭素、フッ素を挙げることができるが、なかでも塩素であることが望ましい。不活性ガス若しくは湿式ガス中に含有されるハロゲン元素の形態としては、例えば、塩化水素(HCl)、CCl4、C2HCl3、Cl2、CH2Cl2、C23Cl3、HBr、NF3を挙げることができる。不活性ガス若しくは湿式ガス中のハロゲン元素の含有率は、分子又は化合物の形態を基準として、0.001〜10容量%、好ましくは0.005〜10容量%、更に好ましくは0.02〜10容量%である。例えば塩化水素を用いる場合、不活性ガス若しくは湿式ガス中の塩化水素含有率は0.02〜10容量%であることが望ましい。
【0033】
本発明の第2の態様に係るシリコン酸化膜の形成方法においては、工程(ロ)において、被処理材を、第1の処理室から大気に曝すことなく搬送路を介して第2の処理室に搬入することが、形成されたシリコン酸化膜の表面の汚染発生を防止する観点から、好ましい。尚、具体的には、被処理材の搬送中における搬送路内の雰囲気を、不活性ガス雰囲気若しくは減圧雰囲気とすることが好ましい。ここで、不活性ガスとして、窒素ガス、アルゴンガス、ヘリウムガスを例示することができる。この場合、被処理材を第1の処理室から搬送路を介して第2の処理室に搬入する際の搬送路内の温度を、例えば室温としてもよいが、シリコン層にシリコン酸化膜を形成するときの第1の処理室内の雰囲気温度と略等しくすることが、スループットの向上の観点から、好ましい。
【0034】
形成されたシリコン酸化膜の特性を一層向上させるために、本発明の第1若しくは第2の態様に係るシリコン酸化膜の形成方法においては、工程(ハ)の後、形成されたシリコン酸化膜に熱処理を施すことが好ましい。尚、工程(ハ)とその後の熱処理とを同じ装置内で実行してもよいし、異なる装置内で実行してもよい。
【0035】
この場合、熱処理の雰囲気を、ハロゲン元素を含有する不活性ガス雰囲気とすることが望ましい。ハロゲン元素を含有する不活性ガス雰囲気中でシリコン酸化膜を熱処理することによって、タイムゼロ絶縁破壊(TZDB)特性及び経時絶縁破壊(TDDB)特性に優れたシリコン酸化膜を得ることができる。また、ハロゲン元素として、塩素、臭素、フッ素を挙げることができるが、なかでも塩素であることが望ましい。不活性ガス中に含有されるハロゲン元素の形態としては、例えば、塩化水素(HCl)、CCl4、C2HCl3、Cl2、CH2Cl2、C23Cl3、HBr、NF3を挙げることができる。不活性ガス中のハロゲン元素の含有率は、分子又は化合物の形態を基準として、0.001〜10容量%、好ましくは0.005〜10容量%、更に好ましくは0.02〜10容量%である。例えば塩化水素を用いる場合、不活性ガス中の塩化水素含有率は0.02〜10容量%であることが望ましい。
【0036】
熱処理を、ハロゲン元素を含有する不活性ガス雰囲気を大気圧よりも減圧した状態で行ってもよい。熱処理時の圧力は、1.3×104Pa(100Torr)以下であることが好ましい。圧力の下限は、シリコン酸化膜を熱処理するための装置に依存するが、出来る限り低いことが望ましい。
【0037】
尚、熱処理後、シリコン酸化膜を窒化処理してもよい。この場合、窒化処理を、N2Oガス、NOガス、NO2ガス雰囲気中で行うことが望ましいが、中でもN2Oガス雰囲気中で行うことが望ましい。あるいは又、窒化処理をNH3ガス、N24、ヒドラジン誘導体雰囲気中で行い、その後、N2Oガス、O2雰囲気中でアニール処理を行うことが望ましい。窒化処理を700乃至1200゜C、好ましくは800乃至1150゜C、更に好ましくは900乃至1100゜Cの温度で行うことが望ましく、この場合、シリコン層の加熱を赤外線照射、炉アニール処理によって行うことが好ましい。
【0038】
あるいは又、熱処理の雰囲気を、窒素系ガス雰囲気としてもよい。ここで窒素系ガスとして、N2、NH3、N2O、NO2を例示することができる。
【0039】
尚、本発明の第1若しくは第2の態様に係るシリコン酸化膜の形成方法においては、熱処理は、炉アニール処理であることが望ましい。熱処理の温度は、700〜1200゜C、好ましくは700〜1000゜C、更に好ましくは700〜950゜Cである。また、熱処理の時間は、5〜60分、好ましくは10〜40分、更に好ましくは20〜30分である。尚、枚葉式のアニール装置を用いて熱処理を行う場合には、熱処理の時間は、1〜10分とすることが好ましい。熱処理における不活性ガスとしては、窒素ガス、アルゴンガスを例示することができる。
【0040】
本発明の第1若しくは第2の態様に係るシリコン酸化膜の形成方法においては、形成されたシリコン酸化膜に熱処理を施す際の雰囲気温度を、工程(ハ)においてシリコン酸化膜を形成する際の雰囲気温度よりも高くする形態とすることができる。尚、本発明の第2の態様に係るシリコン酸化膜の形成方法においては、第2の処理室において熱処理を実行することが好ましい。これらの場合、工程(ハ)におけるシリコン酸化膜の形成完了後、雰囲気を不活性ガス雰囲気に切り替えた後、熱処理を施すための雰囲気温度まで昇温してもよいが、雰囲気をハロゲン元素を含有する不活性ガス雰囲気に切り替えた後、熱処理を施すための雰囲気温度まで昇温することが望ましい。ここで、不活性ガス中に含有されるハロゲン元素の形態としては、例えば、塩化水素(HCl)、CCl4、C2HCl3、Cl2、CH2Cl2、C23Cl3、HBr、NF3を挙げることができる。不活性ガス中のハロゲン元素の含有率は、分子又は化合物の形態を基準として、0.001〜10容量%、好ましくは0.005〜10容量%、更に好ましくは0.02〜10容量%である。例えば塩化水素を用いる場合、不活性ガス中の塩化水素含有率は0.02〜10容量%であることが望ましい。かかる不活性ガスとしては、窒素ガス、アルゴンガスを例示することができる。
【0041】
尚、本発明の第1若しくは第2の態様に係るシリコン酸化膜の形成方法においては、工程(イ)において、シリコン酸化膜を形成する前の、シリコン層の表面からシリコン原子が脱離しない温度に保持された(第1の処理室内の)雰囲気を、塩化水素を含む乾燥酸素ガスを用いた酸化法に基づくシリコン酸化膜の形成の前のシリコン酸化膜の形成を抑制するために、不活性ガス雰囲気あるいは真空雰囲気(減圧雰囲気)とすることが望ましい。
【0042】
本発明の第2の態様に係るシリコン酸化膜の形成方法においては、第1の処理室において、複数の被処理材に対してバッチ式にてシリコン層にシリコン酸化膜を形成し、あるいは又、1枚の被処理材に対して枚葉式にてシリコン層にシリコン酸化膜を形成することができる。更には、第2の処理室において、複数の被処理材に対してバッチ式にてシリコン酸化膜を形成し、あるいは又、1枚の被処理材に対して枚葉式にてシリコン酸化膜を形成することができる。第1の処理室及び第2の処理室における好ましい処理方式、並びに熱処理の方式の組み合わせを以下の表1に例示するが、これらに限定するものではない。また、第1の処理室に連通する搬送路の部分と第2の処理室に連通する搬送路の部分との間にシャッターが配設されていることが、スループット向上の観点から、好ましい。
【0043】
【表1】
第1の処理室 第2の処理室 熱処理
バッチ式 バッチ式 バッチ式
枚葉式 枚葉式 枚葉式
枚葉式 枚葉式 バッチ式
枚葉式 バッチ式 バッチ式
【0044】
通常、シリコン層にシリコン酸化膜を形成する前に、NH4OH/H22水溶液で洗浄し更にHCl/H22水溶液で洗浄するというRCA洗浄によりシリコン層の表面を洗浄し、その表面から微粒子や金属不純物を除去した後、フッ化水素酸水溶液にシリコン層を浸漬する。ところが、その後、シリコン層が大気に曝されると、シリコン層の表面が汚染され、水分や有機物がシリコン層の表面に付着し、あるいは又、シリコン層表面のSi原子が水酸基(OH)と結合する虞がある(例えば、文献 "Highly-reliable Gate Oxide Formation for Giga-Scale LSIs by using Closed Wet Cleaning System and Wet Oxidation with Ultra-Dry Unloading", J. Yugami, et al., International Rlectron Device Meeting Technical Digest 95, pp 855-858 参照)。このような場合、そのままの状態で工程(イ)のシリコン酸化膜形成工程を実行すると、形成されたシリコン酸化膜中に水分や有機物、あるいは又、Si−OHが取り込まれ、形成されたシリコン酸化膜の特性低下あるいは欠陥部分の発生の原因となり得る。尚、欠陥部分とは、シリコンダングリングボンド(Si・)やSi−H結合といった欠陥が含まれるシリコン酸化膜の部分、あるいは又、Si−O−Si結合が応力によって圧縮されあるいは又Si−O−Si結合の角度が厚い若しくはバルクのシリコン酸化膜中のSi−O−Si結合の角度と異なるといったSi−O−Si結合が含まれたシリコン酸化膜の部分を意味する。それ故、このような問題の発生を回避するために、本発明の第1若しくは第2の態様に係るシリコン酸化膜の形成方法においては、工程(イ)の前に、シリコン層表面を洗浄する工程を含み、表面洗浄後のシリコン層を大気に曝すことなく(即ち、例えば、シリコン層表面の洗浄から工程(イ)のシリコン酸化膜形成工程の開始までの雰囲気を不活性ガス雰囲気若しくは真空雰囲気とし)、工程(イ)を実行することが好ましい。これによって、清浄な表面を有するシリコン層の表面にシリコン酸化膜を形成することができ、形成されたシリコン酸化膜の特性低下あるいは欠陥部分の発生を防止することができる。
【0045】
工程(ハ)を経た後の最終的なシリコン酸化膜の膜厚は、半導体装置に要求される所定の厚さとすればよい。一方、工程(イ)を経た後のシリコン酸化膜の膜厚は、出来る限る薄いことが好ましい。但し、現在、半導体装置の製造に用いられているシリコン半導体基板の面方位は殆どの場合(100)であり、如何にシリコン半導体基板の表面を平滑化しても(100)シリコンの表面には必ずステップと呼ばれる段差が形成される。このステップは通常シリコン原子1層分であるが、場合によっては2〜3層分の段差が形成されることがある。従って、工程(イ)を経た後のシリコン酸化膜の膜厚は、シリコン層として(100)シリコン半導体基板を用いる場合、1nm以上とすることが好ましい。
【0046】
尚、本発明の第1の態様に係るシリコン酸化膜の形成方法におけるシリコン層とは、シリコン半導体基板等の基板そのものだけでなく、基板の上に形成されたエピタキシャルシリコン層、多結晶シリコン層、あるいは非晶質シリコン層、所謂張り合わせ法やSIMOX法に基づき製造されたSOI構造におけるシリコン層、更には、基板やこれらの層に半導体素子や半導体素子の構成要素が形成されたもの等、シリコン酸化膜を形成すべきシリコン層(下地)を意味する。また、本発明の第2の態様に係るシリコン酸化膜の形成方法におけるシリコン層を有する被処理材とは、シリコン半導体基板等の基板そのものだけでなく、エピタキシャルシリコン層、多結晶シリコン層、あるいは非晶質シリコン層が形成された基板、所謂張り合わせ法やSIMOX法に基づき製造されたSOI構造を有する基板、更には、基板やこれらの層に半導体素子や半導体素子の構成要素が形成されたもの等、シリコン酸化膜を形成すべきシリコン層(下地)を有する基板を意味する。シリコン半導体基板の作製方法は、CZ法、MCZ法、DLCZ法、FZ法等、如何なる方法であってもよいし、また、予め高温の水素アニール処理を行い結晶欠陥を除去したものでもよい。
【0047】
本発明のシリコン酸化膜の形成方法は、例えばMOS型トランジスタのゲート酸化膜、層間絶縁膜や素子分離領域の形成、トップゲート型若しくはボトムゲート型薄膜トランジスタのゲート酸化膜の形成、フラッシュメモリのトンネル酸化膜の形成等、各種半導体装置におけるシリコン酸化膜の形成に適用することができる。
【0048】
【実施例】
以下、図面を参照して、好ましい実施例に基づき本発明を説明する。
【0049】
(実施例1)
実施例1は、本発明の第1の態様に係るシリコン酸化膜の形成方法に関する。実施例1においては、図2に示した縦型のシリコン酸化膜成膜装置を用いた。また、実施例1においては、シリコン層をシリコン半導体基板から構成した。形成されたシリコン酸化膜はゲート酸化膜として機能する。湿式ガスを用いた酸化法としてパイロジェニック酸化法を採用した。更には、雰囲気温度を所望の温度まで昇温する工程の雰囲気を不活性ガス雰囲気とした。尚、所望の温度に雰囲気を保持した状態にてパイロジェニック酸化法にて更にシリコン酸化膜を形成した後、形成されたシリコン酸化膜に対して、ハロゲン元素を含有する不活性ガス雰囲気(塩化水素を含む窒素ガス雰囲気)中で熱処理(炉アニール処理)を施した。以下、図1〜図6を参照して、実施例1のシリコン酸化膜の形成方法を説明する。
【0050】
[工程−100]
先ず、シリコン半導体基板40に、公知の方法でLOCOS構造を有する素子分離領域41を形成し、ウエルイオン注入、チャネルストップイオン注入、閾値調整イオン注入を行う。尚、素子分離領域はトレンチ構造を有していてもよい。その後、RCA洗浄によりシリコン半導体基板40の表面の微粒子や金属不純物を除去し、次いで、0.1%フッ化水素酸水溶液によりシリコン半導体基板40の表面洗浄を行い、シリコン半導体基板40の表面を露出させる(図1の(A)参照)。尚、シリコン半導体基板の表面は大半が水素で終端しており、一部がフッ素で終端されている。
【0051】
[工程−110]
次に、シリコン半導体基板40を、図2に示したシリコン酸化膜成膜装置の基板搬入出部20に図示しない扉から搬入し、石英ボート24に載置する(図3の(A)参照)。尚、処理室10へガス導入部12から窒素ガスを導入し、処理室10内を窒素ガス等の不活性ガス雰囲気とし(減圧雰囲気であってもよい)、且つ、均熱管16を介してヒータ14によって処理室10内の雰囲気温度を400゜Cに保持する。尚、この状態においては、シャッター15は閉じておく。
【0052】
[工程−120]
そして、基板搬入出部20へのシリコン半導体基板40の搬入が完了した後、図示しない扉を閉め、基板搬入出部20にガス導入部21から窒素ガスを導入し、ガス排気部22から排出し、基板搬入出部20内を窒素ガス雰囲気とする。尚、基板搬入出部20内の酸素ガス濃度をモニターし、酸素ガス濃度が例えば20ppm以下となったならば、基板搬入出部20内が十分に窒素ガス雰囲気となったと判断する。その後、シャッター15を開き(図3の(B)参照)、エレベータ機構23を作動させて石英ボート24を上昇させ、シリコン半導体基板40を石英製の二重管構造の処理室10内に搬入する(図4の(A)参照)。エレベータ機構23が最上昇位置に辿り着くと、石英ボート24の基部によって処理室10と基板搬入出部20との間は連通しなくなる。処理室10内の雰囲気温度はヒータ14によって400゜Cに保持されているので、シリコン半導体基板40の表面に荒れが発生することを抑制することができる。
【0053】
[工程−130]
次いで、シリコン層(実施例1においては、シリコン半導体基板40)の表面からシリコン原子が脱離しない温度(実施例1においては、400゜C)に雰囲気を保持した状態で、塩化水素を含む乾燥酸素ガスを用いた酸化法によってシリコン層の表面にシリコン酸化膜42を形成する。実施例1においては、具体的には、塩化水素を2容量%含む乾燥酸素ガスをガス導入部12を介して処理室10内に供給することによって、シリコン半導体基板40の表面に厚さ1.2nmのシリコン酸化膜42を形成する(図1の(B)及び図4の(B)参照)。このシリコン酸化膜の厚さはSiO2の2〜3分子層に相当する厚さであり、シリコン半導体基板の表面のステップを考慮しても、保護膜として機能するのに十分な厚さである。尚、処理室10の上方と下方に位置するシリコン半導体基板では処理室10内の滞留時間が異なるが、400゜Cでの酸化レートは極端に低く、表面反応によりシリコン酸化膜が形成された後のシリコン酸化膜の膜厚増加は殆ど無視できるほど少なく、シリコン酸化膜の膜厚均一性を確保することができる。
【0054】
[工程−140]
その後、処理室10内への塩化水素を含む乾燥酸素ガスの供給を中止し、不活性ガス(窒素ガス)をガス導入部12から処理室10内に供給しながら、シリコン酸化膜成膜装置の処理室10内の雰囲気温度を、均熱管16を介してヒータ14によって所望の温度(実施例1においては、800゜C)まで昇温する(図5の(A)参照)。[工程−130]にてシリコン層の表面には保護膜としても機能するシリコン酸化膜が既に形成されているので、この[工程−140]において、シリコン層(シリコン半導体基板40)の表面に荒れが発生することはない。尚、例えば塩化水素ガスを0.1容量%含有する不活性ガス(例えば窒素ガス)をガス導入部12から処理室10内に供給しながら、シリコン酸化膜成膜装置の処理室10内の雰囲気温度を均熱管16を介してヒータ14によって所望の温度まで昇温してもよい。あるいは又、湿式ガス若しくは例えば塩化水素ガスを0.1容量%含有する湿式ガスをガス導入部12から処理室10内に供給しながら、シリコン酸化膜成膜装置の処理室10内の雰囲気温度を均熱管16を介してヒータ14によって所望の温度まで昇温してもよい。
【0055】
[工程−150]
所望の温度(実施例1においては、800゜C)に処理室10内の雰囲気温度が達した後、この所望の温度に雰囲気を保持した状態にて、湿式ガスを用いた酸化法によって、更にシリコン酸化膜を形成する。具体的には、燃焼室30内で生成した水蒸気を配管31、ガス流路11及びガス導入部12を介して処理室10内に供給し、パイロジェニック酸化法によってシリコン半導体基板40の表面に総厚4.0nmのシリコン酸化膜42を形成する(図1の(C)及び図5の(B)参照)。以上により、シリコン半導体基板40の表面におけるシリコン酸化膜の形成が完了するので、以降、処理室10内を窒素ガス等の不活性ガス雰囲気とし、エレベータ機構23を動作させて石英ボート24を下降させ、次いで、図示しない扉を開き、シリコン半導体基板40を搬出してもよいが、一層高い特性を有するシリコン酸化膜の形成を意図する場合には、以下に説明する熱処理をシリコン酸化膜に施すことが好ましい。
【0056】
[工程−160]
即ち、その後、湿式ガスの供給を中止し、窒素ガスをガス導入部12から処理室10内に導入しつつ、処理室10の雰囲気温度をヒータ14によって850゜Cまで昇温する(図6の(A)参照)。尚、例えば塩化水素ガスを0.1容量%含有する不活性ガス(例えば窒素ガス)をガス導入部12から処理室10内に導入しつつ、処理室10の雰囲気温度をヒータ14によって850゜Cまで昇温してもよい。その後、塩化水素を0.1容量%含有する窒素ガスをガス導入部12から処理室10内に導入し、30分間、熱処理を行う(図1の(D)及び図6の(B)参照)。以上により、シリコン半導体基板40の表面におけるシリコン酸化膜42の形成が完了する。その後、処理室10内を窒素ガス雰囲気とし、エレベータ機構23を動作させて石英ボート24を下降させ、次いで、基板搬入出部20からシリコン半導体基板40を搬出する。
【0057】
(実施例2)
実施例2においては、実施例1の[工程−140]の代わりに、処理室10内への湿式ガスの導入を行い、シリコン酸化膜成膜装置の処理室10内の雰囲気温度を、均熱管16を介してヒータ14によって所望の温度(実施例2においては、800゜C)まで昇温した。尚、[工程−130]と同様の工程においては、厚さ1.0nmのシリコン酸化膜を形成した。その他の工程は実施例1と同様とした。尚、水素ガスを酸素ガスと燃焼室30内で高温にて混合し、燃焼させることによって生成した水蒸気を、配管31、ガス流路11及びガス導入部12を介して処理室10へ供給することによって、処理室10内への湿式ガスの導入を行うことができる。
【0058】
(実施例3)
実施例3も、本発明の第1の態様に係るシリコン酸化膜の形成方法に関する。実施例3においても、図2に示した縦型のシリコン酸化膜成膜装置を用い、また、シリコン層をシリコン半導体基板から構成した。形成されたシリコン酸化膜はゲート酸化膜として機能する。湿式ガスを用いた酸化法としてパイロジェニック酸化法を採用した。尚、湿式ガスにはハロゲン元素(具体的には、塩素)が含有されている。尚、塩素は塩化水素の形態であり、湿式ガス中に含有される塩化水素の濃度を0.1容量%とした。また、雰囲気温度を所望の温度まで昇温する工程の雰囲気を不活性ガス雰囲気とした。所望の温度に雰囲気を保持した状態にてパイロジェニック酸化法にて更にシリコン酸化膜を形成した後、形成されたシリコン酸化膜に対して、ハロゲン元素を含有する不活性ガス雰囲気(塩化水素を含む窒素ガス雰囲気)中で熱処理(炉アニール処理)を施した。以下、図7〜図9を参照して、実施例3のシリコン酸化膜の形成方法を説明する。
【0059】
[工程−300]
先ず、シリコン半導体基板40に、実施例1と同様の方法で、素子分離領域等を形成した後、RCA洗浄によりシリコン半導体基板40の表面の微粒子や金属不純物を除去し、次いで、0.1%フッ化水素酸水溶液によりシリコン半導体基板40の表面洗浄を行い、シリコン半導体基板40の表面を露出させる。
【0060】
[工程−310]
次に、シリコン半導体基板40を、図2に示したシリコン酸化膜成膜装置の基板搬入出部20に図示しない扉から搬入し、石英ボート24に載置する(図7の(A)参照)。尚、処理室10へガス導入部12から窒素ガスを導入し、処理室10内を窒素ガス等の不活性ガス雰囲気とし(減圧雰囲気であってもよい)、且つ、均熱管16を介してヒータ14によって処理室10内の雰囲気温度を400゜Cに保持する。尚、この状態においては、シャッター15は閉じておく。
【0061】
[工程−320]
そして、基板搬入出部20へのシリコン半導体基板40の搬入が完了した後、図示しない扉を閉め、基板搬入出部20にガス導入部21から窒素ガスを導入し、ガス排気部22から排出し、基板搬入出部20内を窒素ガス雰囲気とする。その後、シャッター15を開き(図7の(B)参照)、エレベータ機構23を作動させて石英ボート24を上昇させ、シリコン半導体基板40を石英製の二重管構造の処理室10内に搬入する(図8の(A)参照)。処理室10内の雰囲気温度はヒータ14によって400゜Cに保持されているので、シリコン半導体基板40の表面に荒れが発生することを抑制することができる。
【0062】
[工程−330]
次いで、シリコン層(実施例3においては、シリコン半導体基板40)の表面からシリコン原子が脱離しない温度(実施例3においては、400゜C)に雰囲気を保持した状態で、塩化水素を2容量%含む乾燥酸素ガスをガス導入部12を介して処理室10内に供給することによって、シリコン半導体基板40の表面に厚さ1.2nmのシリコン酸化膜42を形成する(図8の(B)参照)。
【0063】
[工程−340]
その後、処理室10内への塩化水素を含む乾燥酸素ガスの供給を中止し、不活性ガス(窒素ガス)をガス導入部12から処理室10内に供給しながら、シリコン酸化膜成膜装置の処理室10内の雰囲気温度を、均熱管16を介してヒータ14によって所望の温度(実施例3においては、800゜C)まで昇温する(図9の(A)参照)。尚、[工程−330]にてシリコン層の表面には保護膜としても機能するシリコン酸化膜が既に形成されているので、この[工程−340]において、シリコン層(シリコン半導体基板40)の表面に荒れが発生することはない。尚、処理室10内へ湿式ガスを供給しながら、シリコン酸化膜成膜装置の処理室10内の雰囲気温度を、均熱管16を介してヒータ14によって所望の温度(実施例3においては、800゜C)まで昇温してもよい。ここで、処理室10内に供給される不活性ガスあるいは湿式ガスには、ハロゲン元素(例えば、塩化水素0.1容量%)を含有させてもよい。
【0064】
[工程−350]
所望の温度(実施例3においては、800゜C)に処理室10内の雰囲気温度が達した後、この所望の温度に雰囲気を保持した状態にて、例えば塩化水素ガスを0.1容量%含有する湿式ガスを用いた酸化法によって、更にシリコン酸化膜を形成する。具体的には、燃焼室30内で生成した水蒸気、及び塩化水素ガスを、配管31、ガス流路11及びガス導入部12を介して処理室10内に供給し、パイロジェニック酸化法によってシリコン半導体基板40の表面に総厚4.0nmのシリコン酸化膜を形成する(図9の(B)参照)。以上により、シリコン半導体基板40の表面におけるシリコン酸化膜の形成が完了するので、以降、処理室10内を窒素ガス等の不活性ガス雰囲気とし、エレベータ機構23を動作させて石英ボート24を下降させ、次いで、図示しない扉を開き、シリコン半導体基板40を搬出してもよいが、一層高い特性を有するシリコン酸化膜の形成を意図する場合には、以下に説明する熱処理をシリコン酸化膜に施すことが好ましい。
【0065】
[工程−360]
即ち、その後、湿式ガスの供給を中止し、窒素ガスをガス導入部12から処理室10内に導入しつつ、処理室10の雰囲気温度をヒータ14によって850゜Cまで昇温する。尚、処理室10内に供給される窒素ガスには、ハロゲン元素(例えば、塩化水素0.1容量%)を含有させてもよい。その後、塩化水素を0.1容量%含有する窒素ガスをガス導入部12から処理室10内に導入し、30分間、熱処理を行う。以上により、シリコン半導体基板40の表面におけるシリコン酸化膜の形成が完了する。その後、処理室10内を窒素ガス雰囲気とし、エレベータ機構23を動作させて石英ボート24を下降させ、次いで、基板搬入出部20からシリコン半導体基板40を搬出する。
【0066】
(実施例4)
実施例4も、本発明の第1の態様に係るシリコン酸化膜の形成方法に関する。実施例4の実施に適したシリコン酸化膜成膜装置の模式図を、図10に示す。
【0067】
本発明の第1の態様に係るシリコン酸化膜の形成方法において、石英製の処理室(酸化炉)を、垂直に保持した抵抗加熱の縦型方式のシリコン酸化膜成膜装置(図2参照)を用いた場合、シリコン半導体基板(被処理材)の外周方向の外側にヒータ14が配設されているため、昇温中、常に、シリコン半導体基板(被処理材)の周辺部の方が中心部よりも温度が高くなる。その結果、昇温中にもシリコン酸化膜が形成されると、シリコン半導体基板(被処理材)の周辺部の方が中心部よりもシリコン酸化膜の膜厚が厚くなる傾向にある。このような現象の発生を抑制するためには、シリコン層の表面と略平行に配設された加熱手段によって被処理材を加熱すれば、被処理材の面内の温度ばらつきを少なくすることができる。その結果、昇温中にシリコン酸化膜が形成される場合であっても、形成されるシリコン酸化膜の面内膜厚ばらつき発生を抑制することができる。
【0068】
図10に示すシリコン酸化膜成膜装置は、処理室50と、被処理材を加熱するための加熱手段である抵抗加熱ヒータ51とを備えている。処理室50は石英炉心管から成り、シリコン層にシリコン酸化膜を形成するためにその内部にシリコン層を有する被処理材を収納する。加熱手段である抵抗加熱ヒータ51は、処理室50の外側に配設されており、且つ、シリコン層の表面と略平行に配設されている。シリコン層を有する被処理材である例えばシリコン半導体基板40は、ウエハ台52に載置され、処理室50の一端に設けられたゲートバルブ53を介して、処理室50内に搬入出される。シリコン酸化膜成膜装置には、処理室50へ水蒸気等を導入するためのガス導入部54と、処理室50からガスを排気するガス排気部55が更に備えられている。被処理材の温度は、図示しない熱電対によって測定することができる。尚、燃焼室に供給された水素ガスを酸素ガスと、燃焼室内で高温にて混合し、燃焼させることによって、水蒸気を生成させる。かかる水蒸気は、配管及びガス導入部54を介して処理室50内に供給されるが、燃焼室及び配管の図示は省略した。
【0069】
あるいは又、図11に模式図を示す形式のシリコン酸化膜成膜装置を用いることもできる。この図11に示したシリコン酸化膜成膜装置においては、加熱手段は、赤外線若しくは可視光を発する複数のランプ51Aから構成されている。また、図示しないパイロメータによって被処理材の温度を測定する。その他の構造は、基本的には、図10に示したシリコン酸化膜成膜装置と同様とすることができるので、詳細な説明は省略する。
【0070】
以下、実施例4のシリコン酸化膜の形成方法を説明する。
【0071】
[工程−400]
先ず、シリコン半導体基板40に、実施例1と同様の方法で、素子分離領域等を形成した後、RCA洗浄によりシリコン半導体基板40の表面の微粒子や金属不純物を除去し、次いで、0.1%フッ化水素酸水溶液によりシリコン半導体基板40の表面洗浄を行い、シリコン半導体基板の表面を露出させる。
【0072】
[工程−410]
次に、ウエハ台52に載置されたシリコン半導体基板40を、図10若しくは図11に示したシリコン酸化膜成膜装置のゲートバルブ53を開いて、処理室50内に搬入した後、ゲートバルブ53を閉じる。このとき、処理室50内の雰囲気を、加熱手段によって400゜C程度に加熱された不活性ガス雰囲気としておく。尚、処理室50内の雰囲気をこのような条件とすることによって、シリコン半導体基板40の表面に荒れが発生することを抑制することができる。
【0073】
[工程−420]
次いで、シリコン層(実施例4においては、シリコン半導体基板40)の表面からシリコン原子が脱離しない温度(実施例4においては、400゜C)に雰囲気を保持した状態で、塩化水素を含む乾燥酸素ガスを用いた酸化法によってシリコン層の表面にシリコン酸化膜42を形成する。実施例4においては、具体的には、塩化水素を2容量%含む乾燥酸素ガスを配管(図示せず)及びガス導入部54を介して処理室50内に供給し、シリコン半導体基板40の表面に厚さ1.2nmのシリコン酸化膜を形成する。
【0074】
[工程−430]
その後、処理室50内への塩化水素を含む乾燥酸素ガスの供給を中止し、次いで、燃焼室(図示せず)内で生成した水蒸気(湿式ガス)を配管及びガス導入部54を介して処理室50内に供給し、処理室50内の雰囲気温度を、加熱手段によって所望の温度(実施例4においては、800゜C)まで昇温する。尚、実施例4においては、加熱手段がシリコン層の表面と略平行に配設されているので、被処理材の昇温時の被処理材の面内温度ばらつきの発生を抑制することができる結果、昇温中に形成されるシリコン酸化膜の面内膜厚ばらつきの発生を効果的に抑制することができる。尚、処理室50内の雰囲気温度を、加熱手段によって所望の温度(実施例4においては、800゜C)まで昇温するときの雰囲気を不活性ガス雰囲気(例えば窒素ガス雰囲気)としてもよい。更には、湿式ガス中若しくは不活性ガス中には、ハロゲン元素(例えば、塩化水素0.1容量%)を含有させてもよい。
【0075】
[工程−440]
所望の温度(実施例4においては、800゜C)に処理室50内の雰囲気温度が達した後、この所望の温度に雰囲気を保持した状態にて、湿式ガスを用いた酸化法によって、更にシリコン酸化膜を形成する。具体的には、燃焼室内で生成した水蒸気を配管及びガス導入部54を介して処理室50内に供給し、パイロジェニック酸化法によってシリコン半導体基板40の表面に総厚4.0nmのシリコン酸化膜42を形成する。尚、例えば塩化水素ガスを0.1容量%含有する湿式ガスを用いた酸化法を採用してもよい。以上により、シリコン半導体基板40の表面におけるシリコン酸化膜の形成が完了するので、以降、処理室50内を窒素ガス等の不活性ガス雰囲気とし、ゲートバルブ53を開き、ウエハ台52に載置されたシリコン半導体基板40を処理室50から搬出してもよいが、一層高い特性を有するシリコン酸化膜の形成を意図する場合には、以下に説明する熱処理をシリコン酸化膜に施すことが好ましい。
【0076】
[工程−450]
即ち、その後、湿式ガスの供給を中止し、窒素ガスをガス導入部54から処理室50内に導入しつつ、処理室50の雰囲気温度を加熱手段によって850゜Cまで昇温する。尚、この昇温工程における雰囲気を、ハロゲン元素(例えば、塩化水素0.1容量%)が含有した窒素ガス雰囲気とすることもできる。その後、塩化水素を0.1容量%含有する窒素ガスをガス導入部54から処理室50内に導入し、5分間、熱処理を行う。以上により、シリコン半導体基板40の表面におけるシリコン酸化膜の形成が完了する。以降、処理室50内を窒素ガス雰囲気とし、ゲートバルブ53を開き、ウエハ台52に載置されたシリコン半導体基板40を処理室50から搬出する。
【0077】
(実施例5)
実施例5は本発明の第2の態様に係るシリコン酸化膜の形成方法に関する。実施例5においては、図12に概念図を示し、図13及び図14に模式図を示す縦型方式のシリコン酸化膜成膜装置を用いた。また、実施例5においては、シリコン層を有する被処理材としてシリコン半導体基板を用いた。即ち、実施例5においてはシリコン層はシリコン半導体基板それ自体とした。形成されたシリコン酸化膜はゲート酸化膜として機能する。湿式ガスを用いた酸化法としてパイロジェニック酸化法を採用した。更には、被処理材を、第1の処理室から第2の処理室に搬入する際の搬送路の雰囲気を不活性ガス雰囲気とし、且つ、搬送路内の温度を、シリコン層にシリコン酸化膜を形成するときの第1の処理室内の雰囲気温度と略等しくした。尚、パイロジェニック酸化法にて更にシリコン酸化膜を形成した後、形成されたシリコン酸化膜に対して、ハロゲン元素を含有する不活性ガス雰囲気(具体的には、塩化水素を含む窒素ガス雰囲気)中で熱処理(炉アニール処理)を施した。実施例5においては、第1の処理室及び第2の処理室において、シリコン酸化膜の形成を複数の被処理材に対してバッチ式にてシリコン層にシリコン酸化膜を形成した。更には、熱処理を第2の処理室内でバッチ式にて行った。以下、図12〜図14、及びシリコン酸化膜成膜装置等の概念図である図15〜図23を参照して、実施例5のシリコン酸化膜の形成方法を説明する。
【0078】
図12〜図14に示したシリコン酸化膜成膜装置は、第1の処理室110、第2の処理室210及び搬送路120から構成されている。尚、図13は、図12の矢印A−Aに沿った第1の処理室110を含む部分の模式的な断面図であり、図14は、図12の矢印B−Bに沿った第2の処理室210を含む部分の模式的な断面図である。この縦型方式のシリコン酸化膜成膜装置は、石英製の二重管構造の処理室110,210と、処理室110,210へ水蒸気等を導入するためのガス導入部112,212と、処理室110,210からガスを排気するガス排出部113,213と、SiCから成る円筒状の均熱管116,216を介して処理室110,210内を所定の雰囲気温度に保持するためのヒータ114,214とから構成されている。ヒータ114,214は温度制御装置によって制御される。更には、第1の処理室110及び第2の処理室210の下部に配置された搬送路120と、搬送路120へ窒素ガス等の不活性ガスを導入するためのガス導入部121と、搬送路120からガスを排気するガス排出部122と、第1の処理室110及び第2の処理室210と搬送路120とを仕切るシャッター115,215と、シリコン半導体基板40を第1の処理室110及び第2の処理室210に搬入出するためのエレベータ機構123から構成されている。エレベータ機構123には、複数のシリコン半導体基板40を載置するための石英ボート124が取り付けられている。尚、エレベータ機構123は、図12の左右方向に移動可能である。搬送路120にはシリコン半導体基板40を搬入出するための扉125が備えられている。また、燃焼室130に供給された水素ガスを酸素ガスと燃焼室130内で高温にて混合し、燃焼させることによって、水蒸気を生成させる。かかる水蒸気は、配管131、ガス流路111,211及びガス導入部112,212を介して第1の処理室110内及び第2の処理室210内に供給される。尚、ガス流路111,211は、二重管構造の第1の処理室110及び第2の処理室210の外側部分に位置する。
【0079】
[工程−500]
先ず、シリコン半導体基板40に、公知の方法でLOCOS構造を有する素子分離領域を形成し、ウエルイオン注入、チャネルストップイオン注入、閾値調整イオン注入を行う。尚、素子分離領域はトレンチ構造を有していてもよい。その後、RCA洗浄によりシリコン半導体基板40の表面の微粒子や金属不純物を除去し、次いで、0.1%フッ化水素酸水溶液によりシリコン半導体基板40の表面洗浄を行い、シリコン半導体基板40の表面を露出させる。尚、シリコン半導体基板の表面は大半が水素で終端しており、一部がフッ素で終端されている。
【0080】
[工程−510]
次に、複数のシリコン半導体基板40を、図12に示したシリコン酸化膜成膜装置の搬送路120に扉125から搬入し、石英ボート124に載置する。尚、第1の処理室110へガス導入部112から窒素ガス等の不活性ガスを導入し、第1の処理室110内を不活性ガス雰囲気とし(減圧雰囲気であってもよい)、且つ、均熱管116を介してヒータ114によって第1の処理室110内の雰囲気温度を400゜Cに保持する。尚、この状態においては、シャッター115は閉じておく。一方、第2の処理室210へガス導入部212から窒素ガス等の不活性ガスを導入し、第2の処理室210内を不活性ガス雰囲気とし(減圧雰囲気であってもよい)、且つ、均熱管216を介してヒータ214によって第2の処理室210内の雰囲気温度を800゜Cに保持する。尚、この状態においては、シャッター215は閉じておく。
【0081】
[工程−520]
そして、搬送路120へのシリコン半導体基板40の搬入が完了した後、扉125を閉め、搬送路120にガス導入部121から窒素ガス等の不活性ガスを導入し、ガス排出部122から排出し、搬送路120内を室温の不活性ガス雰囲気とする(図15参照)。その後、シャッター115を開き、エレベータ機構123を作動させて石英ボート124を上昇させ、シリコン半導体基板40を石英製の二重管構造の第1の処理室110に搬入する(図16参照)。第1の処理室110内の不活性ガス雰囲気の温度はヒータ114によって400゜Cに保持されているので、シリコン半導体基板40の表面に荒れが発生することを抑制することができる。尚、第1の処理室110へのシリコン半導体基板40の搬入後、不活性ガス雰囲気にある搬送路120内を図示しないヒータで400゜C前後に加熱しておくことが好ましい。
【0082】
[工程−530]
次いで、シリコン層(実施例5においては、シリコン半導体基板40)の表面からシリコン原子が脱離しない温度(実施例5においては、400゜C)に第1の処理室110の雰囲気を保持した状態で、塩化水素を含む乾燥酸素ガスを用いた酸化法によってシリコン層の表面にシリコン酸化膜を形成する(図17参照)。実施例5においては、具体的には、塩化水素を2容量%含む乾燥酸素ガスをガス導入部112を介して第1の処理室110内に供給し、シリコン半導体基板40の表面に厚さ1.2nmのシリコン酸化膜を形成する。このシリコン酸化膜の厚さはSiO2の2〜3分子層に相当する厚さであり、シリコン半導体基板の表面のステップを考慮しても、保護膜として機能するのに十分な厚さである。
【0083】
[工程−540]
その後、被処理材であるシリコン半導体基板40を、第1の処理室110から搬送路120を介して第2の処理室210に搬入する。具体的には、第1の処理室110内への塩化水素を含む乾燥酸素ガスの供給を中止し、窒素ガス等の不活性ガスをガス導入部112から第1の処理室110内に供給する。そして、第1の処理室110内を窒素ガス等の不活性ガスで置換した後、エレベータ機構123を作動させて、シリコン半導体基板40を搬送路120内に搬入する(図18参照)。搬送路120内は不活性ガス雰囲気であり、しかも、400゜C前後に保持されている。即ち、被処理材であるシリコン半導体基板40を第1の処理室110から搬送路120を介して第2の処理室210に搬入する際の搬送路120内の温度は、シリコン半導体基板40にシリコン酸化膜を形成するときの第1の処理室110の雰囲気温度と略等しくなっている。エレベータ機構123が最下降位置に位置したならば、エレベータ機構123を第2の処理室210の下方に移動させる(図19参照)。
【0084】
[工程−550]
次いで、シャッター215を開き、エレベータ機構123を作動させて石英ボート124を上昇させ、シリコン半導体基板40を石英製の二重管構造の第2の処理室210に搬入する(図20参照)。第2の処理室210内の不活性ガス雰囲気の温度はヒータ214によって800゜Cに保持されているが、シリコン半導体基板40の表面には既に保護膜としても機能するシリコン酸化膜が形成されているので、シリコン層(シリコン半導体基板40)の表面に荒れが発生することはない。尚、第2の処理室210へのシリコン半導体基板40の搬入後、不活性ガス雰囲気にある搬送路120内の温度を室温とすることが好ましい。
【0085】
[工程−560]
その後、第2の処理室210内を800゜Cに保持した状態にて、湿式ガスを用いた酸化法によって、更にシリコン酸化膜を形成する。具体的には、750゜Cに保持された燃焼室130内に水素ガス:酸素ガスを1:4の割合で導入して水素ガスを燃焼させ、燃焼室130内で生成した水蒸気を配管131、ガス流路211及びガス導入部212を介して第2の処理室210内に供給し、パイロジェニック酸化法によってシリコン半導体基板40の表面に総厚4.0nmのシリコン酸化膜を形成する(図21参照)。尚、湿式ガス中に、例えば濃度1.0容量%の塩化水素を含有させてもよい。
【0086】
以上により、シリコン半導体基板40の表面におけるシリコン酸化膜の形成が完了するので、以降、第2の処理室210内を窒素ガス等の不活性ガス雰囲気とし、エレベータ機構123を動作させて石英ボート124を下降させ、次いで、扉125を開き、搬送路120からシリコン半導体基板40を搬出してもよいが、一層高い特性を有するシリコン酸化膜の形成を意図する場合には、以下に説明する熱処理をシリコン酸化膜に施すことが好ましい。
【0087】
[工程−570]
即ち、[工程−560]に続き、湿式ガスの供給を中止し、窒素ガス等の不活性ガスをガス導入部212から第2の処理室210内に導入しつつ、第2の処理室210の雰囲気温度をヒータ214によって850゜Cまで昇温させる(図22参照)。尚、この昇温工程における雰囲気を、ハロゲン元素(例えば、塩化水素0.1容量%)が含有した窒素ガス雰囲気とすることもできる。その後、例えば塩化水素を0.1容量%含有する窒素ガスをガス導入部212から第2の処理室210内に導入し、30分間、熱処理を行う(図23参照)。以上により、シリコン酸化膜の熱処理が完了する。以降、第2の処理室210内を窒素ガス等の不活性ガス雰囲気とし、エレベータ機構123を動作させて石英ボート124を下降させ、次いで、扉125を開き、搬送路120からシリコン半導体基板40を搬出する。
【0088】
(実施例6)
実施例6においては、図24に概念図を示す縦型方式シリコン酸化膜成膜装置を用いた。実施例6にて使用した縦型方式シリコン酸化膜成膜装置が実施例5にて説明した縦型方式シリコン酸化膜成膜装置と相違する点は、第1の処理室110に連通する搬送路120の部分120Aと第2の処理室210に連通する搬送路120の部分120Bとの間に、シャッター126が配設されている点、及び搬送路120A,120Bのそれぞれに、窒素ガス等の不活性ガスを導入するためのガス導入部と排気するガス排気部(これらは図24には図示せず)が設けられている点にある。このように、シャッター126によって搬送路120を2つの部分120A,120Bに区分けすることで、第1の処理室110中でのシリコン酸化膜の形成と、第2の処理室210中でのシリコン酸化膜の形成を同時に行うことが可能となり、シリコン酸化膜の形成におけるスループットの向上を図ることができる。以下、シリコン酸化膜成膜装置等の概念図である図25〜図34を参照して、実施例6のシリコン酸化膜の形成方法を説明するが、実施例6のシリコン酸化膜の形成方法は基本的には実施例5のシリコン酸化膜の形成方法と同じである。
【0089】
[工程−600]
先ず、実施例5と同様に、シリコン半導体基板40に、公知の方法でLOCOS構造を有する素子分離領域を形成し、ウエルイオン注入、チャネルストップイオン注入、閾値調整イオン注入を行った後、RCA洗浄及び0.1%フッ化水素酸水溶液によりシリコン半導体基板40の表面洗浄を行う。
【0090】
[工程−610]
次に、複数のシリコン半導体基板40を、図24に示したシリコン酸化膜成膜装置の搬送路120Aに扉125Aから搬入し、石英ボート124に載置する。尚、第1の処理室110へガス導入部112から窒素ガス等の不活性ガスを導入し、第1の処理室110内を不活性ガス雰囲気とし(減圧雰囲気であってもよい)、且つ、均熱管116を介してヒータ114によって第1の処理室110内の雰囲気温度を400゜Cに保持する。尚、この状態においては、シャッター115は閉じておく。一方、第2の処理室210へガス導入部212から窒素ガス等の不活性ガスを導入し、第2の処理室210内を不活性ガス雰囲気とし(減圧雰囲気であってもよい)、且つ、均熱管216を介してヒータ214によって第2の処理室210内の雰囲気温度を800゜Cに保持する。尚、この状態においては、シャッター215は閉じておく。また、搬送路120Aと搬送路120Bの間に配設されたシャッター126も閉じておく。
【0091】
[工程−620]
そして、搬送路120Aへのシリコン半導体基板40の搬入が完了した後、扉125Aを閉め、搬送路120A,120Bのそれぞれにガス導入部から窒素ガス等の不活性ガスを導入し、ガス排出部から排出し、搬送路120A,120B内を室温の不活性ガス雰囲気とする(図25参照)。その後、シャッター115を開き、エレベータ機構123を作動させて石英ボート124を上昇させ、シリコン半導体基板40を石英製の二重管構造の第1の処理室110に搬入する(図26参照)。第1の処理室110内の不活性ガス雰囲気の温度はヒータ114によって400゜Cに保持されているので、シリコン半導体基板40の表面に荒れが発生することを抑制することができる。尚、第1の処理室110へのシリコン半導体基板40の搬入後、不活性ガス雰囲気にある搬送路120A,120B内を図示しないヒータで400゜C前後に加熱しておくことが好ましい。
【0092】
[工程−630]
次いで、実施例5の[工程−530]と同様の方法で、シリコン層(実施例5においては、シリコン半導体基板40)の表面からシリコン原子が脱離しない温度(実施例6においても、400゜C)に第1の処理室110の雰囲気を保持した状態で、塩化水素を含む乾燥酸素ガスを用いた酸化法によってシリコン層の表面に厚さ1.2nmのシリコン酸化膜を形成する(図27参照)。
【0093】
[工程−640]
その後、被処理材であるシリコン半導体基板40を、第1の処理室110から搬送路120A,12Bを介して第2の処理室210に搬入する。具体的には、第1の処理室110内への塩化水素を含む乾燥酸素ガスの供給を中止し、窒素ガス等の不活性ガスをガス導入部112から第1の処理室110内に供給する。一方、シャッター126を開く。そして、第1の処理室110内を窒素ガス等の不活性ガスで置換した後、エレベータ機構123を作動させて、シリコン半導体基板40を搬送路120A内に搬入する(図28参照)。搬送路120A,120B内は不活性ガス雰囲気であり、しかも、400゜C前後に保持されている。即ち、被処理材であるシリコン半導体基板40を第1の処理室110から搬送路120A,120Bを介して第2の処理室210に搬入する際の搬送路120A,120B内の温度は、シリコン半導体基板40にシリコン酸化膜を形成するときの第1の処理室110内の雰囲気温度と略等しくなっている。エレベータ機構123が最下降位置に位置したならば、エレベータ機構123を第2の処理室210の下方に移動させ、次いで、シャッター126を閉じる。そして、次のロットの被処理材であるシリコン半導体基板40におけるシリコン酸化膜形成のために、扉125Aを開き、シリコン半導体基板40を搬送路120A内に搬入する(図29参照)。
【0094】
[工程−650]
次いで、シャッター215を開き、エレベータ機構123を作動させて石英ボート124を上昇させ、シリコン半導体基板40を石英製の二重管構造の第2の処理室210に搬入する(図30参照)。第2の処理室210内の不活性ガス雰囲気の温度はヒータ214によって800゜Cに保持されているが、シリコン半導体基板40の表面には既に保護膜としても機能するシリコン酸化膜が形成されているので、シリコン層(シリコン半導体基板40)の表面に荒れが発生することはない。尚、第2の処理室210へのシリコン半導体基板40の搬入後、不活性ガス雰囲気にある搬送路120B内の温度を室温とすることが好ましい。搬送路120A及び第1の処理室110にあっては、[工程−620]と同様に、扉125Aを閉め、搬送路120Aにガス導入部から窒素ガス等の不活性ガスを導入し、ガス排出部から排出し、搬送路120A内を室温の不活性ガス雰囲気とする(図30の左側の搬送路120Aの状態を参照)。
【0095】
[工程−660]
その後、実施例5の[工程−560]と同様に、第2の処理室210内を800゜Cに保持した状態にて、湿式ガスを用いた酸化法(パイロジェニック酸化法)によって、更にシリコン酸化膜を形成する(図31参照)。ここで、湿式ガス中に、例えば濃度1.0容量%の塩化水素を含有させてもよい。尚、搬送路120A及び第1の処理室110にあっては、[工程−620]と同様に、シャッター115を開き、エレベータ機構123を作動させて石英ボート124を上昇させ、シリコン半導体基板40を石英製の二重管構造の第1の処理室110に搬入する(図31の左側の搬送路120Aの状態を参照)。
【0096】
以上により、第2の処理室210において、シリコン半導体基板40の表面におけるシリコン酸化膜の形成が完了するので、以降、第2の処理室210内を窒素ガス等の不活性ガス雰囲気とし、エレベータ機構123を動作させて石英ボート124を下降させ、次いで、扉125Bを開き、搬送路120Bからシリコン半導体基板40を搬出すればよいが、一層高い特性を有するシリコン酸化膜の形成を意図する場合には、以下に説明する熱処理をシリコン酸化膜に施すことが好ましい。尚、第1の処理室110に搬入されたシリコン半導体基板40に関しては、[工程−630]以降の処理を行う。
【0097】
[工程−670]
即ち、実施例5の[工程−570]と同様に、[工程−660]に続き、湿式ガスの供給を中止し、窒素ガス等の不活性ガスをガス導入部212から第2の処理室210内に導入しつつ、第2の処理室210の雰囲気温度をヒータ214によって850゜Cまで昇温させる(図32参照)。尚、この昇温工程における雰囲気を、ハロゲン元素(例えば、塩化水素0.1容量%)が含有した窒素ガス雰囲気とすることもできる。その後、例えば塩化水素を0.1容量%含有する窒素ガスをガス導入部212から第2の処理室210内に導入し、30分間、熱処理を行う(図33参照)。以上により、シリコン酸化膜の熱処理が完了する。以降、第2の処理室210内を窒素ガス等の不活性ガス雰囲気とし、エレベータ機構123を動作させて石英ボート124を下降させ、次いで、扉125Bを開き、搬送路120Bからシリコン半導体基板40を搬出する。次いで、搬送路120Bに窒素ガス等の不活性ガスを導入し、且つ、搬送路120B内の雰囲気温度を400゜C前後にする(図34参照)。
【0098】
尚、以上に説明した実施例6において、次のロットの被処理材であるシリコン半導体基板におけるシリコン酸化膜形成のタイミングは例示であり、適宜変更することができる。
【0099】
(実施例7)
実施例7においても、シリコン層を有する被処理材としてシリコン半導体基板を用いた。形成されたシリコン酸化膜はゲート酸化膜として機能する。湿式ガスを用いた酸化法としてパイロジェニック酸化法を採用した。更には、被処理材を、第1の処理室から第2の処理室に搬入する際の搬送路の雰囲気を不活性ガス雰囲気とし、且つ、搬送路内の温度を、シリコン層にシリコン酸化膜を形成するときの第1の処理室内の雰囲気温度と略等しくした。尚、パイロジェニック酸化法にて更にシリコン酸化膜を形成した後、形成されたシリコン酸化膜に対して、ハロゲン元素を含有する不活性ガス雰囲気(具体的には、塩化水素を含む窒素ガス雰囲気)中で熱処理(炉アニール処理)を施した。実施例7においては、シリコン酸化膜の形成を枚葉式の処理室にて行った。即ち、第1及び第2の処理室において、1枚の被処理材に対して枚葉式にてシリコン酸化膜を形成した。また、熱処理を炉アニール装置を用いてバッチ式にて行った。
【0100】
実施例7においては、図35に概念的な平面図を示すシリコン酸化膜成膜装置を用いた。このシリコン酸化膜成膜装置は、図35に示すように、ローダー・アンローダー300と、搬送路301と、第1の処理装置302と第2の処理装置303と、炉アニール装置304から構成されている。炉アニール装置304は、図2に示した従来の縦型方式シリコン酸化膜成膜装置と略同様の構造(但し、燃焼室は無い)を有する。尚、実施例7においては、第1の処理装置302と第2の処理装置303として、図10若しくは図11にて説明した構造を有するシリコン酸化膜成膜装置を用いた。
【0101】
実施例5及び実施例6においては、石英製の処理室(酸化炉)を垂直に保持した縦型方式のシリコン酸化膜成膜装置を用いた。ところで、縦型方式のシリコン酸化膜成膜装置を用いた場合、シリコン半導体基板(被処理材)の外周方向の外側にヒータ114,214が配設されているため、被処理材の昇温中、常に、シリコン半導体基板(被処理材)の周辺部の方が中心部よりも温度が高くなる。その結果、被処理材の昇温中にシリコン酸化膜が形成されると、シリコン半導体基板(被処理材)の周辺部の方が中心部よりもシリコン酸化膜の膜厚が厚くなる虞がある。実施例7においては、シリコン層の表面と略平行に配設された加熱手段によって被処理材を加熱するので、被処理材の面内の温度ばらつきを少なくすることができる。その結果、形成されるシリコン酸化膜の面内膜厚ばらつき発生を抑制することができる。
【0102】
[工程−700]
先ず、シリコン半導体基板40に、実施例1と同様の方法で、素子分離領域等を形成した後、RCA洗浄によりシリコン半導体基板40の表面の微粒子や金属不純物を除去し、次いで、0.1%フッ化水素酸水溶液によりシリコン半導体基板40の表面洗浄を行い、シリコン半導体基板40の表面を露出させる。
【0103】
[工程−710]
搬送路301内、第1の処理装置302及び第2の処理装置303の処理室50内、並びに、炉アニール装置304の基板搬入出部20及び処理室10内を窒素ガス等の不活性ガス雰囲気としておく。尚、第1の処理装置302の処理室50内の不活性ガス雰囲気温度を400゜Cとし、第2の処理装置303の処理室50の不活性ガス雰囲気温度を800゜Cとし、炉アニール装置304の処理室10内を不活性ガス雰囲気温度を850゜Cとしておくことが好ましい。そして、被処理材であるシリコン半導体基板40をローダー・アンローダー300から搬送路301内に搬入し、更に、シリコン半導体基板40をウエハ台52に載置し、次いで、図10若しくは図11に示した第1の処理装置302におけるゲートバルブ53を開いて、第1の処理室に相当する第1の処理装置302の処理室50に搬入した後、ゲートバルブ53を閉じる。尚、第1の処理装置302の処理室50内の雰囲気温度は400゜C程度であるため、シリコン半導体基板40の表面に荒れが発生することを抑制することができる。
【0104】
[工程−720]
次いで、シリコン層(実施例7においては、シリコン半導体基板40)の表面からシリコン原子が脱離しない温度(実施例7においては、400゜C)に雰囲気を保持した状態で、塩化水素を含む乾燥酸素ガスを用いた酸化法によってシリコン層の表面にシリコン酸化膜を形成する。実施例7においては、具体的には、実施例5と同様に、塩化水素を2容量%含む乾燥酸素ガスをガス導入部54を介して処理室50内に供給し、シリコン半導体基板40の表面に厚さ1.2nmのシリコン酸化膜を形成する。尚、搬送路301内の不活性ガスを加熱して、搬送路301内の不活性ガス雰囲気の温度を400゜C前後としておくことが好ましい。
【0105】
[工程−730]
その後、処理室50への塩化水素を含む乾燥酸素ガスの供給を停止し、処理室50内を400゜Cの窒素ガス等の不活性ガス雰囲気とし、ゲートバルブ53を開き、ウエハ台52に載置されたシリコン半導体基板40を第1の処理室に相当する処理室50から搬送路301へ搬出し、次いで、図10若しくは図11に示した第2の処理装置303におけるゲートバルブ53を開いて、第2の処理室である第2の処理装置303の処理室50に搬入した後、ゲートバルブ53を閉じる。このとき、第2の処理室に相当する処理室50内の雰囲気は加熱手段によって800゜C程度に加熱された不活性ガス雰囲気となっている。しかしながら、シリコン層の表面に既に保護膜としても機能するシリコン酸化膜が形成された状態で被処理材を第1の処理装置302から搬送路301を介して第2の処理装置303に搬入するので、たとえ、搬送路301内や第2の処理室内が非酸化性雰囲気であってもシリコン層の表面に凹凸(荒れ)が生じることがない。
【0106】
[工程−740]
次いで、第2の処理室に相当する処理室50内の雰囲気温度を800゜Cに保持した状態で、実施例5と同様に、湿式ガスを用いた酸化法によってシリコン層の表面にシリコン酸化膜を形成する。実施例7においては、具体的には、実施例5と同様に、燃焼室(図示せず)内で生成した水蒸気を配管(図示せず)及びガス導入部54を介して第2の処理室に相当する処理室50内に供給し、パイロジェニック酸化法によってシリコン半導体基板40の表面に総厚4.0nmのシリコン酸化膜を形成する。尚、湿式ガス中に、例えば濃度1.0容量%の塩化水素を含有させてもよい。また、搬送路301内の不活性ガス雰囲気の温度は室温としておいてもよい。
【0107】
以上により、シリコン半導体基板40の表面におけるシリコン酸化膜の形成が完了するので、以降、第2の処理装置303の処理室50内を窒素ガス等の不活性ガス雰囲気とし、ゲートバルブ53を開き、ウエハ台52に載置されたシリコン半導体基板40を処理室50から搬送路301へ搬出し、ローダー・アンローダー300を経由して系外に搬出してもよいが、一層高い特性を有するシリコン酸化膜の形成を意図する場合には、以下に説明する熱処理をシリコン酸化膜に施すことが好ましい。
【0108】
[工程−750]
即ち、被処理材であるシリコン半導体基板40を逐次、図2に図示したと略同様の構造を有する炉アニール装置304の基板搬入出部20に図示しない扉を介して搬入する。シリコン半導体基板40で石英ボート24が満たされたならば、図示しない扉を閉め、エレベータ機構23を作動させて石英ボート24を上昇させ、シリコン半導体基板40を処理室10に搬入する。そして、例えば塩化水素を0.1容量%含有する窒素ガスをガス導入部12から処理室10内に導入し、850゜C×30分間、熱処理を行う。以上により、シリコン酸化膜の熱処理が完了する。以降、処理室10内を窒素ガス等の不活性ガス雰囲気とし、エレベータ機構23を動作させて石英ボート24を下降させ、次いで、図示しない扉を開き、搬送路301へ搬出し、ローダー・アンローダー300を経由して系外に搬出する。
【0109】
尚、図2に示したと略同様の構造を有する炉アニール装置を用いる代わりに、第2の処理装置303の処理室50内で、若しくは、図10や図11に示したと略同様の構造を有するアニール装置に被処理材を搬入して、シリコン酸化膜の形成に引き続き、熱処理を施してもよい。例えば、第2の処理装置303の処理室50内で熱処理を行う場合には、[工程−740]において、湿式ガスの供給を中止し、窒素ガスをガス導入部44から処理室50内に導入しつつ、処理室50の雰囲気温度を加熱手段によって850゜Cまで昇温させる。このとき、窒素ガス中に、例えば塩化水素を0.1容量%含有させてもよい。その後、例えば塩化水素を0.1容量%含有する窒素ガスをガス導入部44から処理室50内に導入し、5分間、熱処理を行う。
【0110】
また、図35に示したシリコン酸化膜成膜装置において、第1の処理装置に連通する搬送路の部分と第2の処理装置に連通する搬送路の部分との間に、シャッターを配設してもよい。更には、シリコン層の表面からシリコン原子が脱離しない温度に第1の処理室内の雰囲気を保持した状態にて、塩化水素を含む乾燥酸素ガスを用いた酸化法によってシリコン層の表面にシリコン酸化膜を形成する工程を、図10や図11に示した処理室にて行い、湿式ガスを用いた酸化法によって更にシリコン酸化膜を形成する工程は、図2に示したと略同様の構造を有する縦型方式のシリコン酸化膜成膜装置を用いて行うこともできる。
【0111】
以上、本発明を好ましい実施例に基づき説明したが、本発明はこれらの実施例に限定されるものではない。実施例にて説明した各種条件やシリコン酸化膜成膜装置、アニール装置の構造は例示であり、適宜変更することができる。湿式ガスを用いたシリコン酸化膜の形成は、パイロジェニック酸化法だけでなく、純水の加熱により発生した水蒸気による酸化法、酸素ガス又は不活性ガスによって加熱純水をバブリングすることで発生した水蒸気による酸化法、あるいはこれらの酸化法を併用した方法とすることができる。実施例においては、専らシリコン半導体基板の表面にシリコン酸化膜を形成したが、シリコン半導体基板の製造工程においてCZ法やMCZ法にて製造されたシリコン半導体基板の表面にエピタキシャル成長法にて例えば厚さ10μmのエピタキシャルシリコン層を形成したシリコン基板や、半導体装置の製造工程においてシリコン半導体基板表面に形成されたエピタキシャルシリコン層や選択エピタキシャル成長法にて形成されたシリコン層、基板の上に形成された絶縁層の上に成膜された多結晶シリコン層あるいは非晶質シリコン層等の表面にシリコン酸化膜を形成することもできる。あるいは又、SOI構造におけるシリコン層の表面にシリコン酸化膜を形成してもよいし、更には、半導体素子や半導体素子の構成要素が形成された基板やこれらの層の上に成膜された下地絶縁層の上に形成されたシリコン層の表面にシリコン酸化膜を形成してもよい。シリコン酸化膜形成後の熱処理は必須ではなく、場合によっては省略することができる。
【0112】
実施例において0.1%フッ化水素酸水溶液によりシリコン半導体基板40の表面洗浄を行った後、シリコン半導体基板40をシリコン酸化膜成膜装置に搬入したが、シリコン半導体基板40の表面洗浄からシリコン酸化膜成膜装置への搬入までの雰囲気を、不活性ガス(例えば窒素ガス)雰囲気としてもよい。尚、このような雰囲気は、例えば、シリコン半導体基板の表面洗浄装置の雰囲気を不活性ガス雰囲気とし、且つ、不活性ガスが充填された搬送用ボックス内にシリコン半導体基板40を納めてシリコン酸化膜成膜装置の基板搬入出部20や処理室50に搬入する方法や、図36に模式図を示すように、表面洗浄装置、シリコン酸化膜成膜装置、搬送路、ローダー及びアンローダーから構成されたクラスターツール装置を用い、シリコン半導体基板の表面洗浄装置からシリコン酸化膜成膜装置の基板搬入出部20あるいは処理室50までを搬送路で結び、かかる表面洗浄装置及び搬送路の雰囲気を不活性ガス雰囲気とする方法によって達成することができる。
【0113】
あるいは又、0.1%フッ化水素酸水溶液によりシリコン半導体基板40の表面洗浄を行う代わりに、表2に例示する条件にて、無水フッ化水素ガスを用いた気相洗浄法によってシリコン半導体基板40の表面洗浄を行ってもよい。尚、パーティクルの発生防止のためにメタノールを添加する。あるいは又、表3に例示する条件にて、塩化水素ガスを用いた気相洗浄法によってシリコン半導体基板40の表面洗浄を行ってもよい。尚、シリコン半導体基板40の表面洗浄開始前あるいは表面洗浄完了後における表面洗浄装置内の雰囲気や搬送路等内の雰囲気は、不活性ガス雰囲気としてもよいし、例えば1.3×10-1Pa(10-3Torr)程度の真空雰囲気としてもよい。尚、搬送路等内の雰囲気を真空雰囲気とする場合には、シリコン半導体基板を搬入する際のシリコン酸化膜成膜装置の基板搬入出部20あるいは処理室50の雰囲気を例えば1.3×10-1Pa(10-3Torr)程度の真空雰囲気としておき、シリコン半導体基板の搬入完了後、基板搬入出部20あるいは処理室50の雰囲気を大気圧の不活性ガス(例えば窒素ガス)雰囲気とすればよい。
【0114】
【表2】
無水フッ化水素ガス:300sccm
メタノール蒸気 :80sccm
窒素ガス :1000sccm
圧力 :0.3Pa
温度 :60゜C
【0115】
【表3】
塩化水素ガス/窒素ガス:1容量%
温度 :800゜C
【0116】
尚、これらの場合のシリコン酸化膜成膜装置としては、図2、図10、図11、図12、図24あるいは後述する図37、図38に示すシリコン酸化膜成膜装置を用いることができる。これにより、シリコン酸化膜の形成前に水素やフッ素で終端されたシリコン層の表面を清浄に保つことができる結果、形成されたシリコン酸化膜中に水分や有機物、あるいは又、Si−OHが取り込まれ、形成されたシリコン酸化膜の特性が低下しあるいは欠陥部分が発生することを、効果的に防ぐことができる。
【0117】
図2に示した縦型のシリコン酸化膜成膜装置とは若干形式の異なる縦型のシリコン酸化膜成膜装置の模式的な断面図を図37に示す。この縦型のシリコン酸化膜成膜装置の処理室10は、上方領域10Aと下方領域10Bから構成され、下方領域10Bの雰囲気温度はヒータ14によって制御される。一方、上方領域10Aの外側には、赤外線若しくは可視光を発する複数のランプ14Aが配設されている。そして、例えば、実施例1の[工程−130]と同様の工程において、シリコン層の表面からシリコン原子が脱離しない温度に雰囲気を保持した状態で塩化水素を含む乾燥酸素ガスを用いた酸化法によってシリコン層の表面にシリコン酸化膜を形成するが、このシリコン酸化膜の形成は処理室10の下方領域10Bにて行う。このとき、処理室10の上方領域10Aの雰囲気温度は、ランプ14Aによって400゜Cに保持する。その後、実施例1の[工程−140]と同様の工程において、処理室10内への塩化水素を含む乾燥酸素ガスの供給を中止し、不活性ガス(例えば窒素ガス)をガス導入部12から処理室10内に供給しながら、シリコン酸化膜成膜装置の処理室10の上方領域10Aの雰囲気温度をランプ14Aによって所望の温度まで昇温させ、次いで、エレベータ機構23を作動させて石英ボート24を上昇させ、シリコン半導体基板40を処理室10の上方領域10Aに移す。そして、実施例1の[工程−150]と同様の工程において、パイロジェニック酸化法によってシリコン半導体基板40の表面にシリコン酸化膜42を形成する。次いで、実施例1の[工程−160]と同様の工程において、湿式ガスの供給を中止し、不活性ガス(例えば窒素ガス)をガス導入部12から処理室10内に導入しつつ、処理室10の上方領域10Aの雰囲気温度をランプ14Aによって850゜Cまで昇温する。その後、塩化水素を0.1容量%含有する不活性ガス(例えば窒素ガス)をガス導入部12から処理室10内に導入し、処理室10の上方領域10Aにおいて、30分間、熱処理を行う。
【0118】
あるいは又、図11に示したシリコン酸化膜成膜装置とは若干形式の異なるシリコン酸化膜成膜装置の模式的な断面図を図38に示す。このシリコン酸化膜成膜装置の処理室50は、第1の領域50Aと第2の領域50Bから構成され、第1の領域50A及び第2の領域50Bのそれぞれの雰囲気温度はランプ151A及びランプ151Bによって制御される。そして、例えば、実施例4の[工程−420]と同様の工程において、シリコン層の表面からシリコン原子が脱離しない温度に雰囲気を保持した状態で、塩化水素を含む乾燥酸素ガスを用いた酸化法によってシリコン層の表面にシリコン酸化膜を形成するが、このシリコン酸化膜の形成は処理室50の第1の領域50Aにて行う。尚、第1の領域50Aにおける雰囲気温度の制御はランプ151Aによって行われる。このとき、処理室50の第2の領域50Bの雰囲気温度は、ランプ151Bによって400゜Cに保持する。その後、実施例4の[工程−430]と同様の工程において、処理室50内への塩化水素を含む乾燥酸素ガスを中止し、不活性ガスを処理室50内に供給しながら、処理室50の第2の領域50Bの雰囲気温度を、ランプ151Bによって所望の温度まで昇温し、被処理材を第2の領域50Bに移す。その後、[工程−440]と同様の工程において、所望の温度に処理室50の第2の領域50Bの雰囲気温度をランプ151Bによって保持した状態にて、湿式ガスを用いた酸化法にて、更にシリコン酸化膜を形成する。その後、[工程−450]と同様の工程において、湿式ガスの供給を中止し、不活性ガス(例えば窒素ガス)をガス導入部54から処理室50内に導入しつつ、処理室50の第2の領域50Bの雰囲気温度をランプ151Bによって850゜Cまで昇温する。その後、塩化水素を0.1容量%含有する不活性ガス(例えば窒素ガス)をガス導入部54から処理室50内に導入し、5分間、熱処理を行う。尚、図38のシリコン酸化膜成膜装置におけるランプの代わりに、図10に示したと同様に抵抗加熱ヒータを用いることもできる。
【0119】
表4に、シリコン層の表面からシリコン原子が脱離しない温度に雰囲気を保持した状態にて、塩化水素を含む乾燥酸素ガスを用いた酸化法によってシリコン層の表面にシリコン酸化膜を形成する工程(表4では第1の酸化工程と表示した)における雰囲気、雰囲気温度を所望の温度まで昇温する工程(表4では第1の昇温工程と表記した)における雰囲気、所望の温度に雰囲気を保持した状態にて、湿式ガスを用いた酸化法によって、更にシリコン酸化膜を形成する工程(表4では第2の酸化工程と表記した)における雰囲気、並びに、形成されたシリコン酸化膜に熱処理を施すために雰囲気を昇温する工程(表4では第2の昇温工程と表記した)における雰囲気の組み合わせを示す。尚、表4中、塩化水素を含む乾燥酸素ガス雰囲気を「*酸素ガス」と表記し、湿式ガス雰囲気を「湿式ガス」と表記し、ハロゲン元素を含有する湿式ガス雰囲気を「*湿式ガス」と表記し、不活性ガス雰囲気を「不活性ガス」と表記し、ハロゲン元素を含有する不活性ガス雰囲気を「*不活性ガス」と表記した。ここで、表4に示した各種の雰囲気の組み合わせは、図2、図12、図24や図37に示したシリコン酸化膜成膜装置、図10、図11や図38に示したシリコン酸化膜成膜装置、あるいは又、これらの組み合わせ、更には、図35や図36に示したクラスターツール装置にて実現することができる。
【0120】
【表4】
第1の酸化工程 第1の昇温工程 第2の酸化工程 第2の昇温工程
*酸素ガス 不活性ガス 湿式ガス 不活性ガス
*酸素ガス 不活性ガス 湿式ガス *不活性ガス
*酸素ガス 不活性ガス *湿式ガス 不活性ガス
*酸素ガス 不活性ガス *湿式ガス *不活性ガス
*酸素ガス *不活性ガス 湿式ガス 不活性ガス
*酸素ガス *不活性ガス 湿式ガス *不活性ガス
*酸素ガス *不活性ガス *湿式ガス 不活性ガス
*酸素ガス *不活性ガス *湿式ガス *不活性ガス
*酸素ガス 湿式ガス 湿式ガス 不活性ガス
*酸素ガス 湿式ガス 湿式ガス *不活性ガス
*酸素ガス 湿式ガス *湿式ガス 不活性ガス
*酸素ガス 湿式ガス *湿式ガス *不活性ガス
*酸素ガス *湿式ガス 湿式ガス 不活性ガス
*酸素ガス *湿式ガス 湿式ガス *不活性ガス
*酸素ガス *湿式ガス *湿式ガス 不活性ガス
*酸素ガス *湿式ガス *湿式ガス *不活性ガス
【0121】
【発明の効果】
本発明のシリコン酸化膜の形成方法においては、先ず、シリコン層の表面からシリコン原子が脱離しない温度に雰囲気を保持してシリコン酸化膜を形成するので、シリコン層の表面に凹凸(荒れ)が生じることを防止し得る。それ故、チャネル移動度の低下を防止でき、MOS型トランジスタ素子の駆動電流の劣化が生じ難く、また、フラッシュメモリ等でデータリテンション特性の劣化を引き起こすストレスリーク現象の発生を抑制することができる。しかも、第2段階のシリコン酸化膜の形成を湿式酸化法にて行うため、優れた特性を有するシリコン酸化膜を形成することができる。更には、シリコン層の表面に既に保護膜としても機能するシリコン酸化膜が形成された状態で、雰囲気温度を所望の温度に昇温した後、更に、湿式ガスを用いた酸化法によって更にシリコン酸化膜を形成するので、昇温工程においてシリコン層の表面に凹凸(荒れ)が生じることがないし、優れた特性を有するシリコン酸化膜を形成することができる。以上の結果として、長期信頼性に優れた極薄の例えばゲート酸化膜の形成が可能となる。また、シリコン酸化膜の形成後、熱処理を施すことによって、一層優れた特性を有するシリコン酸化膜を得ることができる。
【0122】
また、本発明の第2の態様に係るシリコン酸化膜の形成方法によれば、第1の処理室及び第2の処理室の雰囲気温度を所定の一定温度に保持すればよく、各処理室内の温度制御を一層正確に行うことができるばかりか、処理室内の温度安定性に優れる。従って、シリコン酸化膜の膜厚制御性に優れる。しかも、シリコン酸化膜の形成時のスループットの低下を招くこともない。
【図面の簡単な説明】
【図1】本発明のシリコン酸化膜の形成方法を説明するためのシリコン半導体基板等の模式的な一部断面図である。
【図2】本発明のシリコン酸化膜の形成方法の実施に適した縦型のシリコン酸化膜成膜装置の模式的な断面図である。
【図3】実施例1におけるシリコン酸化膜の形成方法を説明するためのシリコン酸化膜成膜装置等の模式的な断面図である。
【図4】図3に引き続き、実施例1におけるシリコン酸化膜の形成方法を説明するためのシリコン酸化膜成膜装置等の模式的な断面図である。
【図5】図4に引き続き、実施例1におけるシリコン酸化膜の形成方法を説明するためのシリコン酸化膜成膜装置等の模式的な断面図である。
【図6】図5に引き続き、実施例1におけるシリコン酸化膜の形成方法を説明するためのシリコン酸化膜成膜装置等の模式的な断面図である。
【図7】実施例3におけるシリコン酸化膜の形成方法を説明するためのシリコン酸化膜成膜装置等の模式的な断面図である。
【図8】図7に引き続き、実施例3におけるシリコン酸化膜の形成方法を説明するためのシリコン酸化膜成膜装置等の模式的な断面図である。
【図9】図8に引き続き、実施例3におけるシリコン酸化膜の形成方法を説明するためのシリコン酸化膜成膜装置等の模式的な断面図である。
【図10】本発明のシリコン酸化膜の形成方法の実施に適したシリコン酸化膜成膜装置の模式的な断面図である。
【図11】図10とは若干構造が異なる、本発明のシリコン酸化膜の形成方法の実施に適したシリコン酸化膜成膜装置の模式的な断面図である。
【図12】実施例5の実施に適した縦型方式シリコン酸化膜成膜装置の概念図である。
【図13】図12に示した縦型方式シリコン酸化膜成膜装置の第1の処理室を含む部分の模式的な断面図である。
【図14】図12に示した縦型方式シリコン酸化膜成膜装置の第2の処理室を含む部分の模式的な断面図である。
【図15】実施例5におけるシリコン酸化膜の形成方法を説明するためのシリコン酸化膜成膜装置等の模式的な断面図である。
【図16】図15に引き続き、実施例5におけるシリコン酸化膜の形成方法を説明するためのシリコン酸化膜成膜装置等の模式的な断面図である。
【図17】図16に引き続き、実施例5におけるシリコン酸化膜の形成方法を説明するためのシリコン酸化膜成膜装置等の模式的な断面図である。
【図18】図17に引き続き、実施例5におけるシリコン酸化膜の形成方法を説明するためのシリコン酸化膜成膜装置等の模式的な断面図である。
【図19】図18に引き続き、実施例5におけるシリコン酸化膜の形成方法を説明するためのシリコン酸化膜成膜装置等の模式的な断面図である。
【図20】図19に引き続き、実施例5におけるシリコン酸化膜の形成方法を説明するためのシリコン酸化膜成膜装置等の模式的な断面図である。
【図21】図20に引き続き、実施例5におけるシリコン酸化膜の形成方法を説明するためのシリコン酸化膜成膜装置等の模式的な断面図である。
【図22】図21に引き続き、実施例5におけるシリコン酸化膜の形成方法を説明するためのシリコン酸化膜成膜装置等の模式的な断面図である。
【図23】図22に引き続き、実施例5におけるシリコン酸化膜の形成方法を説明するためのシリコン酸化膜成膜装置等の模式的な断面図である。
【図24】実施例6の実施に適した縦型方式シリコン酸化膜成膜装置の概念図である。
【図25】実施例6におけるシリコン酸化膜の形成方法を説明するためのシリコン酸化膜成膜装置等の模式的な断面図である。
【図26】図25に引き続き、実施例6におけるシリコン酸化膜の形成方法を説明するためのシリコン酸化膜成膜装置等の模式的な断面図である。
【図27】図26に引き続き、実施例6におけるシリコン酸化膜の形成方法を説明するためのシリコン酸化膜成膜装置等の模式的な断面図である。
【図28】図27に引き続き、実施例6におけるシリコン酸化膜の形成方法を説明するためのシリコン酸化膜成膜装置等の模式的な断面図である。
【図29】図28に引き続き、実施例6におけるシリコン酸化膜の形成方法を説明するためのシリコン酸化膜成膜装置等の模式的な断面図である。
【図30】図29に引き続き、実施例6におけるシリコン酸化膜の形成方法を説明するためのシリコン酸化膜成膜装置等の模式的な断面図である。
【図31】図30に引き続き、実施例6におけるシリコン酸化膜の形成方法を説明するためのシリコン酸化膜成膜装置等の模式的な断面図である。
【図32】図31に引き続き、実施例6におけるシリコン酸化膜の形成方法を説明するためのシリコン酸化膜成膜装置等の模式的な断面図である。
【図33】図32に引き続き、実施例6におけるシリコン酸化膜の形成方法を説明するためのシリコン酸化膜成膜装置等の模式的な断面図である。
【図34】図33に引き続き、実施例6におけるシリコン酸化膜の形成方法を説明するためのシリコン酸化膜成膜装置等の模式的な断面図である。
【図35】実施例7の実施に適したシリコン酸化膜成膜装置の概念図である。
【図36】クラスターツール装置の模式図である。
【図37】図2に示した縦型のシリコン酸化膜成膜装置とは若干形式の異なる縦型のシリコン酸化膜成膜装置の模式的な断面図である。
【図38】図11に示したシリコン酸化膜成膜装置とは若干形式の異なるシリコン酸化膜成膜装置の模式的な断面図である。
【図39】従来のシリコン酸化膜の形成方法を説明するためのシリコン酸化膜成膜装置等の模式的な断面図である。
【図40】図39に引き続き、従来のシリコン酸化膜の形成方法を説明するためのシリコン酸化膜成膜装置等の模式的な断面図である。
【図41】図40に引き続き、従来のシリコン酸化膜の形成方法を説明するためのシリコン酸化膜成膜装置等の模式的な断面図である。
【符号の説明】
10,110,210・・・処理室、11,111,211・・・ガス流路、12,112,222・・・ガス導入部、13,113,213・・・ガス排気部、14,114,214・・・ヒータ、15,115,215・・・シャッター、16,116,216・・・均熱管、20・・・基板搬入出部、120,120A,120B,301・・・搬送路、21,121・・・ガス導入部、22,122・・・ガス排気部、23,123・・・エレベータ機構、24,124・・・石英ボート、30,130・・・燃焼室、31,131・・・配管、40・・・シリコン半導体基板、41・・・素子分離領域、42・・・シリコン酸化膜、50・・・処理室、51・・・抵抗加熱ヒータ、51A,151A,151B・・・ランプ、52・・・ウエハ台、53・・・ゲートバルブ、54・・・ガス導入部、55・・・ガス排気部、300・・・ローダー・アンローダー、302,303・・・処理装置、304・・・炉アニール装置
[0001]
BACKGROUND OF THE INVENTION
The present invention relates to a method for forming a silicon oxide film in the manufacture of a semiconductor device.
[0002]
[Prior art]
For example, in the manufacture of a MOS type semiconductor device, it is necessary to form a gate oxide film made of a silicon oxide film on the surface of a silicon semiconductor substrate. Also in manufacturing a thin film transistor (TFT), it is necessary to form a gate oxide film made of a silicon oxide film on the surface of a silicon layer provided on an insulating substrate. It is no exaggeration to say that such a silicon oxide film is responsible for the reliability of the semiconductor device. Accordingly, the silicon oxide film is always required to have high breakdown voltage and long-term reliability.
[0003]
For example, when manufacturing a MOS type semiconductor device, conventionally, before forming a gate oxide film, NHFourOH / H2O2Wash with aqueous solution and further HCl / H2O2The surface of the silicon semiconductor substrate is cleaned by RCA cleaning, which is cleaning with an aqueous solution, and fine particles and metal impurities are removed from the surface. By the way, when RCA cleaning is performed, the surface of the silicon semiconductor substrate reacts with the cleaning liquid, and a silicon oxide film having a thickness of about 0.5 to 1 nm (hereinafter, this silicon oxide film is simply referred to as an oxide film) is formed. The thickness of such an oxide film is non-uniform, and the cleaning liquid component remains in the oxide film. Therefore, the silicon semiconductor substrate is immersed in an aqueous hydrofluoric acid solution to remove the oxide film, and the clean surface of the silicon semiconductor substrate is exposed. Thereafter, the silicon semiconductor substrate is carried into a processing chamber (oxidation furnace) of the silicon oxide film forming apparatus, and a silicon oxide film is formed on the surface of the silicon semiconductor substrate. Most of the surface of the silicon semiconductor substrate after being washed with a hydrofluoric acid aqueous solution is terminated with hydrogen and partially terminated with fluorine.
[0004]
As the silicon oxide film deposition system, as the gate oxide film is made thinner and the substrate diameter is increased, the quartz type processing chamber (oxidation furnace) is held horizontally and the vertical type silicon is held vertically. Transition to an oxide film forming apparatus is progressing. This is because the vertical silicon oxide film forming apparatus is easier to cope with the increase in the substrate diameter than the horizontal silicon oxide film forming apparatus, and the silicon semiconductor substrate is carried into the processing chamber. This is because the silicon oxide film generated by the entrainment of the atmosphere can be reduced. However, even when a vertical silicon oxide film forming apparatus is used, a silicon oxide film having a thickness of about 2 nm is formed on the surface of the silicon semiconductor substrate. Therefore, (1) a method of flowing a large amount of nitrogen gas into a substrate carry-in / out portion disposed in the silicon oxide film forming apparatus to form a nitrogen gas atmosphere (nitrogen gas purge method), and (2) the inside of the substrate carry-in / out portion once. A method of suppressing the formation of a silicon oxide film as much as possible has been proposed by adopting a method (vacuum load lock method) that eliminates the atmosphere by replacing the inside of the substrate carry-in / out portion with a nitrogen gas or the like after the vacuum.
[0005]
Then, in a state where the inside of the processing chamber (oxidation furnace) is an inert gas atmosphere, the silicon semiconductor substrate is carried into the processing chamber (oxidation furnace), and then the inside of the processing chamber (oxidation furnace) is switched to an oxidizing atmosphere, and silicon A gate oxide film is formed by heat-treating the semiconductor substrate. For the formation of the gate oxide film, a method of thermally oxidizing the surface of the silicon semiconductor substrate by introducing high-purity water vapor into a processing chamber maintained at a high temperature (wet oxidation method) is employed. Thus, it is possible to form a gate oxide film having higher electrical reliability than the method of oxidizing the silicon semiconductor substrate surface (dry oxidation method). As one of the wet oxidation methods, there is a pyrogenic oxidation method (also referred to as a hydrogen combustion oxidation method) using water vapor generated by mixing hydrogen gas with oxygen gas at a high temperature and burning it, which is widely adopted. Usually, in this pyrogenic oxidation method, oxygen gas is introduced into a combustion chamber provided outside the processing chamber (oxidation furnace) and maintained at 700 to 900 ° C., and then hydrogen gas is introduced into the combustion chamber. Then, hydrogen gas is burned at a high temperature. The water vapor thus obtained is used as an oxidizing species.
[0006]
FIG. 2 shows a conceptual diagram of a vertical silicon oxide film forming apparatus. This vertical silicon oxide film forming apparatus has a quartz double tube structure processing chamber 10, a gas introduction part 12 for introducing water vapor or the like into the processing chamber 10, and exhausts gas from the processing chamber 10. A heater 14 for maintaining the inside of the processing chamber 10 at a predetermined atmospheric temperature via a gas exhaust unit 13 and a cylindrical soaking tube 16 made of SiC, a substrate loading / unloading unit 20, and a nitrogen into the substrate loading / unloading unit 20 A gas introduction part 21 for introducing gas; a gas exhaust part 22 for exhausting gas from the substrate carry-in / out part 20; a shutter 15 for partitioning the process chamber 10 and the substrate carry-in / out part 20; and a silicon semiconductor substrate in the process chamber 10 is an elevator mechanism 23 for carrying in and out. A quartz boat 24 for mounting a silicon semiconductor substrate is attached to the elevator mechanism 23. Further, the hydrogen gas supplied to the combustion chamber 30 is mixed with oxygen gas at a high temperature in the combustion chamber 30 and burned to generate water vapor. Such water vapor is supplied into the processing chamber 10 via the pipe 31, the gas flow path 11, and the gas introduction part 12. In addition, the gas flow path 11 is located in the outer part of the process chamber 10 of a double pipe structure.
[0007]
An outline of a conventional silicon oxide film forming method based on the pyrogenic oxidation method using the vertical silicon oxide film forming apparatus shown in FIG. 2 will be described below with reference to FIGS. 2 and 39 to 41. ,explain.
[0008]
[Step-10]
Nitrogen gas is introduced into the processing chamber 10 from the gas introduction unit 12, the inside of the processing chamber 10 is made a nitrogen gas atmosphere, and the atmospheric temperature in the processing chamber 10 is set to 700 to 800 ° C. by the heater 14 through the soaking tube 16. Hold. In this state, the shutter 15 is kept closed (see FIG. 39A). The substrate carry-in / out unit 20 is in a state released to the atmosphere.
[0009]
[Step-20]
Then, the silicon semiconductor substrate 40 is carried into the substrate carry-in / out section 20, and the silicon semiconductor substrate 40 is placed on the quartz boat 24. After the silicon semiconductor substrate 40 has been loaded into the substrate loading / unloading section 20, the door (not shown) is closed, nitrogen gas is introduced into the substrate loading / unloading section 20 from the gas introduction section 21, and is discharged from the gas exhaust section 22. The inside of the carry-in / out part 20 is made a nitrogen gas atmosphere (see FIG. 39B).
[0010]
[Step-30]
When the inside of the substrate carry-in / out section 20 is sufficiently in a nitrogen gas atmosphere, the shutter 15 is opened (see FIG. 40B), the elevator mechanism 23 is operated to raise the quartz boat 24, and the silicon semiconductor substrate 40 Is carried into the processing chamber 10 (see FIG. 41A). When the elevator mechanism 23 reaches the highest position, the base of the quartz boat 24 prevents the processing chamber 10 and the substrate loading / unloading portion 20 from communicating with each other.
[0011]
If the inside of the processing chamber 10 is left in a nitrogen gas atmosphere before the shutter 15 is opened, the following problems occur. That is, when a silicon semiconductor substrate whose surface is exposed with a hydrofluoric acid aqueous solution is carried into a high-temperature nitrogen gas atmosphere, the surface of the silicon semiconductor substrate 40 is roughened. This phenomenon is caused by the fact that Si—H bonds and some Si—F bonds formed on the surface of the silicon semiconductor substrate 40 by washing with a hydrofluoric acid aqueous solution are lost due to thermal desorption of hydrogen and fluorine. This is because an etching phenomenon occurs on the surface of the semiconductor substrate 40. When the temperature of a silicon semiconductor substrate is raised to 600 ° C. or more in argon gas, severe unevenness is generated on the surface of the silicon semiconductor substrate, as described in “Ultimate ULSI Technology”, page 21 published by Bafukan. Yes. In order to suppress such a phenomenon, before opening the shutter 15, for example, nitrogen gas containing about 0.5% by volume of oxygen gas is introduced into the processing chamber 10 from the gas introduction unit 12, and the processing chamber 10. The inside is a nitrogen gas atmosphere containing about 0.5% by volume of oxygen gas (see FIG. 40A).
[0012]
[Step-40]
Thereafter, the atmospheric temperature in the processing chamber 10 is set to 800 to 900 ° C., hydrogen gas is mixed with oxygen gas at a high temperature in the combustion chamber 30, and water vapor generated by burning is supplied to the pipe 31 and the gas flow path 11. And it introduce | transduces into the process chamber 10 via the gas introduction part 12, and exhausts from the gas exhaust part 13 (refer FIG. 41 (B)). As a result, a silicon oxide film is formed on the surface of the silicon semiconductor substrate 40. In addition, before introducing water vapor into the processing chamber 10, oxygen gas is introduced from the gas introduction unit 12 in order to prevent a squeezed gas reaction from occurring when incompletely combusted hydrogen gas is introduced into the processing chamber 10. Is introduced into the processing chamber 10.
[0013]
[Problems to be solved by the invention]
Before opening the shutter 15, nitrogen gas containing about 0.5 vol% oxygen gas is introduced into the processing chamber 10 from the gas introduction part 12, and about 0.5 vol% oxygen gas is introduced into the processing chamber 10. By setting the nitrogen gas atmosphere to be contained (see FIG. 40A), a phenomenon in which unevenness is formed on the surface of the silicon semiconductor substrate can be suppressed. Alternatively, published by Baifukan, Tadahiro Omi, "Ultra Clean ULSI Technology", page 21 shows the formation of a hydrogen-terminated silicon semiconductor substrate by dry oxidation at 300 ° C where terminal hydrogen exists stably. It has been reported that if the silicon oxide film thus formed is used as a protective film, the problem of forming irregularities on the surface of the silicon semiconductor substrate can be avoided.
[0014]
However, since nitrogen gas containing oxygen gas is introduced into the processing chamber 10, a silicon oxide film is formed on the surface of the silicon semiconductor substrate carried into the processing chamber 10. Such a silicon oxide film is essentially a silicon oxide film (referred to as a dry oxide film) formed only by dry oxygen gas, and a silicon oxide film (referred to as a wet oxide film) formed by a wet oxidation method. It is inferior in characteristics. For example, the inside of the processing chamber 10 is maintained at 800 ° C., and the silicon semiconductor substrate is placed in the processing chamber 10 in a state where nitrogen gas containing 0.5 volume% oxygen gas is introduced into the processing chamber 10 from the gas introduction unit 12. Then, a dry oxide film of 2 nm or more is formed on the surface of the silicon semiconductor substrate. In a semiconductor device having a gate length of 0.18 to 0.13 μm, it is expected that a gate oxide film having a thickness of 4 to 3 nm is used. Thus, when an attempt is made to form a gate oxide film having a thickness of 4 nm, for example, 50% or more of the thickness is occupied by the dry oxide film.
[0015]
Means for solving such a problem is disclosed in JP-A-6-291112. That is, this patent describes a technique for forming a silicon oxide film as a protective film on the surface of a silicon semiconductor substrate by immersing the silicon semiconductor substrate in a hydrogen peroxide solution after washing the silicon semiconductor substrate with a hydrofluoric acid aqueous solution. It is disclosed in the public gazette. However, with this method, it is difficult to form a uniform silicon oxide film on the surface of the silicon semiconductor substrate with good reproducibility by controlling the concentration of the hydrogen peroxide solution. Another problem is that impurities in the hydrogen peroxide water are taken into the silicon oxide film.
[0016]
A method of forming a silicon oxide film having excellent long-term stability, high withstand voltage, and thin film thickness is disclosed in, for example, Japanese Patent Laid-Open No. 6-318588. In this method, after forming a very thin thermally oxidized silicon film on the surface of a silicon semiconductor by a thermal oxidation method, a silicon oxide film is deposited on the extremely thin thermally oxidized silicon film by a vapor deposition method (CVD method), and then In this method, heat treatment is performed in an oxidizing atmosphere. This method has a problem that a silicon oxide film formation process becomes complicated because a silicon oxide film is deposited by a vapor deposition method (CVD method).
[0017]
Note that the above-described problems occur not only on the surface of the silicon semiconductor substrate but also on the surface of the silicon layer provided on the insulating substrate or the insulating layer.
[0018]
By the way, the wet oxidation method can form a gate oxide film having higher electrical reliability than the dry oxidation method. However, the oxidation rate at the same oxidation temperature is faster in the wet oxidation method than in the dry oxidation method due to the difference in the ease of diffusion of the oxidized species in the silicon oxide film. Further, for example, if the water vapor partial pressure is increased in order to take advantage of the characteristics of the pyrogenic oxidation method, the oxidation rate is further increased.
[0019]
The high oxidation rate in the wet oxidation method is advantageous when a thick silicon oxide film (for example, 0.1 μm or more) is formed as in the formation of an element isolation region. However, in the formation of a gate oxide film that requires an extremely thin silicon oxide film to be formed uniformly and with good controllability on the surface of a silicon semiconductor substrate, the high oxidation rate of the wet oxidation method can be a big problem. . That is, the oxidation time required when forming an ultra-thin silicon oxide film of 2 to 3 nm is about several minutes although it depends on the oxidation temperature and the partial pressure of water vapor. Further, when the nitrogen gas purge method or the vacuum load lock method described above is not employed, the oxidation time may be 1 minute or less.
[0020]
On the other hand, such an ultra-thin silicon oxide film is used in highly integrated LSIs. In the manufacture of such LSIs, a silicon semiconductor substrate having a large diameter of 200 mm or more is used as the chip size increases. It is done. Therefore, when an ultrathin silicon oxide film is formed based on the wet oxidation method, silicon oxide having high silicon semiconductor substrate in-plane film thickness uniformity due to the rapid oxidation rate and the size of the silicon semiconductor substrate. Forming films is becoming difficult.
[0021]
Accordingly, an object of the present invention is to prevent the surface of the silicon layer from being roughened (irregularities) when forming a silicon oxide film on the surface of the silicon layer, and to be very thin with high film thickness uniformity. Another object of the present invention is to provide a method for forming a silicon oxide film, which can reliably form a silicon oxide film and can form a gate oxide film having higher electrical reliability than a conventional dry oxidation method.
[0022]
[Means for Solving the Problems]
In order to achieve the above object, a method for forming a silicon oxide film according to the first aspect of the present invention comprises:
(A) A step of forming a silicon oxide film on the surface of the silicon layer by an oxidation method using a dry oxygen gas containing hydrogen chloride in an atmosphere maintained at a temperature at which silicon atoms are not desorbed from the surface of the silicon layer. When,
(B) raising the ambient temperature to a desired temperature;
(C) a step of further forming a silicon oxide film by an oxidation method using a wet gas in an atmosphere maintained at the desired temperature;
It is characterized by comprising.
[0023]
In order to achieve the above object, a method for forming a silicon oxide film according to the second aspect of the present invention comprises:
(A) a first processing chamber for forming a silicon oxide film on the silicon layer of the material to be processed having a silicon layer;
(B) a second processing chamber for forming a silicon oxide film;
(C) a conveyance path connecting the first processing chamber and the second processing chamber,
A silicon oxide film forming method using a silicon oxide film forming apparatus comprising:
(A) A dry oxygen gas containing hydrogen chloride in a state where the material to be processed is carried into the first processing chamber and the atmosphere in the first processing chamber is maintained at a temperature at which silicon atoms are not desorbed from the surface of the silicon layer. Forming a silicon oxide film on the surface of the silicon layer by an oxidation method using
(B) carrying the material to be processed from the first processing chamber into the second processing chamber via the transfer path;
(C) a step of further forming a silicon oxide film by an oxidation method using a wet gas in the second treatment chamber;
It is characterized by comprising.
[0024]
In the method for forming a silicon oxide film according to the first or second aspect of the present invention, dry oxygen gas containing hydrogen chloride is used in a state where the atmosphere is maintained at a temperature at which silicon atoms are not desorbed from the surface of the silicon layer. A silicon oxide film is formed on the surface of the silicon layer by the oxidation method used. By maintaining the atmosphere at such a temperature, it is possible to prevent the surface of the silicon layer from being uneven (rough). Moreover, by an oxidation method using dry oxygen gas containing hydrogen chloride,
4HCl + O2→ 2H2O + 2Cl2
Based on this reaction, a silicon oxide film is formed on the surface of the silicon layer. By the way, the oxidation rate in the oxidation method using dry oxygen gas containing hydrogen chloride is slower than that in the wet oxidation method. Therefore, excellent in-plane film thickness controllability (uniformity) can be obtained in forming an ultrathin silicon oxide film. Moreover, the characteristics of the silicon oxide film formed by the oxidation method using dry oxygen gas containing hydrogen chloride are superior to those of the silicon oxide film formed by the oxidation method using pure dry oxygen gas.
[0025]
Furthermore, since a silicon oxide film is further formed by an oxidation method using a wet gas in a state where a silicon oxide film that also functions as a protective film is already formed on the surface of the silicon layer, an oxidation method using a wet gas is used. In the process of raising the ambient temperature to the silicon oxide film formation temperature, even if the temperature raising process is a non-oxidizing atmosphere, the surface of the silicon layer is not uneven (roughened). In addition, since the silicon oxide film is formed by an oxidation method using a wet gas, a silicon oxide film having excellent characteristics can be formed. Incidentally, the characteristics of the silicon oxide film formed in the step (a) usually do not sufficiently satisfy the characteristics required for a gate oxide film, for example. By further forming the silicon oxide film in the step (c), a silicon oxide film that sufficiently satisfies the characteristics required for the gate oxide film can be obtained.
[0026]
When the two-stage silicon oxide film is formed in one processing chamber, the temperature in the processing chamber must be controlled over a wide range, and it is difficult to accurately control the temperature in the processing chamber. There is a case. Further, since it is necessary to raise the temperature in the processing chamber, the throughput is likely to decrease. In the method for forming a silicon oxide film according to the second aspect of the present invention, since the silicon oxide film is formed in the first processing chamber and the second processing chamber, the atmospheric temperature in each processing chamber is set to a predetermined constant temperature. In addition to being able to control the temperature in each processing chamber more accurately, the temperature stability in the processing chamber is excellent. Accordingly, the film thickness controllability of the silicon oxide film is excellent. In addition, since there is no need to raise the atmospheric temperature in the processing chamber, throughput is not reduced. In addition, since hydrogen chloride is used in the step (ii) and wet gas is used in the step (iii), it is advantageous to divide the processing chamber into two from the viewpoint of preventing corrosion of the piping, for example.
[0027]
In the method for forming a silicon oxide film according to the first or second aspect of the present invention, the hydrogen chloride content in the dry oxygen gas in the step (ii) is 0.02 to 4% by volume, preferably 0.5. To 3% by volume, more preferably 1 to 3% by volume. The dry oxygen gas may be diluted with an inert gas such as nitrogen gas, argon gas, or helium gas. For dry oxygen gas, instead of hydrogen chloride, CClFour, C2HClThree, Cl2, CH2Cl2Or C2HThreeClThreeHowever, there is an optimum value for the amount added, which is different from the case of hydrogen chloride.
[0028]
In the method for forming a silicon oxide film according to the first or second aspect of the present invention, the temperature at which silicon atoms do not desorb from the surface of the silicon layer is such that the bonds between the atoms terminating the silicon layer surface and the silicon atoms are It is desirable that the temperature is not cut. In this case, the temperature at which silicon atoms are not desorbed from the surface of the silicon layer is preferably a temperature at which the Si—H bond is not broken or a temperature at which the Si—F bond is not broken. The temperature at which silicon atoms are not desorbed from the surface of the silicon layer is 1.013 × 10 6.FiveThis is a value measured at Pa (1 atm), and a practical range is from room temperature to 400 ° C. The atmospheric temperature in the step of further forming a silicon oxide film by an oxidation method using a wet gas is 700. Considering the case of ˜800 ° C., it is preferably over 100 ° C. and not more than 400 ° C., more preferably not less than 300 ° C. and not more than 400 ° C.
[0029]
In the method for forming a silicon oxide film according to the first or second aspect of the present invention, the oxidation method using the wet gas in the step (c) is a pyrogenic oxidation method or an oxidation method using water vapor generated by heating pure water. In addition, it is preferable to use at least one oxidation method among oxidation methods using water vapor generated by bubbling heated pure water with oxygen gas or inert gas. Since the silicon oxide film is formed by an oxidation method using a wet gas, a silicon oxide film having excellent dielectric breakdown (TDDB) characteristics can be obtained. In the oxidation method using a wet gas, the wet gas may be diluted with an inert gas such as nitrogen gas, argon gas, or helium gas.
[0030]
The wet gas in step (c) may contain a halogen element. As a result, a silicon oxide film having excellent time zero dielectric breakdown (TZDB) characteristics and temporal dielectric breakdown (TDDB) characteristics can be obtained. Examples of the halogen element include chlorine, bromine, and fluorine. Among them, chlorine is desirable. Examples of the halogen element contained in the wet gas include hydrogen chloride (HCl) and CCl.Four, C2HClThree, Cl2, CH2Cl2, C2HThreeClThree, HBr, NFThreeCan be mentioned. The halogen element content in the wet gas is 0.001 to 10% by volume, preferably 0.005 to 10% by volume, more preferably 0.02 to 10% by volume, based on the form of the molecule or compound. . For example, when hydrogen chloride is used, the hydrogen chloride content in the wet gas is preferably 0.02 to 10% by volume.
[0031]
The atmosphere temperature in the step (c) of the silicon oxide film formation method according to the first aspect of the present invention or the silicon oxide film in the step (c) of the silicon oxide film formation method according to the second aspect of the present invention It is desirable that the atmospheric temperature of the second processing chamber is 600 to 1200 ° C., preferably 700 to 1000 ° C., more preferably 750 to 900 ° C.
[0032]
In the method for forming a silicon oxide film according to the first aspect of the present invention, the atmosphere in step (b) may be an inert gas atmosphere or a reduced-pressure atmosphere, or an oxidizing atmosphere containing a wet gas. desirable. Here, examples of the inert gas include nitrogen gas, argon gas, and helium gas. The inert gas or wet gas in the atmosphere in step (b) may contain a halogen element. Thereby, the characteristics of the silicon oxide film formed in the step (a) can be further improved. That is, silicon dangling bonds (Si.) And SiOH, which are defects that may occur in the step (b), react with halogen elements in the step (b), and the silicon dangling bonds terminate or cause a dehydration reaction. These defects, which are degradation factors, are eliminated. In particular, the elimination of these defects is effective for the initial silicon oxide film formed in step (a). Examples of the halogen element include chlorine, bromine, and fluorine. Among them, chlorine is desirable. Examples of the form of the halogen element contained in the inert gas or the wet gas include hydrogen chloride (HCl) and CCl.Four, C2HClThree, Cl2, CH2Cl2, C2HThreeClThree, HBr, NFThreeCan be mentioned. The halogen element content in the inert gas or wet gas is 0.001 to 10% by volume, preferably 0.005 to 10% by volume, more preferably 0.02 to 10%, based on the form of the molecule or compound. It is volume%. For example, when hydrogen chloride is used, the hydrogen chloride content in the inert gas or wet gas is preferably 0.02 to 10% by volume.
[0033]
In the method for forming a silicon oxide film according to the second aspect of the present invention, in the step (b), the material to be processed is exposed to the atmosphere from the first processing chamber via the transfer path without being exposed to the second processing chamber. It is preferable to carry it in from the viewpoint of preventing the occurrence of contamination on the surface of the formed silicon oxide film. Specifically, it is preferable that the atmosphere in the conveyance path during conveyance of the material to be processed is an inert gas atmosphere or a reduced pressure atmosphere. Here, examples of the inert gas include nitrogen gas, argon gas, and helium gas. In this case, the temperature in the transfer path when carrying the material to be processed from the first process chamber to the second process chamber via the transfer path may be, for example, room temperature, but a silicon oxide film is formed on the silicon layer. In order to improve throughput, it is preferable that the temperature is substantially equal to the atmospheric temperature in the first processing chamber.
[0034]
In order to further improve the characteristics of the formed silicon oxide film, in the silicon oxide film forming method according to the first or second aspect of the present invention, after the step (c), the formed silicon oxide film is formed on the formed silicon oxide film. It is preferable to perform heat treatment. In addition, you may perform a process (c) and subsequent heat processing in the same apparatus, and may be performed in a different apparatus.
[0035]
In this case, the heat treatment atmosphere is preferably an inert gas atmosphere containing a halogen element. By heat-treating the silicon oxide film in an inert gas atmosphere containing a halogen element, a silicon oxide film having excellent time zero dielectric breakdown (TZDB) characteristics and temporal dielectric breakdown (TDDB) characteristics can be obtained. Further, examples of the halogen element include chlorine, bromine, and fluorine. Among them, chlorine is desirable. Examples of the form of the halogen element contained in the inert gas include hydrogen chloride (HCl) and CCl.Four, C2HClThree, Cl2, CH2Cl2, C2HThreeClThree, HBr, NFThreeCan be mentioned. The halogen element content in the inert gas is 0.001 to 10% by volume, preferably 0.005 to 10% by volume, more preferably 0.02 to 10% by volume, based on the form of the molecule or compound. is there. For example, when hydrogen chloride is used, the hydrogen chloride content in the inert gas is preferably 0.02 to 10% by volume.
[0036]
The heat treatment may be performed in a state where the inert gas atmosphere containing the halogen element is depressurized from the atmospheric pressure. The pressure during the heat treatment is 1.3 × 10FourIt is preferably Pa (100 Torr) or less. The lower limit of the pressure depends on the apparatus for heat-treating the silicon oxide film, but is desirably as low as possible.
[0037]
Note that the silicon oxide film may be nitrided after the heat treatment. In this case, the nitriding treatment is performed using N2O gas, NO gas, NO2It is desirable to carry out in a gas atmosphere, but especially N2It is desirable to carry out in an O gas atmosphere. Alternatively, the nitriding treatment is NHThreeGas, N2HFour, In a hydrazine derivative atmosphere, then N2O gas, O2It is desirable to perform the annealing process in an atmosphere. The nitriding treatment is desirably performed at a temperature of 700 to 1200 ° C., preferably 800 to 1150 ° C., more preferably 900 to 1100 ° C. In this case, the silicon layer is heated by infrared irradiation or furnace annealing. Is preferred.
[0038]
Alternatively, the heat treatment atmosphere may be a nitrogen-based gas atmosphere. Here, as nitrogen-based gas, N2, NHThree, N2O, NO2Can be illustrated.
[0039]
In the silicon oxide film forming method according to the first or second aspect of the present invention, the heat treatment is preferably furnace annealing. The temperature of the heat treatment is 700 to 1200 ° C, preferably 700 to 1000 ° C, more preferably 700 to 950 ° C. Moreover, the time of heat processing is 5 to 60 minutes, Preferably it is 10 to 40 minutes, More preferably, it is 20 to 30 minutes. When heat treatment is performed using a single wafer annealing apparatus, the heat treatment time is preferably 1 to 10 minutes. Examples of the inert gas in the heat treatment include nitrogen gas and argon gas.
[0040]
In the method for forming a silicon oxide film according to the first or second aspect of the present invention, the atmospheric temperature when the formed silicon oxide film is subjected to heat treatment is set to the same as that when the silicon oxide film is formed in step (c). It can be set as the form made higher than atmospheric temperature. In the silicon oxide film forming method according to the second aspect of the present invention, it is preferable to perform heat treatment in the second processing chamber. In these cases, after the formation of the silicon oxide film in the step (c) is completed, the atmosphere may be raised to an atmospheric temperature for performing a heat treatment after switching the atmosphere to an inert gas atmosphere, but the atmosphere contains a halogen element. After switching to the inert gas atmosphere, it is desirable to raise the temperature to the atmospheric temperature for heat treatment. Here, as the form of the halogen element contained in the inert gas, for example, hydrogen chloride (HCl), CClFour, C2HClThree, Cl2, CH2Cl2, C2HThreeClThree, HBr, NFThreeCan be mentioned. The halogen element content in the inert gas is 0.001 to 10% by volume, preferably 0.005 to 10% by volume, more preferably 0.02 to 10% by volume, based on the form of the molecule or compound. is there. For example, when hydrogen chloride is used, the hydrogen chloride content in the inert gas is preferably 0.02 to 10% by volume. Examples of such an inert gas include nitrogen gas and argon gas.
[0041]
In the method for forming a silicon oxide film according to the first or second aspect of the present invention, the temperature at which silicon atoms are not desorbed from the surface of the silicon layer before forming the silicon oxide film in step (a). In order to suppress the formation of the silicon oxide film before the formation of the silicon oxide film based on the oxidation method using the dry oxygen gas containing hydrogen chloride. A gas atmosphere or a vacuum atmosphere (reduced pressure atmosphere) is desirable.
[0042]
In the method for forming a silicon oxide film according to the second aspect of the present invention, in the first processing chamber, a silicon oxide film is formed on the silicon layer in a batch manner for a plurality of materials to be processed. A silicon oxide film can be formed on the silicon layer in a single wafer mode for one workpiece. Further, in the second processing chamber, a silicon oxide film is formed batch-wise on a plurality of materials to be processed, or alternatively, a silicon oxide film is formed on a single material to be processed in a single-wafer type. Can be formed. Preferred combinations of treatment methods in the first treatment chamber and the second treatment chamber and combinations of heat treatment methods are exemplified in Table 1 below, but are not limited thereto. In addition, it is preferable from the viewpoint of improving throughput that a shutter is disposed between a portion of the conveyance path communicating with the first processing chamber and a portion of the conveyance path communicating with the second processing chamber.
[0043]
[Table 1]
First processing chamber Second processing chamber Heat treatment
Batch type Batch type Batch type
Single-wafer type Single-wafer type Single-wafer type
Single wafer type Single wafer type Batch type
Single wafer type Batch type Batch type
[0044]
Usually, before forming a silicon oxide film on a silicon layer, NHFourOH / H2O2Wash with aqueous solution and further HCl / H2O2The surface of the silicon layer is cleaned by RCA cleaning, that is, cleaning with an aqueous solution. After removing fine particles and metal impurities from the surface, the silicon layer is immersed in an aqueous hydrofluoric acid solution. However, after that, when the silicon layer is exposed to the atmosphere, the surface of the silicon layer is contaminated, moisture and organic matter adhere to the surface of the silicon layer, or Si atoms on the surface of the silicon layer are bonded to hydroxyl groups (OH). (For example, “Highly-reliable Gate Oxide Formation for Giga-Scale LSIs by using Closed Wet Cleaning System and Wet Oxidation with Ultra-Dry Unloading”, J. Yugami, et al., International Rlectron Device Meeting Technical Digest 95, pp 855-858). In such a case, when the silicon oxide film forming step (a) is executed as it is, moisture, organic matter, or Si—OH is taken into the formed silicon oxide film, and the formed silicon oxide film is formed. It may cause deterioration of film characteristics or generation of defective portions. The defect portion is a portion of a silicon oxide film containing defects such as silicon dangling bonds (Si.) And Si—H bonds, or Si—O—Si bonds are compressed by stress or Si—O. It means a portion of a silicon oxide film containing Si—O—Si bonds in which the angle of —Si bonds is thick or different from the angle of Si—O—Si bonds in a bulk silicon oxide film. Therefore, in order to avoid the occurrence of such a problem, in the method for forming a silicon oxide film according to the first or second aspect of the present invention, the surface of the silicon layer is cleaned before step (ii). Without exposing the silicon layer after the surface cleaning to the atmosphere (that is, for example, the atmosphere from the cleaning of the silicon layer surface to the start of the silicon oxide film forming step of step (a) is an inert gas atmosphere or a vacuum atmosphere) And) it is preferable to execute the step (a). As a result, a silicon oxide film can be formed on the surface of the silicon layer having a clean surface, and deterioration of characteristics of the formed silicon oxide film or generation of defective portions can be prevented.
[0045]
The final thickness of the silicon oxide film after the step (c) may be a predetermined thickness required for the semiconductor device. On the other hand, the thickness of the silicon oxide film after the step (a) is preferably as thin as possible. However, the plane orientation of the silicon semiconductor substrate currently used for manufacturing a semiconductor device is almost (100) in most cases, and no matter how smooth the surface of the silicon semiconductor substrate is (100) A step called a step is formed. This step is usually for one silicon atom layer, but in some cases, a step for two to three layers may be formed. Accordingly, the thickness of the silicon oxide film after step (a) is preferably 1 nm or more when a (100) silicon semiconductor substrate is used as the silicon layer.
[0046]
The silicon layer in the method for forming a silicon oxide film according to the first aspect of the present invention includes not only a substrate itself such as a silicon semiconductor substrate, but also an epitaxial silicon layer, a polycrystalline silicon layer formed on the substrate, Alternatively, an amorphous silicon layer, a silicon layer in an SOI structure manufactured based on a so-called bonding method or SIMOX method, a silicon oxide such as a substrate or a semiconductor element or a component of the semiconductor element formed on these layers, etc. It means a silicon layer (base) on which a film is to be formed. Further, the material to be processed having a silicon layer in the method for forming a silicon oxide film according to the second aspect of the present invention includes not only a substrate itself such as a silicon semiconductor substrate but also an epitaxial silicon layer, a polycrystalline silicon layer, or a non-processed material. A substrate on which a crystalline silicon layer is formed, a substrate having an SOI structure manufactured based on a so-called bonding method or SIMOX method, and a substrate or a semiconductor element or a component of a semiconductor element formed on these layers Means a substrate having a silicon layer (base) on which a silicon oxide film is to be formed. The method for manufacturing the silicon semiconductor substrate may be any method such as a CZ method, an MCZ method, a DLCZ method, or an FZ method, or may be a method in which a crystal defect is removed by performing a high-temperature hydrogen annealing treatment in advance.
[0047]
The silicon oxide film forming method of the present invention includes, for example, the formation of a gate oxide film of a MOS transistor, formation of an interlayer insulating film and an element isolation region, formation of a gate oxide film of a top gate type or bottom gate type thin film transistor, and tunnel oxidation of a flash memory. The present invention can be applied to formation of a silicon oxide film in various semiconductor devices such as film formation.
[0048]
【Example】
Hereinafter, the present invention will be described based on preferred embodiments with reference to the drawings.
[0049]
Example 1
Example 1 relates to a method of forming a silicon oxide film according to the first aspect of the present invention. In Example 1, the vertical silicon oxide film forming apparatus shown in FIG. 2 was used. Moreover, in Example 1, the silicon layer was comprised from the silicon semiconductor substrate. The formed silicon oxide film functions as a gate oxide film. The pyrogenic oxidation method was adopted as the oxidation method using wet gas. Furthermore, the atmosphere in the step of raising the atmosphere temperature to a desired temperature was an inert gas atmosphere. In addition, after further forming a silicon oxide film by a pyrogenic oxidation method while maintaining the atmosphere at a desired temperature, an inert gas atmosphere (hydrogen chloride) containing a halogen element is formed on the formed silicon oxide film. In a nitrogen gas atmosphere) was subjected to heat treatment (furnace annealing treatment). Hereinafter, a method for forming a silicon oxide film according to the first embodiment will be described with reference to FIGS.
[0050]
[Step-100]
First, an element isolation region 41 having a LOCOS structure is formed in a silicon semiconductor substrate 40 by a known method, and well ion implantation, channel stop ion implantation, and threshold adjustment ion implantation are performed. Note that the element isolation region may have a trench structure. Thereafter, fine particles and metal impurities on the surface of the silicon semiconductor substrate 40 are removed by RCA cleaning, and then the surface of the silicon semiconductor substrate 40 is cleaned with a 0.1% hydrofluoric acid aqueous solution to expose the surface of the silicon semiconductor substrate 40. (Refer to FIG. 1A). Incidentally, most of the surface of the silicon semiconductor substrate is terminated with hydrogen, and a part thereof is terminated with fluorine.
[0051]
[Step-110]
Next, the silicon semiconductor substrate 40 is carried into the substrate carry-in / out section 20 of the silicon oxide film forming apparatus shown in FIG. 2 through a door (not shown) and placed on the quartz boat 24 (see FIG. 3A). . In addition, nitrogen gas is introduced into the processing chamber 10 from the gas introduction part 12, the inside of the processing chamber 10 is set to an inert gas atmosphere such as nitrogen gas (may be a reduced pressure atmosphere), and a heater is provided via a soaking tube 16. 14, the atmospheric temperature in the processing chamber 10 is maintained at 400 ° C. In this state, the shutter 15 is closed.
[0052]
[Step-120]
After the loading of the silicon semiconductor substrate 40 into the substrate loading / unloading unit 20 is completed, the door (not shown) is closed, nitrogen gas is introduced into the substrate loading / unloading unit 20 from the gas introduction unit 21, and discharged from the gas exhaust unit 22. The inside of the substrate carry-in / out unit 20 is set to a nitrogen gas atmosphere. Note that the oxygen gas concentration in the substrate carry-in / out unit 20 is monitored, and if the oxygen gas concentration becomes, for example, 20 ppm or less, it is determined that the substrate carry-in / out unit 20 has a sufficient nitrogen gas atmosphere. Thereafter, the shutter 15 is opened (see FIG. 3B), the elevator mechanism 23 is operated to raise the quartz boat 24, and the silicon semiconductor substrate 40 is carried into the processing chamber 10 having a quartz double tube structure. (See FIG. 4A). When the elevator mechanism 23 reaches the highest position, the base of the quartz boat 24 stops communication between the processing chamber 10 and the substrate carry-in / out unit 20. Since the atmospheric temperature in the processing chamber 10 is maintained at 400 ° C. by the heater 14, it is possible to suppress the occurrence of roughness on the surface of the silicon semiconductor substrate 40.
[0053]
[Step-130]
Next, in a state where the atmosphere is maintained at a temperature (400 ° C. in Example 1) at which silicon atoms are not desorbed from the surface of the silicon layer (in Example 1, the silicon semiconductor substrate 40), drying including hydrogen chloride is performed. A silicon oxide film 42 is formed on the surface of the silicon layer by an oxidation method using oxygen gas. In the first embodiment, specifically, a dry oxygen gas containing 2% by volume of hydrogen chloride is supplied into the processing chamber 10 through the gas introduction unit 12, thereby providing a thickness 1. on the surface of the silicon semiconductor substrate 40. A 2 nm silicon oxide film 42 is formed (see FIG. 1B and FIG. 4B). The thickness of this silicon oxide film is SiO2The thickness is equivalent to 2 to 3 molecular layers, and is sufficient to function as a protective film even when the step on the surface of the silicon semiconductor substrate is taken into consideration. The silicon semiconductor substrates positioned above and below the processing chamber 10 have different residence times in the processing chamber 10, but the oxidation rate at 400 ° C. is extremely low, and after the silicon oxide film is formed by the surface reaction. The increase in the thickness of the silicon oxide film is almost negligible, and the thickness uniformity of the silicon oxide film can be ensured.
[0054]
[Step-140]
Thereafter, the supply of the dry oxygen gas containing hydrogen chloride into the processing chamber 10 is stopped, and an inert gas (nitrogen gas) is supplied from the gas introduction unit 12 into the processing chamber 10 while the silicon oxide film forming apparatus The atmospheric temperature in the processing chamber 10 is raised to a desired temperature (800 ° C. in the first embodiment) by the heater 14 through the soaking tube 16 (see FIG. 5A). Since the silicon oxide film that also functions as a protective film has already been formed on the surface of the silicon layer in [Step-130], the surface of the silicon layer (silicon semiconductor substrate 40) is roughened in [Step-140]. Will not occur. For example, while supplying an inert gas (for example, nitrogen gas) containing 0.1% by volume of hydrogen chloride gas from the gas introduction unit 12 into the processing chamber 10, the atmosphere in the processing chamber 10 of the silicon oxide film forming apparatus. The temperature may be raised to a desired temperature by the heater 14 via the soaking tube 16. Alternatively, while supplying a wet gas or a wet gas containing, for example, 0.1% by volume of hydrogen chloride gas from the gas introduction unit 12 into the process chamber 10, the ambient temperature in the process chamber 10 of the silicon oxide film forming apparatus is changed. The temperature may be raised to a desired temperature by the heater 14 via the soaking tube 16.
[0055]
[Step-150]
After the atmospheric temperature in the processing chamber 10 reaches a desired temperature (800 ° C. in Example 1), the atmosphere is maintained at the desired temperature, and an oxidation method using a wet gas is further performed. A silicon oxide film is formed. Specifically, the water vapor generated in the combustion chamber 30 is supplied into the processing chamber 10 through the pipe 31, the gas flow path 11 and the gas introduction part 12, and is totalized on the surface of the silicon semiconductor substrate 40 by the pyrogenic oxidation method. A silicon oxide film 42 having a thickness of 4.0 nm is formed (see FIG. 1C and FIG. 5B). Thus, the formation of the silicon oxide film on the surface of the silicon semiconductor substrate 40 is completed. Thereafter, the inside of the processing chamber 10 is set to an inert gas atmosphere such as nitrogen gas, and the elevator mechanism 23 is operated to lower the quartz boat 24. Then, the door (not shown) may be opened and the silicon semiconductor substrate 40 may be carried out. However, when the silicon oxide film having higher characteristics is intended to be formed, the silicon oxide film is subjected to the heat treatment described below. Is preferred.
[0056]
[Step-160]
That is, after that, the supply of the wet gas is stopped and the atmospheric temperature of the processing chamber 10 is raised to 850 ° C. by the heater 14 while introducing the nitrogen gas into the processing chamber 10 from the gas introduction unit 12 (see FIG. 6). (See (A)). For example, an inert gas (for example, nitrogen gas) containing 0.1% by volume of hydrogen chloride gas is introduced into the processing chamber 10 from the gas introduction unit 12, and the atmospheric temperature of the processing chamber 10 is set to 850 ° C. by the heater 14. The temperature may be increased to. Thereafter, nitrogen gas containing 0.1% by volume of hydrogen chloride is introduced into the treatment chamber 10 from the gas introduction part 12, and heat treatment is performed for 30 minutes (see FIG. 1D and FIG. 6B). . Thus, the formation of the silicon oxide film 42 on the surface of the silicon semiconductor substrate 40 is completed. Thereafter, the inside of the processing chamber 10 is set to a nitrogen gas atmosphere, the elevator mechanism 23 is operated, the quartz boat 24 is lowered, and then the silicon semiconductor substrate 40 is unloaded from the substrate loading / unloading unit 20.
[0057]
(Example 2)
In Example 2, in place of [Step-140] of Example 1, wet gas is introduced into the processing chamber 10, and the atmospheric temperature in the processing chamber 10 of the silicon oxide film forming apparatus is set to a soaking tube. The temperature was raised to a desired temperature (800 ° C. in Example 2) by the heater 14 through 16. In the same step as [Step-130], a silicon oxide film having a thickness of 1.0 nm was formed. The other steps were the same as in Example 1. In addition, hydrogen gas is mixed with oxygen gas at a high temperature in the combustion chamber 30, and water vapor generated by burning is supplied to the processing chamber 10 through the pipe 31, the gas flow path 11, and the gas introduction unit 12. Thus, the wet gas can be introduced into the processing chamber 10.
[0058]
(Example 3)
Example 3 also relates to a method for forming a silicon oxide film according to the first aspect of the present invention. Also in Example 3, the vertical silicon oxide film forming apparatus shown in FIG. 2 was used, and the silicon layer was composed of a silicon semiconductor substrate. The formed silicon oxide film functions as a gate oxide film. The pyrogenic oxidation method was adopted as the oxidation method using wet gas. The wet gas contains a halogen element (specifically, chlorine). Chlorine is in the form of hydrogen chloride, and the concentration of hydrogen chloride contained in the wet gas was set to 0.1% by volume. Moreover, the atmosphere of the process which heats up atmospheric temperature to desired temperature was made into inert gas atmosphere. A silicon oxide film is further formed by a pyrogenic oxidation method while the atmosphere is maintained at a desired temperature, and then an inert gas atmosphere containing a halogen element (including hydrogen chloride) is formed on the formed silicon oxide film. Heat treatment (furnace annealing treatment) was performed in a nitrogen gas atmosphere. Hereinafter, a method for forming a silicon oxide film according to the third embodiment will be described with reference to FIGS.
[0059]
[Step-300]
First, after forming an element isolation region and the like on the silicon semiconductor substrate 40 by the same method as in Example 1, fine particles and metal impurities on the surface of the silicon semiconductor substrate 40 are removed by RCA cleaning, and then 0.1% The surface of the silicon semiconductor substrate 40 is cleaned with an aqueous hydrofluoric acid solution to expose the surface of the silicon semiconductor substrate 40.
[0060]
[Step-310]
Next, the silicon semiconductor substrate 40 is carried into the substrate carry-in / out section 20 of the silicon oxide film forming apparatus shown in FIG. 2 through a door (not shown) and placed on the quartz boat 24 (see FIG. 7A). . In addition, nitrogen gas is introduced into the processing chamber 10 from the gas introduction part 12, the inside of the processing chamber 10 is set to an inert gas atmosphere such as nitrogen gas (may be a reduced pressure atmosphere), and a heater is provided via a soaking tube 16. 14, the atmospheric temperature in the processing chamber 10 is maintained at 400 ° C. In this state, the shutter 15 is closed.
[0061]
[Step-320]
After the loading of the silicon semiconductor substrate 40 into the substrate loading / unloading unit 20 is completed, the door (not shown) is closed, nitrogen gas is introduced into the substrate loading / unloading unit 20 from the gas introduction unit 21, and discharged from the gas exhaust unit 22. The inside of the substrate carry-in / out unit 20 is set to a nitrogen gas atmosphere. Thereafter, the shutter 15 is opened (see FIG. 7B), the elevator mechanism 23 is operated to raise the quartz boat 24, and the silicon semiconductor substrate 40 is carried into the processing chamber 10 having a quartz double tube structure. (See FIG. 8A). Since the atmospheric temperature in the processing chamber 10 is maintained at 400 ° C. by the heater 14, it is possible to suppress the occurrence of roughness on the surface of the silicon semiconductor substrate 40.
[0062]
[Step-330]
Next, 2 volumes of hydrogen chloride are maintained in an atmosphere maintained at a temperature (400 ° C. in Example 3) at which silicon atoms are not desorbed from the surface of the silicon layer (silicon semiconductor substrate 40 in Example 3). % Of dry oxygen gas is supplied into the processing chamber 10 through the gas inlet 12 to form a silicon oxide film 42 having a thickness of 1.2 nm on the surface of the silicon semiconductor substrate 40 (FIG. 8B). reference).
[0063]
[Step-340]
Thereafter, the supply of the dry oxygen gas containing hydrogen chloride into the processing chamber 10 is stopped, and an inert gas (nitrogen gas) is supplied from the gas introduction unit 12 into the processing chamber 10 while the silicon oxide film forming apparatus The atmospheric temperature in the processing chamber 10 is raised to a desired temperature (800 ° C. in the third embodiment) by the heater 14 through the soaking tube 16 (see FIG. 9A). In [Step-330], a silicon oxide film that also functions as a protective film has already been formed on the surface of the silicon layer. In this [Step-340], the surface of the silicon layer (silicon semiconductor substrate 40). Roughness does not occur. In addition, while supplying the wet gas into the processing chamber 10, the atmospheric temperature in the processing chamber 10 of the silicon oxide film forming apparatus is set to a desired temperature (800 in the third embodiment) by the heater 14 through the soaking tube 16. The temperature may be raised to ° C). Here, the inert gas or wet gas supplied into the processing chamber 10 may contain a halogen element (for example, 0.1% by volume of hydrogen chloride).
[0064]
[Step-350]
After the atmospheric temperature in the processing chamber 10 reaches a desired temperature (800 ° C. in Example 3), for example, hydrogen chloride gas is added at 0.1% by volume in a state where the atmosphere is maintained at the desired temperature. A silicon oxide film is further formed by an oxidation method using the contained wet gas. Specifically, water vapor generated in the combustion chamber 30 and hydrogen chloride gas are supplied into the processing chamber 10 through the piping 31, the gas flow path 11, and the gas introduction unit 12, and silicon semiconductor is formed by a pyrogenic oxidation method. A silicon oxide film having a total thickness of 4.0 nm is formed on the surface of the substrate 40 (see FIG. 9B). Thus, the formation of the silicon oxide film on the surface of the silicon semiconductor substrate 40 is completed. Thereafter, the inside of the processing chamber 10 is set to an inert gas atmosphere such as nitrogen gas, and the elevator mechanism 23 is operated to lower the quartz boat 24. Then, the door (not shown) may be opened and the silicon semiconductor substrate 40 may be carried out. However, when the silicon oxide film having higher characteristics is intended to be formed, the silicon oxide film is subjected to the heat treatment described below. Is preferred.
[0065]
[Step-360]
That is, after that, the supply of the wet gas is stopped, and the atmospheric temperature of the processing chamber 10 is raised to 850 ° C. by the heater 14 while introducing the nitrogen gas into the processing chamber 10 from the gas introduction unit 12. The nitrogen gas supplied into the processing chamber 10 may contain a halogen element (for example, 0.1% by volume of hydrogen chloride). Thereafter, nitrogen gas containing 0.1% by volume of hydrogen chloride is introduced into the treatment chamber 10 from the gas introduction unit 12 and heat treatment is performed for 30 minutes. Thus, the formation of the silicon oxide film on the surface of the silicon semiconductor substrate 40 is completed. Thereafter, the inside of the processing chamber 10 is set to a nitrogen gas atmosphere, the elevator mechanism 23 is operated, the quartz boat 24 is lowered, and then the silicon semiconductor substrate 40 is unloaded from the substrate loading / unloading unit 20.
[0066]
(Example 4)
Example 4 also relates to a method for forming a silicon oxide film according to the first aspect of the present invention. A schematic diagram of a silicon oxide film forming apparatus suitable for the implementation of Example 4 is shown in FIG.
[0067]
In the method for forming a silicon oxide film according to the first aspect of the present invention, a vertical heating type silicon oxide film deposition apparatus (see FIG. 2) in which a quartz processing chamber (oxidation furnace) is held vertically. Since the heater 14 is disposed outside the silicon semiconductor substrate (material to be processed) in the outer peripheral direction, the peripheral portion of the silicon semiconductor substrate (material to be processed) is always centered during the temperature rise. The temperature becomes higher than the part. As a result, when the silicon oxide film is formed even during the temperature rise, the thickness of the silicon oxide film tends to be thicker in the peripheral portion of the silicon semiconductor substrate (material to be processed) than in the central portion. In order to suppress the occurrence of such a phenomenon, if the material to be treated is heated by a heating means disposed substantially parallel to the surface of the silicon layer, the temperature variation in the surface of the material to be treated can be reduced. it can. As a result, even when the silicon oxide film is formed during the temperature rise, the in-plane film thickness variation of the formed silicon oxide film can be suppressed.
[0068]
The silicon oxide film forming apparatus shown in FIG. 10 includes a processing chamber 50 and a resistance heater 51 that is a heating unit for heating the material to be processed. The processing chamber 50 is made of a quartz furnace core tube, and accommodates a material to be processed having a silicon layer therein in order to form a silicon oxide film on the silicon layer. The resistance heater 51 as a heating means is disposed outside the processing chamber 50 and is disposed substantially parallel to the surface of the silicon layer. For example, a silicon semiconductor substrate 40 which is a material to be processed having a silicon layer is placed on the wafer stage 52 and carried into and out of the processing chamber 50 through a gate valve 53 provided at one end of the processing chamber 50. The silicon oxide film forming apparatus further includes a gas introduction part 54 for introducing water vapor or the like into the processing chamber 50 and a gas exhaust part 55 for exhausting gas from the processing chamber 50. The temperature of the material to be processed can be measured by a thermocouple (not shown). The hydrogen gas supplied to the combustion chamber is mixed with oxygen gas at a high temperature in the combustion chamber and burned to generate water vapor. Such water vapor is supplied into the processing chamber 50 through the piping and the gas introduction unit 54, but the illustration of the combustion chamber and the piping is omitted.
[0069]
Alternatively, a silicon oxide film forming apparatus having a format shown in FIG. 11 can be used. In the silicon oxide film forming apparatus shown in FIG. 11, the heating means includes a plurality of lamps 51A that emit infrared rays or visible light. Further, the temperature of the material to be processed is measured by a pyrometer (not shown). Since the other structure can be basically the same as that of the silicon oxide film forming apparatus shown in FIG. 10, detailed description thereof is omitted.
[0070]
Hereinafter, a method for forming the silicon oxide film of Example 4 will be described.
[0071]
[Step-400]
First, after forming an element isolation region and the like on the silicon semiconductor substrate 40 by the same method as in Example 1, fine particles and metal impurities on the surface of the silicon semiconductor substrate 40 are removed by RCA cleaning, and then 0.1% The surface of the silicon semiconductor substrate 40 is cleaned with an aqueous hydrofluoric acid solution to expose the surface of the silicon semiconductor substrate.
[0072]
[Step-410]
Next, the silicon semiconductor substrate 40 placed on the wafer table 52 is loaded into the processing chamber 50 by opening the gate valve 53 of the silicon oxide film forming apparatus shown in FIG. 53 is closed. At this time, the atmosphere in the processing chamber 50 is an inert gas atmosphere heated to about 400 ° C. by the heating means. In addition, by setting the atmosphere in the processing chamber 50 to such a condition, it is possible to suppress the occurrence of roughness on the surface of the silicon semiconductor substrate 40.
[0073]
[Step-420]
Next, in a state where the atmosphere is maintained at a temperature (400 ° C. in Example 4) at which silicon atoms are not desorbed from the surface of the silicon layer (in Example 4, the silicon semiconductor substrate 40), drying including hydrogen chloride is performed. A silicon oxide film 42 is formed on the surface of the silicon layer by an oxidation method using oxygen gas. In the fourth embodiment, specifically, a dry oxygen gas containing 2% by volume of hydrogen chloride is supplied into the processing chamber 50 through a pipe (not shown) and the gas introduction unit 54, and the surface of the silicon semiconductor substrate 40 is supplied. Then, a silicon oxide film having a thickness of 1.2 nm is formed.
[0074]
[Step-430]
Thereafter, the supply of the dry oxygen gas containing hydrogen chloride into the processing chamber 50 is stopped, and then the water vapor (wet gas) generated in the combustion chamber (not shown) is processed through the pipe and the gas introduction unit 54. Then, the temperature in the processing chamber 50 is raised to a desired temperature (800 ° C. in the fourth embodiment) by the heating means. In Example 4, since the heating means is disposed substantially parallel to the surface of the silicon layer, it is possible to suppress the occurrence of in-plane temperature variation of the material to be processed when the temperature of the material to be processed is increased. As a result, it is possible to effectively suppress the in-plane film thickness variation of the silicon oxide film formed during the temperature rise. The atmosphere when the temperature in the processing chamber 50 is raised to a desired temperature (800 ° C. in the fourth embodiment) by the heating means may be an inert gas atmosphere (for example, a nitrogen gas atmosphere). Furthermore, a halogen element (for example, 0.1% by volume of hydrogen chloride) may be contained in the wet gas or the inert gas.
[0075]
[Step-440]
After the atmospheric temperature in the processing chamber 50 reaches the desired temperature (800 ° C. in Example 4), the atmosphere is maintained at the desired temperature, and further, an oxidation method using a wet gas is performed. A silicon oxide film is formed. Specifically, water vapor generated in the combustion chamber is supplied into the processing chamber 50 through the piping and the gas introduction unit 54, and a silicon oxide film having a total thickness of 4.0 nm is formed on the surface of the silicon semiconductor substrate 40 by a pyrogenic oxidation method. 42 is formed. For example, an oxidation method using a wet gas containing 0.1% by volume of hydrogen chloride gas may be employed. Thus, the formation of the silicon oxide film on the surface of the silicon semiconductor substrate 40 is completed. Thereafter, the inside of the processing chamber 50 is set to an inert gas atmosphere such as nitrogen gas, the gate valve 53 is opened, and the wafer is placed on the wafer table 52. The silicon semiconductor substrate 40 may be unloaded from the processing chamber 50. However, when a silicon oxide film having higher characteristics is intended to be formed, it is preferable to perform a heat treatment described below on the silicon oxide film.
[0076]
[Step-450]
That is, after that, the supply of the wet gas is stopped and the atmosphere temperature in the processing chamber 50 is raised to 850 ° C. by the heating means while introducing the nitrogen gas into the processing chamber 50 from the gas introduction unit 54. Note that the atmosphere in the temperature raising step may be a nitrogen gas atmosphere containing a halogen element (for example, 0.1% by volume of hydrogen chloride). Thereafter, nitrogen gas containing 0.1% by volume of hydrogen chloride is introduced into the treatment chamber 50 from the gas introduction part 54 and heat treatment is performed for 5 minutes. Thus, the formation of the silicon oxide film on the surface of the silicon semiconductor substrate 40 is completed. Thereafter, the inside of the processing chamber 50 is set to a nitrogen gas atmosphere, the gate valve 53 is opened, and the silicon semiconductor substrate 40 placed on the wafer stage 52 is unloaded from the processing chamber 50.
[0077]
(Example 5)
Example 5 relates to a method of forming a silicon oxide film according to the second aspect of the present invention. In Example 5, a vertical silicon oxide film forming apparatus whose conceptual diagram is shown in FIG. 12 and whose schematic diagrams are shown in FIGS. 13 and 14 was used. In Example 5, a silicon semiconductor substrate was used as a material to be processed having a silicon layer. That is, in Example 5, the silicon layer was the silicon semiconductor substrate itself. The formed silicon oxide film functions as a gate oxide film. The pyrogenic oxidation method was adopted as the oxidation method using wet gas. Furthermore, the atmosphere of the transfer path when carrying the material to be processed from the first process chamber to the second process chamber is an inert gas atmosphere, and the temperature in the transfer path is set to a silicon oxide film on the silicon layer. The atmospheric temperature in the first processing chamber when forming the film was substantially equal. In addition, after further forming a silicon oxide film by the pyrogenic oxidation method, an inert gas atmosphere containing halogen elements (specifically, a nitrogen gas atmosphere containing hydrogen chloride) is formed on the formed silicon oxide film. A heat treatment (furnace annealing treatment) was performed. In Example 5, in the first processing chamber and the second processing chamber, a silicon oxide film was formed on the silicon layer in a batch manner for a plurality of materials to be processed. Furthermore, the heat treatment was performed in a batch manner in the second treatment chamber. A silicon oxide film forming method of Example 5 will be described below with reference to FIGS. 12 to 14 and FIGS. 15 to 23 which are conceptual diagrams of a silicon oxide film forming apparatus and the like.
[0078]
The silicon oxide film forming apparatus shown in FIGS. 12 to 14 includes a first processing chamber 110, a second processing chamber 210, and a transfer path 120. 13 is a schematic cross-sectional view of a portion including the first processing chamber 110 along arrow AA in FIG. 12, and FIG. 14 is a second cross section along arrow BB in FIG. It is typical sectional drawing of the part containing the process chamber 210 of FIG. This vertical silicon oxide film forming apparatus includes quartz processing chambers 110 and 210 having a double tube structure, gas introduction portions 112 and 212 for introducing water vapor and the like into the processing chambers 110 and 210, and processing. Heater 114 for maintaining the inside of the processing chambers 110 and 210 at a predetermined atmospheric temperature via gas discharge portions 113 and 213 for exhausting gas from the chambers 110 and 210 and cylindrical heat equalizing tubes 116 and 216 made of SiC. 214. The heaters 114 and 214 are controlled by a temperature control device. Furthermore, a transfer path 120 disposed below the first processing chamber 110 and the second processing chamber 210, a gas introduction unit 121 for introducing an inert gas such as nitrogen gas into the transfer path 120, and a transfer A gas discharge unit 122 that exhausts gas from the path 120, shutters 115 and 215 that partition the first processing chamber 110 and the second processing chamber 210 and the transport path 120, and the silicon semiconductor substrate 40 are connected to the first processing chamber 110. And an elevator mechanism 123 for carrying in and out of the second processing chamber 210. A quartz boat 124 for mounting a plurality of silicon semiconductor substrates 40 is attached to the elevator mechanism 123. The elevator mechanism 123 is movable in the left-right direction in FIG. The transport path 120 is provided with a door 125 for carrying the silicon semiconductor substrate 40 in and out. Further, the hydrogen gas supplied to the combustion chamber 130 is mixed with oxygen gas at a high temperature in the combustion chamber 130 and burned to generate water vapor. Such water vapor is supplied into the first processing chamber 110 and the second processing chamber 210 via the pipe 131, the gas flow paths 111, 211, and the gas introduction portions 112, 212. The gas flow paths 111 and 211 are located outside the first processing chamber 110 and the second processing chamber 210 having a double tube structure.
[0079]
[Step-500]
First, an element isolation region having a LOCOS structure is formed in a silicon semiconductor substrate 40 by a known method, and well ion implantation, channel stop ion implantation, and threshold adjustment ion implantation are performed. Note that the element isolation region may have a trench structure. Thereafter, fine particles and metal impurities on the surface of the silicon semiconductor substrate 40 are removed by RCA cleaning, and then the surface of the silicon semiconductor substrate 40 is cleaned with a 0.1% hydrofluoric acid aqueous solution to expose the surface of the silicon semiconductor substrate 40. Let Incidentally, most of the surface of the silicon semiconductor substrate is terminated with hydrogen, and a part thereof is terminated with fluorine.
[0080]
[Step-510]
Next, a plurality of silicon semiconductor substrates 40 are carried from the door 125 into the transfer path 120 of the silicon oxide film forming apparatus shown in FIG. In addition, an inert gas such as nitrogen gas is introduced into the first processing chamber 110 from the gas introduction unit 112 so that the inside of the first processing chamber 110 is an inert gas atmosphere (may be a reduced pressure atmosphere), and The atmospheric temperature in the first processing chamber 110 is maintained at 400 ° C. by the heater 114 through the soaking tube 116. In this state, the shutter 115 is closed. On the other hand, an inert gas such as nitrogen gas is introduced into the second processing chamber 210 from the gas introduction unit 212, the inside of the second processing chamber 210 is set to an inert gas atmosphere (may be a reduced pressure atmosphere), and The atmospheric temperature in the second processing chamber 210 is maintained at 800 ° C. by the heater 214 through the soaking tube 216. In this state, the shutter 215 is closed.
[0081]
[Step-520]
Then, after the silicon semiconductor substrate 40 has been transferred into the transfer path 120, the door 125 is closed, an inert gas such as nitrogen gas is introduced into the transfer path 120 from the gas introduction unit 121, and is discharged from the gas discharge unit 122. Then, the inside of the conveyance path 120 is set to an inert gas atmosphere at room temperature (see FIG. 15). Thereafter, the shutter 115 is opened, the elevator mechanism 123 is operated to raise the quartz boat 124, and the silicon semiconductor substrate 40 is carried into the first processing chamber 110 having a quartz double tube structure (see FIG. 16). Since the temperature of the inert gas atmosphere in the first processing chamber 110 is maintained at 400 ° C. by the heater 114, it is possible to suppress the occurrence of roughness on the surface of the silicon semiconductor substrate 40. Note that, after the silicon semiconductor substrate 40 is loaded into the first processing chamber 110, the inside of the transfer path 120 in the inert gas atmosphere is preferably heated to about 400 ° C. with a heater (not shown).
[0082]
[Step-530]
Next, the atmosphere of the first processing chamber 110 is maintained at a temperature at which silicon atoms are not desorbed from the surface of the silicon layer (silicon semiconductor substrate 40 in the fifth embodiment) (400 ° C. in the fifth embodiment). Thus, a silicon oxide film is formed on the surface of the silicon layer by an oxidation method using dry oxygen gas containing hydrogen chloride (see FIG. 17). In the fifth embodiment, specifically, a dry oxygen gas containing 2% by volume of hydrogen chloride is supplied into the first processing chamber 110 through the gas introduction unit 112, and a thickness of 1 is formed on the surface of the silicon semiconductor substrate 40. A 2 nm silicon oxide film is formed. The thickness of this silicon oxide film is SiO2The thickness is equivalent to 2 to 3 molecular layers, and is sufficient to function as a protective film even when the step on the surface of the silicon semiconductor substrate is taken into consideration.
[0083]
[Step-540]
Thereafter, the silicon semiconductor substrate 40 that is the material to be processed is carried into the second processing chamber 210 from the first processing chamber 110 via the transfer path 120. Specifically, the supply of dry oxygen gas containing hydrogen chloride into the first processing chamber 110 is stopped, and an inert gas such as nitrogen gas is supplied into the first processing chamber 110 from the gas introduction unit 112. . Then, after replacing the interior of the first processing chamber 110 with an inert gas such as nitrogen gas, the elevator mechanism 123 is operated to carry the silicon semiconductor substrate 40 into the transport path 120 (see FIG. 18). The inside of the conveyance path 120 is an inert gas atmosphere, and is maintained at around 400 ° C. That is, the temperature in the transfer path 120 when the silicon semiconductor substrate 40 that is the material to be processed is transferred from the first process chamber 110 to the second process chamber 210 via the transfer path 120 is changed to the silicon semiconductor substrate 40 with silicon. This is substantially equal to the ambient temperature of the first processing chamber 110 when the oxide film is formed. If the elevator mechanism 123 is located at the lowest position, the elevator mechanism 123 is moved below the second processing chamber 210 (see FIG. 19).
[0084]
[Step-550]
Next, the shutter 215 is opened, the elevator mechanism 123 is operated to raise the quartz boat 124, and the silicon semiconductor substrate 40 is carried into the second processing chamber 210 made of quartz with a double tube structure (see FIG. 20). The temperature of the inert gas atmosphere in the second processing chamber 210 is maintained at 800 ° C. by the heater 214, but a silicon oxide film that also functions as a protective film has already been formed on the surface of the silicon semiconductor substrate 40. Therefore, the surface of the silicon layer (silicon semiconductor substrate 40) is not roughened. Note that, after the silicon semiconductor substrate 40 is loaded into the second processing chamber 210, the temperature in the transfer path 120 in the inert gas atmosphere is preferably set to room temperature.
[0085]
[Step-560]
Thereafter, a silicon oxide film is further formed by an oxidation method using a wet gas in a state where the inside of the second processing chamber 210 is held at 800 ° C. Specifically, hydrogen gas: oxygen gas is introduced into the combustion chamber 130 maintained at 750 ° C. at a ratio of 1: 4 to burn the hydrogen gas, and the water vapor generated in the combustion chamber 130 is piped 131, A silicon oxide film having a total thickness of 4.0 nm is formed on the surface of the silicon semiconductor substrate 40 by the pyrogenic oxidation method by supplying the gas into the second processing chamber 210 through the gas flow path 211 and the gas introduction part 212 (FIG. 21). reference). For example, hydrogen chloride having a concentration of 1.0% by volume may be contained in the wet gas.
[0086]
As described above, the formation of the silicon oxide film on the surface of the silicon semiconductor substrate 40 is completed, and thereafter, the interior of the second processing chamber 210 is set to an inert gas atmosphere such as nitrogen gas, and the elevator mechanism 123 is operated to operate the quartz boat 124. Then, the door 125 may be opened and the silicon semiconductor substrate 40 may be unloaded from the transfer path 120. However, when a silicon oxide film having higher characteristics is intended to be formed, a heat treatment described below is performed. It is preferable to apply it to the silicon oxide film.
[0087]
[Step-570]
That is, following [Step-560], the supply of the wet gas is stopped, and an inert gas such as nitrogen gas is introduced into the second processing chamber 210 from the gas introduction unit 212, while the second processing chamber 210 The ambient temperature is raised to 850 ° C. by the heater 214 (see FIG. 22). Note that the atmosphere in the temperature raising step may be a nitrogen gas atmosphere containing a halogen element (for example, 0.1% by volume of hydrogen chloride). Thereafter, for example, nitrogen gas containing 0.1% by volume of hydrogen chloride is introduced into the second treatment chamber 210 from the gas introduction unit 212, and heat treatment is performed for 30 minutes (see FIG. 23). Thus, the heat treatment of the silicon oxide film is completed. Thereafter, the inside of the second processing chamber 210 is set to an inert gas atmosphere such as nitrogen gas, the elevator mechanism 123 is operated, the quartz boat 124 is lowered, then the door 125 is opened, and the silicon semiconductor substrate 40 is removed from the transfer path 120. Take it out.
[0088]
(Example 6)
In Example 6, a vertical silicon oxide film forming apparatus whose conceptual diagram is shown in FIG. 24 was used. The vertical type silicon oxide film forming apparatus used in the sixth embodiment is different from the vertical type silicon oxide film forming apparatus described in the fifth embodiment in that a conveyance path communicating with the first processing chamber 110 is used. The point where the shutter 126 is disposed between the portion 120A of the 120 and the portion 120B of the transfer path 120 that communicates with the second processing chamber 210, and nitrogen gas or the like is not present in each of the transfer paths 120A and 120B. A gas introduction part for introducing the active gas and a gas exhaust part for exhausting (these are not shown in FIG. 24) are provided. As described above, the transfer path 120 is divided into the two portions 120A and 120B by the shutter 126, thereby forming the silicon oxide film in the first processing chamber 110 and the silicon oxide in the second processing chamber 210. Film formation can be performed at the same time, and throughput in forming a silicon oxide film can be improved. Hereinafter, the silicon oxide film forming method of the sixth embodiment will be described with reference to FIGS. 25 to 34 which are conceptual diagrams of the silicon oxide film forming apparatus and the like. The silicon oxide film forming method of the sixth embodiment is described below. This is basically the same as the method for forming the silicon oxide film of the fifth embodiment.
[0089]
[Step-600]
First, as in the fifth embodiment, an element isolation region having a LOCOS structure is formed on a silicon semiconductor substrate 40 by a known method, and after well ion implantation, channel stop ion implantation, and threshold adjustment ion implantation, RCA cleaning is performed. The surface of the silicon semiconductor substrate 40 is cleaned with a 0.1% hydrofluoric acid aqueous solution.
[0090]
[Step-610]
Next, a plurality of silicon semiconductor substrates 40 are carried from the door 125A into the transfer path 120A of the silicon oxide film forming apparatus shown in FIG. In addition, an inert gas such as nitrogen gas is introduced into the first processing chamber 110 from the gas introduction unit 112 so that the inside of the first processing chamber 110 is an inert gas atmosphere (may be a reduced pressure atmosphere), and The atmospheric temperature in the first processing chamber 110 is maintained at 400 ° C. by the heater 114 through the soaking tube 116. In this state, the shutter 115 is closed. On the other hand, an inert gas such as nitrogen gas is introduced into the second processing chamber 210 from the gas introduction unit 212, the inside of the second processing chamber 210 is set to an inert gas atmosphere (may be a reduced pressure atmosphere), and The atmospheric temperature in the second processing chamber 210 is maintained at 800 ° C. by the heater 214 through the soaking tube 216. In this state, the shutter 215 is closed. In addition, the shutter 126 disposed between the transport path 120A and the transport path 120B is also closed.
[0091]
[Step-620]
And after carrying in of the silicon semiconductor substrate 40 to the conveyance path 120A is completed, the door 125A is closed, an inert gas such as nitrogen gas is introduced into each of the conveyance paths 120A and 120B from the gas introduction part, and from the gas discharge part Then, the inside of the transport paths 120A and 120B is made an inert gas atmosphere at room temperature (see FIG. 25). Thereafter, the shutter 115 is opened, the elevator mechanism 123 is operated to raise the quartz boat 124, and the silicon semiconductor substrate 40 is carried into the first processing chamber 110 having a double tube structure made of quartz (see FIG. 26). Since the temperature of the inert gas atmosphere in the first processing chamber 110 is maintained at 400 ° C. by the heater 114, it is possible to suppress the occurrence of roughness on the surface of the silicon semiconductor substrate 40. Note that, after the silicon semiconductor substrate 40 is loaded into the first processing chamber 110, the inside of the transfer paths 120A and 120B in the inert gas atmosphere is preferably heated to about 400 ° C. with a heater (not shown).
[0092]
[Step-630]
Next, in the same manner as in [Step-530] of Example 5, the temperature at which silicon atoms are not desorbed from the surface of the silicon layer (silicon semiconductor substrate 40 in Example 5) (400 ° in Example 6). In FIG. 27C, a silicon oxide film having a thickness of 1.2 nm is formed on the surface of the silicon layer by an oxidation method using dry oxygen gas containing hydrogen chloride while maintaining the atmosphere of the first processing chamber 110 (FIG. 27). reference).
[0093]
[Step-640]
Thereafter, the silicon semiconductor substrate 40 as the material to be processed is carried into the second processing chamber 210 from the first processing chamber 110 via the transfer paths 120A and 12B. Specifically, the supply of dry oxygen gas containing hydrogen chloride into the first processing chamber 110 is stopped, and an inert gas such as nitrogen gas is supplied into the first processing chamber 110 from the gas introduction unit 112. . On the other hand, the shutter 126 is opened. Then, after replacing the interior of the first processing chamber 110 with an inert gas such as nitrogen gas, the elevator mechanism 123 is operated to carry the silicon semiconductor substrate 40 into the transport path 120A (see FIG. 28). The inside of the conveyance paths 120A and 120B is an inert gas atmosphere, and is maintained at around 400 ° C. That is, the temperature in the transfer paths 120A and 120B when the silicon semiconductor substrate 40, which is an object to be processed, is transferred from the first processing chamber 110 to the second processing chamber 210 via the transfer paths 120A and 120B The atmospheric temperature in the first processing chamber 110 when the silicon oxide film is formed on the substrate 40 is substantially equal. If the elevator mechanism 123 is at the lowest lowered position, the elevator mechanism 123 is moved below the second processing chamber 210, and then the shutter 126 is closed. Then, in order to form a silicon oxide film on the silicon semiconductor substrate 40, which is the material to be processed in the next lot, the door 125A is opened, and the silicon semiconductor substrate 40 is carried into the transport path 120A (see FIG. 29).
[0094]
[Step-650]
Next, the shutter 215 is opened, the elevator mechanism 123 is operated to raise the quartz boat 124, and the silicon semiconductor substrate 40 is carried into the second processing chamber 210 having a quartz double tube structure (see FIG. 30). The temperature of the inert gas atmosphere in the second processing chamber 210 is maintained at 800 ° C. by the heater 214, but a silicon oxide film that also functions as a protective film has already been formed on the surface of the silicon semiconductor substrate 40. Therefore, the surface of the silicon layer (silicon semiconductor substrate 40) is not roughened. Note that after the silicon semiconductor substrate 40 is carried into the second processing chamber 210, the temperature in the transfer path 120B in the inert gas atmosphere is preferably set to room temperature. In the transfer path 120A and the first processing chamber 110, as in [Step-620], the door 125A is closed, and an inert gas such as nitrogen gas is introduced into the transfer path 120A from the gas introduction unit, and the gas is discharged. The inside of the conveyance path 120A is made an inert gas atmosphere at room temperature (see the state of the conveyance path 120A on the left side of FIG. 30).
[0095]
[Step-660]
Thereafter, in the same manner as in [Step-560] of Example 5, silicon is further obtained by an oxidation method (pyrogenic oxidation method) using a wet gas in a state where the inside of the second processing chamber 210 is maintained at 800 ° C. An oxide film is formed (see FIG. 31). Here, the wet gas may contain, for example, 1.0% by volume of hydrogen chloride. In the transfer path 120A and the first processing chamber 110, as in [Step-620], the shutter 115 is opened, the elevator mechanism 123 is operated to raise the quartz boat 124, and the silicon semiconductor substrate 40 is moved. It is carried into the first processing chamber 110 having a double tube structure made of quartz (see the state of the conveyance path 120A on the left side of FIG. 31).
[0096]
As described above, since the formation of the silicon oxide film on the surface of the silicon semiconductor substrate 40 is completed in the second processing chamber 210, the interior of the second processing chamber 210 is changed to an inert gas atmosphere such as nitrogen gas, and the elevator mechanism. 123 is operated to lower the quartz boat 124, then the door 125B is opened, and the silicon semiconductor substrate 40 is unloaded from the transfer path 120B. However, when a silicon oxide film having higher characteristics is intended to be formed, The heat treatment described below is preferably performed on the silicon oxide film. In addition, regarding the silicon semiconductor substrate 40 carried into the first processing chamber 110, the processes after [Step-630] are performed.
[0097]
[Step-670]
That is, similar to [Step-570] of Example 5, following [Step-660], the supply of the wet gas is stopped, and an inert gas such as nitrogen gas is supplied from the gas introduction unit 212 to the second processing chamber 210. While being introduced into the chamber, the ambient temperature of the second processing chamber 210 is raised to 850 ° C. by the heater 214 (see FIG. 32). Note that the atmosphere in the temperature raising step may be a nitrogen gas atmosphere containing a halogen element (for example, 0.1% by volume of hydrogen chloride). Thereafter, for example, nitrogen gas containing 0.1% by volume of hydrogen chloride is introduced into the second treatment chamber 210 from the gas introduction unit 212, and heat treatment is performed for 30 minutes (see FIG. 33). Thus, the heat treatment of the silicon oxide film is completed. Thereafter, the inside of the second processing chamber 210 is set to an inert gas atmosphere such as nitrogen gas, the elevator mechanism 123 is operated to lower the quartz boat 124, then the door 125B is opened, and the silicon semiconductor substrate 40 is moved from the transfer path 120B. Take it out. Next, an inert gas such as nitrogen gas is introduced into the conveyance path 120B, and the ambient temperature in the conveyance path 120B is set to around 400 ° C. (see FIG. 34).
[0098]
In the sixth embodiment described above, the timing of forming the silicon oxide film on the silicon semiconductor substrate which is the material to be processed in the next lot is an example, and can be changed as appropriate.
[0099]
(Example 7)
Also in Example 7, a silicon semiconductor substrate was used as a material to be processed having a silicon layer. The formed silicon oxide film functions as a gate oxide film. The pyrogenic oxidation method was adopted as the oxidation method using wet gas. Furthermore, the atmosphere of the transfer path when carrying the material to be processed from the first process chamber to the second process chamber is an inert gas atmosphere, and the temperature in the transfer path is set to a silicon oxide film on the silicon layer. The atmospheric temperature in the first processing chamber when forming the film was substantially equal. In addition, after further forming a silicon oxide film by the pyrogenic oxidation method, an inert gas atmosphere containing halogen elements (specifically, a nitrogen gas atmosphere containing hydrogen chloride) is formed on the formed silicon oxide film. A heat treatment (furnace annealing treatment) was performed. In Example 7, the silicon oxide film was formed in a single wafer processing chamber. That is, in the first and second processing chambers, a silicon oxide film was formed on a single material to be processed by a single wafer type. Moreover, heat processing was performed by the batch type using the furnace annealing apparatus.
[0100]
In Example 7, a silicon oxide film forming apparatus whose conceptual plan view is shown in FIG. 35 was used. As shown in FIG. 35, the silicon oxide film forming apparatus includes a loader / unloader 300, a transfer path 301, a first processing apparatus 302, a second processing apparatus 303, and a furnace annealing apparatus 304. ing. Furnace annealing apparatus 304 has substantially the same structure as the conventional vertical silicon oxide film forming apparatus shown in FIG. 2 (however, there is no combustion chamber). In Example 7, as the first processing apparatus 302 and the second processing apparatus 303, the silicon oxide film forming apparatus having the structure described in FIG. 10 or FIG. 11 was used.
[0101]
In Examples 5 and 6, a vertical silicon oxide film forming apparatus in which a quartz processing chamber (oxidation furnace) was held vertically was used. By the way, when the vertical silicon oxide film forming apparatus is used, the heaters 114 and 214 are disposed outside the silicon semiconductor substrate (material to be processed) in the outer peripheral direction. The temperature of the peripheral portion of the silicon semiconductor substrate (material to be processed) is always higher than that of the central portion. As a result, if a silicon oxide film is formed during the temperature rise of the material to be processed, the silicon oxide film may be thicker in the peripheral part of the silicon semiconductor substrate (material to be processed) than in the central part. . In the seventh embodiment, since the material to be treated is heated by the heating means disposed substantially parallel to the surface of the silicon layer, the temperature variation in the surface of the material to be treated can be reduced. As a result, it is possible to suppress the occurrence of in-plane film thickness variation in the formed silicon oxide film.
[0102]
[Step-700]
First, after forming an element isolation region and the like on the silicon semiconductor substrate 40 by the same method as in Example 1, fine particles and metal impurities on the surface of the silicon semiconductor substrate 40 are removed by RCA cleaning, and then 0.1% The surface of the silicon semiconductor substrate 40 is cleaned with an aqueous hydrofluoric acid solution to expose the surface of the silicon semiconductor substrate 40.
[0103]
[Step-710]
Inert gas atmosphere such as nitrogen gas in the transfer path 301, the processing chamber 50 of the first processing apparatus 302 and the second processing apparatus 303, and the substrate carry-in / out section 20 and the processing chamber 10 of the furnace annealing apparatus 304. Keep it as Note that the inert gas atmosphere temperature in the processing chamber 50 of the first processing apparatus 302 is set to 400 ° C., the inert gas atmosphere temperature in the processing chamber 50 of the second processing apparatus 303 is set to 800 ° C., and a furnace annealing apparatus. It is preferable to set the inert gas atmosphere temperature in the processing chamber 10 of 304 to 850 ° C. Then, the silicon semiconductor substrate 40 as the material to be processed is carried into the transfer path 301 from the loader / unloader 300, and the silicon semiconductor substrate 40 is further placed on the wafer stage 52, and then shown in FIG. 10 or FIG. The gate valve 53 in the first processing apparatus 302 is opened and loaded into the processing chamber 50 of the first processing apparatus 302 corresponding to the first processing chamber, and then the gate valve 53 is closed. Since the atmospheric temperature in the processing chamber 50 of the first processing apparatus 302 is about 400 ° C., it is possible to suppress the occurrence of roughness on the surface of the silicon semiconductor substrate 40.
[0104]
[Step-720]
Next, in a state where the atmosphere is maintained at a temperature (400 ° C. in Example 7) at which silicon atoms are not desorbed from the surface of the silicon layer (in Example 7, the silicon semiconductor substrate 40), drying including hydrogen chloride is performed. A silicon oxide film is formed on the surface of the silicon layer by an oxidation method using oxygen gas. In the seventh embodiment, specifically, as in the fifth embodiment, a dry oxygen gas containing 2% by volume of hydrogen chloride is supplied into the processing chamber 50 through the gas introduction unit 54, and the surface of the silicon semiconductor substrate 40 is Then, a silicon oxide film having a thickness of 1.2 nm is formed. In addition, it is preferable to heat the inert gas in the conveyance path 301 so that the temperature of the inert gas atmosphere in the conveyance path 301 is about 400 ° C.
[0105]
[Step-730]
Thereafter, the supply of the dry oxygen gas containing hydrogen chloride to the processing chamber 50 is stopped, the inside of the processing chamber 50 is set to an inert gas atmosphere such as nitrogen gas at 400 ° C., the gate valve 53 is opened, and the wafer chamber 52 is mounted. The placed silicon semiconductor substrate 40 is carried out from the processing chamber 50 corresponding to the first processing chamber to the transfer path 301, and then the gate valve 53 in the second processing apparatus 303 shown in FIG. 10 or FIG. 11 is opened. After carrying in the processing chamber 50 of the second processing apparatus 303 which is the second processing chamber, the gate valve 53 is closed. At this time, the atmosphere in the processing chamber 50 corresponding to the second processing chamber is an inert gas atmosphere heated to about 800 ° C. by the heating means. However, since the silicon oxide film that also functions as a protective film is already formed on the surface of the silicon layer, the material to be processed is transferred from the first processing apparatus 302 to the second processing apparatus 303 via the transfer path 301. Even if the inside of the transfer path 301 or the second processing chamber is a non-oxidizing atmosphere, the surface of the silicon layer is not uneven (rough).
[0106]
[Step-740]
Next, in the state where the atmospheric temperature in the processing chamber 50 corresponding to the second processing chamber is kept at 800 ° C., a silicon oxide film is formed on the surface of the silicon layer by an oxidation method using a wet gas in the same manner as in the fifth embodiment. Form. In the seventh embodiment, specifically, as in the fifth embodiment, water vapor generated in the combustion chamber (not shown) is supplied to the second processing chamber via a pipe (not shown) and the gas introduction unit 54. A silicon oxide film having a total thickness of 4.0 nm is formed on the surface of the silicon semiconductor substrate 40 by a pyrogenic oxidation method. For example, hydrogen chloride having a concentration of 1.0% by volume may be contained in the wet gas. Further, the temperature of the inert gas atmosphere in the conveyance path 301 may be set to room temperature.
[0107]
With the above, the formation of the silicon oxide film on the surface of the silicon semiconductor substrate 40 is completed. Henceforth, the inside of the processing chamber 50 of the second processing apparatus 303 is set to an inert gas atmosphere such as nitrogen gas, the gate valve 53 is opened, The silicon semiconductor substrate 40 placed on the wafer stage 52 may be unloaded from the processing chamber 50 to the transfer path 301 and unloaded via the loader / unloader 300. When the formation of the film is intended, it is preferable to perform the heat treatment described below on the silicon oxide film.
[0108]
[Step-750]
That is, the silicon semiconductor substrate 40 which is the material to be processed is sequentially carried into the substrate carry-in / out section 20 of the furnace annealing apparatus 304 having a structure substantially similar to that shown in FIG. 2 through a door (not shown). When the quartz boat 24 is filled with the silicon semiconductor substrate 40, the door (not shown) is closed, the elevator mechanism 23 is operated to raise the quartz boat 24, and the silicon semiconductor substrate 40 is carried into the processing chamber 10. Then, for example, nitrogen gas containing 0.1% by volume of hydrogen chloride is introduced into the treatment chamber 10 from the gas introduction unit 12 and heat treatment is performed at 850 ° C. for 30 minutes. Thus, the heat treatment of the silicon oxide film is completed. Thereafter, the inside of the processing chamber 10 is set to an inert gas atmosphere such as nitrogen gas, and the elevator mechanism 23 is operated to lower the quartz boat 24. Then, a door (not shown) is opened and carried to the conveyance path 301 to be loaded and unloaded. Carry out of the system via 300.
[0109]
Instead of using a furnace annealing apparatus having a structure substantially similar to that shown in FIG. 2, it has a structure substantially similar to that shown in FIG. 10 or FIG. 11 in the processing chamber 50 of the second processing apparatus 303. A material to be processed may be carried into the annealing apparatus, and heat treatment may be performed following the formation of the silicon oxide film. For example, when heat treatment is performed in the processing chamber 50 of the second processing apparatus 303, in [Step-740], the supply of the wet gas is stopped and nitrogen gas is introduced into the processing chamber 50 from the gas introduction unit 44. However, the atmospheric temperature of the processing chamber 50 is raised to 850 ° C. by the heating means. At this time, for example, 0.1% by volume of hydrogen chloride may be contained in the nitrogen gas. Thereafter, for example, nitrogen gas containing 0.1% by volume of hydrogen chloride is introduced into the processing chamber 50 from the gas introduction unit 44 and heat treatment is performed for 5 minutes.
[0110]
In the silicon oxide film forming apparatus shown in FIG. 35, a shutter is disposed between a part of the conveyance path that communicates with the first processing apparatus and a part of the conveyance path that communicates with the second processing apparatus. May be. Further, silicon oxide is oxidized on the surface of the silicon layer by an oxidation method using dry oxygen gas containing hydrogen chloride in a state where the atmosphere in the first treatment chamber is maintained at a temperature at which silicon atoms are not desorbed from the surface of the silicon layer. The step of forming a film is performed in the processing chamber shown in FIGS. 10 and 11, and the step of further forming a silicon oxide film by an oxidation method using a wet gas has substantially the same structure as shown in FIG. A vertical silicon oxide film forming apparatus can also be used.
[0111]
As mentioned above, although this invention was demonstrated based on the preferable Example, this invention is not limited to these Examples. The various conditions and the structures of the silicon oxide film forming apparatus and the annealing apparatus described in the embodiments are examples, and can be changed as appropriate. Formation of silicon oxide film using wet gas is not only pyrogenic oxidation method but also oxidation method by steam generated by heating pure water, water vapor generated by bubbling heated pure water with oxygen gas or inert gas It is possible to use an oxidation method based on the above, or a method using these oxidation methods in combination. In the embodiment, the silicon oxide film is formed exclusively on the surface of the silicon semiconductor substrate. However, the thickness of the silicon semiconductor substrate manufactured by the CZ method or the MCZ method in the manufacturing process of the silicon semiconductor substrate is, for example, increased by the epitaxial growth method. A silicon substrate on which an epitaxial silicon layer of 10 μm is formed, an epitaxial silicon layer formed on the surface of the silicon semiconductor substrate in a manufacturing process of a semiconductor device, a silicon layer formed by selective epitaxial growth, and an insulating layer formed on the substrate A silicon oxide film can also be formed on the surface of a polycrystalline silicon layer, an amorphous silicon layer or the like formed on the substrate. Alternatively, a silicon oxide film may be formed on the surface of the silicon layer in the SOI structure, and further, a substrate on which a semiconductor element or a component of the semiconductor element is formed, or a base formed on these layers. A silicon oxide film may be formed on the surface of the silicon layer formed on the insulating layer. The heat treatment after the formation of the silicon oxide film is not essential and can be omitted in some cases.
[0112]
In the embodiment, after cleaning the surface of the silicon semiconductor substrate 40 with a 0.1% hydrofluoric acid aqueous solution, the silicon semiconductor substrate 40 was carried into the silicon oxide film forming apparatus. The atmosphere up to carrying into the oxide film forming apparatus may be an inert gas (for example, nitrogen gas) atmosphere. Such an atmosphere is, for example, an atmosphere of a silicon semiconductor substrate surface cleaning apparatus is an inert gas atmosphere, and the silicon semiconductor substrate 40 is placed in a transfer box filled with an inert gas, and a silicon oxide film As shown in the schematic diagram of FIG. 36, a method for carrying in the substrate carry-in / out unit 20 and the processing chamber 50 of the film forming apparatus, and a surface cleaning apparatus, a silicon oxide film forming apparatus, a conveyance path, a loader, and an unloader. The cluster tool apparatus is used to connect the surface cleaning apparatus of the silicon semiconductor substrate to the substrate carry-in / out section 20 or the processing chamber 50 of the silicon oxide film forming apparatus by a transfer path, and the atmosphere of the surface cleaning apparatus and the transfer path is inactive. This can be achieved by a gas atmosphere method.
[0113]
Alternatively, instead of cleaning the surface of the silicon semiconductor substrate 40 with a 0.1% hydrofluoric acid aqueous solution, the silicon semiconductor substrate is subjected to a vapor phase cleaning method using anhydrous hydrogen fluoride gas under the conditions exemplified in Table 2. 40 surface cleaning may be performed. Note that methanol is added to prevent the generation of particles. Alternatively, the surface of the silicon semiconductor substrate 40 may be cleaned by a vapor phase cleaning method using hydrogen chloride gas under the conditions exemplified in Table 3. The atmosphere in the surface cleaning apparatus and the atmosphere in the transfer path before the start of the surface cleaning of the silicon semiconductor substrate 40 or after the completion of the surface cleaning may be an inert gas atmosphere, for example, 1.3 × 10.-1Pa (10-3A vacuum atmosphere of about Torr) may be used. When the atmosphere in the transfer path or the like is a vacuum atmosphere, the atmosphere in the substrate loading / unloading unit 20 or the processing chamber 50 of the silicon oxide film forming apparatus when loading the silicon semiconductor substrate is set to, for example, 1.3 × 10.-1Pa (10-3The atmosphere in the substrate loading / unloading unit 20 or the processing chamber 50 may be an atmospheric pressure inert gas (for example, nitrogen gas) atmosphere after completion of loading of the silicon semiconductor substrate.
[0114]
[Table 2]
Anhydrous hydrogen fluoride gas: 300 sccm
Methanol vapor: 80 sccm
Nitrogen gas: 1000sccm
Pressure: 0.3 Pa
Temperature: 60 ° C
[0115]
[Table 3]
Hydrogen chloride gas / nitrogen gas: 1% by volume
Temperature: 800 ° C
[0116]
As the silicon oxide film forming apparatus in these cases, the silicon oxide film forming apparatus shown in FIGS. 2, 10, 11, 12, and 24 or FIGS. 37 and 38 described later can be used. . As a result, the surface of the silicon layer terminated with hydrogen or fluorine can be kept clean before the silicon oxide film is formed. As a result, moisture, organic matter, or Si—OH is taken into the formed silicon oxide film. Therefore, it is possible to effectively prevent the characteristics of the formed silicon oxide film from being deteriorated or a defective portion from being generated.
[0117]
FIG. 37 shows a schematic cross-sectional view of a vertical silicon oxide film forming apparatus having a slightly different format from that of the vertical silicon oxide film forming apparatus shown in FIG. The processing chamber 10 of this vertical silicon oxide film forming apparatus is composed of an upper region 10A and a lower region 10B, and the ambient temperature of the lower region 10B is controlled by a heater 14. On the other hand, a plurality of lamps 14A that emit infrared or visible light are disposed outside the upper region 10A. For example, in the same step as [Step-130] in Example 1, an oxidation method using dry oxygen gas containing hydrogen chloride in a state where the atmosphere is maintained at a temperature at which silicon atoms are not desorbed from the surface of the silicon layer. Thus, a silicon oxide film is formed on the surface of the silicon layer. This silicon oxide film is formed in the lower region 10B of the processing chamber 10. At this time, the ambient temperature in the upper region 10A of the processing chamber 10 is maintained at 400 ° C. by the lamp 14A. Thereafter, in the same process as [Process-140] of Example 1, the supply of the dry oxygen gas containing hydrogen chloride into the processing chamber 10 is stopped, and an inert gas (for example, nitrogen gas) is supplied from the gas introduction unit 12. While supplying the inside of the processing chamber 10, the ambient temperature of the upper region 10 </ b> A of the processing chamber 10 of the silicon oxide film forming apparatus is raised to a desired temperature by the lamp 14 </ b> A, and then the elevator mechanism 23 is operated to activate the quartz boat 24. The silicon semiconductor substrate 40 is moved to the upper region 10A of the processing chamber 10. Then, in a step similar to [Step-150] in Example 1, a silicon oxide film 42 is formed on the surface of the silicon semiconductor substrate 40 by a pyrogenic oxidation method. Next, in the same process as [Process-160] of Example 1, the supply of the wet gas is stopped, and an inert gas (for example, nitrogen gas) is introduced into the process chamber 10 from the gas introduction unit 12, while the process chamber 10 The ambient temperature in the upper region 10A of the 10 is raised to 850 ° C. by the lamp 14A. Thereafter, an inert gas (for example, nitrogen gas) containing 0.1% by volume of hydrogen chloride is introduced into the processing chamber 10 from the gas introduction unit 12, and heat treatment is performed in the upper region 10 </ b> A of the processing chamber 10 for 30 minutes.
[0118]
Alternatively, FIG. 38 shows a schematic cross-sectional view of a silicon oxide film forming apparatus slightly different from the silicon oxide film forming apparatus shown in FIG. The processing chamber 50 of this silicon oxide film forming apparatus is composed of a first region 50A and a second region 50B, and the ambient temperatures of the first region 50A and the second region 50B are the lamps 151A and 151B. Controlled by. Then, for example, in the same step as [Step-420] in Example 4, oxidation is performed using dry oxygen gas containing hydrogen chloride in a state where the atmosphere is maintained at a temperature at which silicon atoms are not desorbed from the surface of the silicon layer. A silicon oxide film is formed on the surface of the silicon layer by the method, and this silicon oxide film is formed in the first region 50A of the processing chamber 50. Note that the ambient temperature in the first region 50A is controlled by the lamp 151A. At this time, the ambient temperature of the second region 50B of the processing chamber 50 is maintained at 400 ° C. by the lamp 151B. Thereafter, in a step similar to [Step-430] of Example 4, the dry oxygen gas containing hydrogen chloride into the processing chamber 50 is stopped and the inert gas is supplied into the processing chamber 50 while the processing chamber 50 is being supplied. The ambient temperature of the second region 50B is raised to a desired temperature by the lamp 151B, and the material to be processed is transferred to the second region 50B. Thereafter, in a step similar to [Step-440], the atmospheric temperature in the second region 50B of the processing chamber 50 is maintained at a desired temperature by the lamp 151B, and an oxidation method using wet gas is further performed. A silicon oxide film is formed. Thereafter, in the same process as [Process-450], the supply of the wet gas is stopped, and an inert gas (for example, nitrogen gas) is introduced into the process chamber 50 from the gas introduction unit 54, while the second in the process chamber 50. The ambient temperature in the region 50B is raised to 850 ° C. by the lamp 151B. Thereafter, an inert gas (for example, nitrogen gas) containing 0.1% by volume of hydrogen chloride is introduced into the processing chamber 50 from the gas introduction unit 54 and heat treatment is performed for 5 minutes. In place of the lamp in the silicon oxide film forming apparatus shown in FIG. 38, a resistance heater can be used as shown in FIG.
[0119]
Table 4 shows a step of forming a silicon oxide film on the surface of the silicon layer by an oxidation method using dry oxygen gas containing hydrogen chloride in a state where the atmosphere is maintained at a temperature at which silicon atoms are not desorbed from the surface of the silicon layer. The atmosphere in the step (shown as the first temperature raising step in Table 4) and the desired temperature are raised in the atmosphere and the ambient temperature in the step (shown as the first oxidation step in Table 4). In the held state, the atmosphere in the step of forming a silicon oxide film (represented as the second oxidation step in Table 4) by an oxidation method using a wet gas, and the formed silicon oxide film are subjected to heat treatment. The combination of the atmospheres in the process of raising the temperature of the atmosphere (denoted as the second temperature raising process in Table 4) is shown. In Table 4, a dry oxygen gas atmosphere containing hydrogen chloride is denoted as “* oxygen gas”, a wet gas atmosphere is denoted as “wet gas”, and a wet gas atmosphere containing a halogen element is denoted as “* wet gas”. The inert gas atmosphere was expressed as “inert gas”, and the inert gas atmosphere containing a halogen element was expressed as “* inert gas”. Here, combinations of various atmospheres shown in Table 4 are the silicon oxide film forming apparatuses shown in FIGS. 2, 12, 24 and 37, and the silicon oxide films shown in FIGS. 10, 11 and 38. It can be realized by a film forming apparatus, or a combination thereof, and further by the cluster tool apparatus shown in FIGS.
[0120]
[Table 4]
First oxidation step First temperature raising step Second oxidation step Second temperature raising step
* Oxygen gas Inert gas Wet gas Inert gas
* Oxygen gas Inert gas Wet gas * Inert gas
* Oxygen gas inert gas * Wet gas inert gas
* Oxygen gas Inert gas * Wet gas * Inert gas
* Oxygen gas * Inert gas Wet gas Inert gas
* Oxygen gas * Inert gas Wet gas * Inert gas
* Oxygen gas * Inert gas * Wet gas Inert gas
* Oxygen gas * Inert gas * Wet gas * Inert gas
* Oxygen gas Wet gas Wet gas Inert gas
* Oxygen gas Wet gas Wet gas * Inert gas
* Oxygen gas Wet gas * Wet gas Inert gas
* Oxygen gas Wet gas * Wet gas * Inert gas
* Oxygen gas * Wet gas Wet gas Inert gas
* Oxygen gas * Wet gas Wet gas * Inert gas
* Oxygen gas * Wet gas * Wet gas Inert gas
* Oxygen gas * Wet gas * Wet gas * Inert gas
[0121]
【The invention's effect】
In the method for forming a silicon oxide film of the present invention, first, since the silicon oxide film is formed while maintaining the atmosphere at a temperature at which silicon atoms are not desorbed from the surface of the silicon layer, the surface of the silicon layer has irregularities (roughness). It can be prevented from occurring. Therefore, it is possible to prevent the channel mobility from being lowered, the drive current of the MOS transistor element is hardly deteriorated, and the occurrence of the stress leak phenomenon that causes the deterioration of the data retention characteristic in the flash memory or the like can be suppressed. In addition, since the second stage silicon oxide film is formed by a wet oxidation method, a silicon oxide film having excellent characteristics can be formed. Furthermore, after the silicon oxide film that also functions as a protective film is already formed on the surface of the silicon layer, the temperature of the atmosphere is increased to a desired temperature, and further silicon oxide is oxidized by an oxidation method using a wet gas. Since the film is formed, unevenness (roughness) does not occur on the surface of the silicon layer in the temperature raising step, and a silicon oxide film having excellent characteristics can be formed. As a result, it is possible to form an extremely thin gate oxide film having excellent long-term reliability. Further, by performing a heat treatment after the formation of the silicon oxide film, a silicon oxide film having more excellent characteristics can be obtained.
[0122]
In addition, according to the method for forming a silicon oxide film according to the second aspect of the present invention, the atmospheric temperature of the first processing chamber and the second processing chamber may be maintained at a predetermined constant temperature. Not only can the temperature control be performed more accurately, the temperature stability in the processing chamber is excellent. Accordingly, the film thickness controllability of the silicon oxide film is excellent. In addition, the throughput during the formation of the silicon oxide film is not reduced.
[Brief description of the drawings]
FIG. 1 is a schematic partial cross-sectional view of a silicon semiconductor substrate and the like for explaining a method for forming a silicon oxide film of the present invention.
FIG. 2 is a schematic cross-sectional view of a vertical silicon oxide film forming apparatus suitable for carrying out the silicon oxide film forming method of the present invention.
3 is a schematic cross-sectional view of a silicon oxide film forming apparatus and the like for explaining a method for forming a silicon oxide film in Example 1. FIG.
4 is a schematic cross-sectional view of a silicon oxide film forming apparatus and the like for explaining a silicon oxide film forming method in Example 1 following FIG. 3. FIG.
FIG. 5 is a schematic cross-sectional view of a silicon oxide film forming apparatus and the like for explaining the silicon oxide film forming method in Example 1 following FIG. 4;
6 is a schematic cross-sectional view of a silicon oxide film forming apparatus and the like for explaining the silicon oxide film forming method in Example 1 following FIG. 5. FIG.
7 is a schematic cross-sectional view of a silicon oxide film forming apparatus and the like for explaining a method for forming a silicon oxide film in Example 3. FIG.
8 is a schematic cross-sectional view of a silicon oxide film forming apparatus and the like for explaining a silicon oxide film forming method in Example 3 following FIG. 7. FIG.
9 is a schematic cross-sectional view of a silicon oxide film forming apparatus and the like for explaining a silicon oxide film forming method in Example 3 following FIG. 8. FIG.
FIG. 10 is a schematic cross-sectional view of a silicon oxide film forming apparatus suitable for carrying out the silicon oxide film forming method of the present invention.
FIG. 11 is a schematic cross-sectional view of a silicon oxide film forming apparatus suitable for carrying out the silicon oxide film forming method of the present invention, which is slightly different in structure from FIG.
12 is a conceptual diagram of a vertical silicon oxide film forming apparatus suitable for carrying out Example 5. FIG.
13 is a schematic cross-sectional view of a portion including a first processing chamber of the vertical silicon oxide film forming apparatus shown in FIG.
14 is a schematic cross-sectional view of a portion including a second processing chamber of the vertical silicon oxide film forming apparatus shown in FIG.
15 is a schematic cross-sectional view of a silicon oxide film forming apparatus and the like for explaining a method for forming a silicon oxide film in Example 5. FIG.
FIG. 16 is a schematic cross-sectional view of a silicon oxide film forming apparatus and the like for explaining a silicon oxide film forming method in Example 5 following FIG. 15;
17 is a schematic cross-sectional view of a silicon oxide film forming apparatus and the like for explaining a silicon oxide film forming method in Example 5 following FIG. 16;
FIG. 18 is a schematic cross-sectional view of a silicon oxide film forming apparatus and the like for explaining a silicon oxide film forming method in Example 5 following FIG. 17;
FIG. 19 is a schematic cross-sectional view of a silicon oxide film forming apparatus and the like for explaining a silicon oxide film forming method in Example 5 following FIG. 18;
FIG. 20 is a schematic cross-sectional view of a silicon oxide film forming apparatus and the like for explaining a silicon oxide film forming method in Example 5 following FIG. 19;
FIG. 21 is a schematic cross-sectional view of a silicon oxide film forming apparatus and the like for explaining a silicon oxide film forming method in Example 5 following FIG. 20;
FIG. 22 is a schematic cross-sectional view of a silicon oxide film forming apparatus and the like for explaining a silicon oxide film forming method in Example 5 following FIG. 21;
FIG. 23 is a schematic cross-sectional view of a silicon oxide film forming apparatus and the like for explaining a silicon oxide film forming method in Example 5 following FIG.
24 is a conceptual diagram of a vertical silicon oxide film forming apparatus suitable for carrying out Example 6. FIG.
25 is a schematic cross-sectional view of a silicon oxide film forming apparatus and the like for explaining a method for forming a silicon oxide film in Example 6. FIG.
FIG. 26 is a schematic cross-sectional view of a silicon oxide film forming apparatus and the like for explaining a silicon oxide film forming method in Example 6 following FIG. 25;
27 is a schematic cross-sectional view of a silicon oxide film forming apparatus and the like for explaining a silicon oxide film forming method in Example 6 following FIG. 26;
FIG. 28 is a schematic cross-sectional view of a silicon oxide film forming apparatus and the like for explaining a silicon oxide film forming method in Example 6 following FIG. 27;
FIG. 29 is a schematic cross-sectional view of a silicon oxide film forming apparatus and the like for explaining a silicon oxide film forming method in Example 6 following FIG. 28;
30 is a schematic cross-sectional view of a silicon oxide film forming apparatus and the like for explaining a silicon oxide film forming method in Example 6 following FIG. 29;
FIG. 31 is a schematic cross-sectional view of a silicon oxide film forming apparatus and the like for explaining a silicon oxide film forming method in Example 6 following FIG. 30;
FIG. 32 is a schematic cross-sectional view of a silicon oxide film forming apparatus and the like for explaining a silicon oxide film forming method in Example 6 following FIG. 31;
FIG. 33 is a schematic cross-sectional view of a silicon oxide film forming apparatus and the like for explaining a silicon oxide film forming method in Example 6 following FIG. 32;
34 is a schematic cross-sectional view of a silicon oxide film forming apparatus and the like for explaining a silicon oxide film forming method in Example 6 following FIG. 33;
FIG. 35 is a conceptual diagram of a silicon oxide film forming apparatus suitable for implementing Example 7.
FIG. 36 is a schematic diagram of a cluster tool device.
37 is a schematic cross-sectional view of a vertical silicon oxide film forming apparatus slightly different from the vertical silicon oxide film forming apparatus shown in FIG.
38 is a schematic cross-sectional view of a silicon oxide film forming apparatus having a slightly different format from that of the silicon oxide film forming apparatus shown in FIG.
FIG. 39 is a schematic cross-sectional view of a silicon oxide film forming apparatus and the like for explaining a conventional silicon oxide film forming method.
40 is a schematic cross-sectional view of a silicon oxide film forming apparatus and the like for explaining a conventional silicon oxide film forming method, following FIG. 39;
FIG. 41 is a schematic cross-sectional view of a silicon oxide film forming apparatus and the like for explaining a conventional silicon oxide film forming method following FIG. 40;
[Explanation of symbols]
10, 110, 210 ... processing chamber, 11, 111, 211 ... gas flow path, 12, 112, 222 ... gas introduction part, 13, 113, 213 ... gas exhaust part, 14, 114 214, heater, 15, 115, 215 ... shutter, 16, 116, 216 ... soaking tube, 20 ... substrate loading / unloading section, 120, 120A, 120B, 301 ... transport path, 21, 121... Gas introduction part, 22, 122 ... gas exhaust part, 23, 123 ... elevator mechanism, 24, 124 ... quartz boat, 30, 130 ... combustion chamber, 31, 131 ... Piping, 40 ... Silicon semiconductor substrate, 41 ... Element isolation region, 42 ... Silicon oxide film, 50 ... Processing chamber, 51 ... Resistance heater, 51A, 151A, 151B ..Ramp, 52 ..Wafer table, 53... Gate valve, 54... Gas introduction unit, 55... Gas exhaust unit, 300. Furnace annealing equipment

Claims (28)

(イ)シリコン層の表面からシリコン原子が脱離しない温度に雰囲気を保持した状態にて、塩化水素を含む乾燥酸素ガスを用いた酸化法によって該シリコン層の表面にシリコン酸化膜を形成する工程と、
(ロ)雰囲気温度を所望の温度まで昇温する工程と、
(ハ)該所望の温度に雰囲気を保持した状態にて、湿式ガスを用いた酸化法によって、更にシリコン酸化膜を形成する工程、
から成り、
工程(ロ)における雰囲気は、不活性ガス雰囲気又は湿式ガスを含む酸化雰囲気であることを特徴とするシリコン酸化膜の形成方法。
(A) A step of forming a silicon oxide film on the surface of the silicon layer by an oxidation method using a dry oxygen gas containing hydrogen chloride in an atmosphere maintained at a temperature at which silicon atoms are not desorbed from the surface of the silicon layer. When,
(B) raising the ambient temperature to a desired temperature;
(C) a step of further forming a silicon oxide film by an oxidation method using a wet gas in an atmosphere maintained at the desired temperature;
Ri consists of,
A method for forming a silicon oxide film, wherein the atmosphere in step (b) is an inert gas atmosphere or an oxidizing atmosphere containing a wet gas .
工程(ロ)における不活性ガス雰囲気、又は、工程(ロ)における雰囲気中の湿式ガスにはハロゲン元素が含有されていることを特徴とする請求項1に記載のシリコン酸化膜の形成方法。2. The method for forming a silicon oxide film according to claim 1 , wherein the inert gas atmosphere in the step (b) or the wet gas in the atmosphere in the step (b) contains a halogen element. ハロゲン元素は塩素であることを特徴とする請求項2に記載のシリコン酸化膜の形成方法。 3. The method for forming a silicon oxide film according to claim 2 , wherein the halogen element is chlorine. 塩素は塩化水素の形態であり、不活性ガス中又は湿式ガス中に含有される塩化水素の濃度は0.02乃至10容量%であることを特徴とする請求項3に記載のシリコン酸化膜の形成方法。4. The silicon oxide film according to claim 3 , wherein chlorine is in the form of hydrogen chloride, and the concentration of hydrogen chloride contained in the inert gas or the wet gas is 0.02 to 10% by volume. Forming method. (イ)シリコン層の表面からシリコン原子が脱離しない温度に雰囲気を保持した状態にて、塩化水素を含む乾燥酸素ガスを用いた酸化法によって該シリコン層の表面にシリコン酸化膜を形成する工程と、
(ロ)雰囲気温度を所望の温度まで昇温する工程と、
(ハ)該所望の温度に雰囲気を保持した状態にて、湿式ガスを用いた酸化法によって、更にシリコン酸化膜を形成する工程、
から成り、
工程(ハ)の後、形成されたシリコン酸化膜に熱処理を施すことを特徴とするシリコン酸化膜の形成方法。
(A) A step of forming a silicon oxide film on the surface of the silicon layer by an oxidation method using a dry oxygen gas containing hydrogen chloride in an atmosphere maintained at a temperature at which silicon atoms are not desorbed from the surface of the silicon layer. When,
(B) raising the ambient temperature to a desired temperature;
(C) a step of further forming a silicon oxide film by an oxidation method using a wet gas in an atmosphere maintained at the desired temperature;
Consisting of
A method of forming a silicon oxide film, comprising performing a heat treatment on the formed silicon oxide film after the step (c).
熱処理の雰囲気は、ハロゲン元素を含有する不活性ガス雰囲気であることを特徴とする請求項5に記載のシリコン酸化膜の形成方法。6. The method of forming a silicon oxide film according to claim 5 , wherein the atmosphere of the heat treatment is an inert gas atmosphere containing a halogen element. ハロゲン元素は塩素であることを特徴とする請求項6に記載のシリコン酸化膜の形成方法。The method for forming a silicon oxide film according to claim 6 , wherein the halogen element is chlorine. 塩素は塩化水素の形態であり、不活性ガス中に含有される塩化水素の濃度は0.02乃至10容量%であることを特徴とする請求項7に記載のシリコン酸化膜の形成方法。8. The method for forming a silicon oxide film according to claim 7 , wherein chlorine is in the form of hydrogen chloride, and the concentration of hydrogen chloride contained in the inert gas is 0.02 to 10% by volume. 熱処理は700乃至950゜Cの温度で行われることを特徴とする請求項5に記載のシリコン酸化膜の形成方法。6. The method of forming a silicon oxide film according to claim 5 , wherein the heat treatment is performed at a temperature of 700 to 950.degree. 熱処理は炉アニール処理であることを特徴とする請求項9に記載のシリコン酸化膜の形成方法。The method for forming a silicon oxide film according to claim 9 , wherein the heat treatment is a furnace annealing treatment. 熱処理の雰囲気は、窒素系ガス雰囲気であることを特徴とする請求項5に記載のシリコン酸化膜の形成方法。6. The method for forming a silicon oxide film according to claim 5 , wherein the atmosphere of the heat treatment is a nitrogen-based gas atmosphere. 形成されたシリコン酸化膜に熱処理を施す際の雰囲気温度は、工程(ハ)においてシリコン酸化膜を形成する際の雰囲気温度よりも高いことを特徴とする請求項5に記載のシリコン酸化膜の形成方法。6. The formation of a silicon oxide film according to claim 5 , wherein an atmospheric temperature when the heat treatment is performed on the formed silicon oxide film is higher than an atmospheric temperature when the silicon oxide film is formed in the step (c). Method. 工程(ハ)におけるシリコン酸化膜の形成完了後、雰囲気をハロゲン元素を含有する不活性ガス雰囲気に切り替えた後、熱処理を施すための雰囲気温度まで昇温することを特徴とする請求項12に記載のシリコン酸化膜の形成方法。 13. The method according to claim 12 , wherein after the formation of the silicon oxide film in step (c) is completed, the atmosphere is switched to an inert gas atmosphere containing a halogen element, and then the temperature is raised to an ambient temperature for performing a heat treatment. Silicon oxide film forming method. ハロゲン元素は塩素であることを特徴とする請求項13に記載のシリコン酸化膜の形成方法。The method for forming a silicon oxide film according to claim 13 , wherein the halogen element is chlorine. 塩素は塩化水素の形態であり、不活性ガス中に含有される塩化水素の濃度は0.02乃至10容量%であることを特徴とする請求項14に記載のシリコン酸化膜の形成方法。15. The method for forming a silicon oxide film according to claim 14 , wherein chlorine is in the form of hydrogen chloride, and the concentration of hydrogen chloride contained in the inert gas is 0.02 to 10% by volume. (A)シリコン層を有する被処理材の該シリコン層にシリコン酸化膜を形成するための第1の処理室と、
(B)シリコン酸化膜を形成するための第2の処理室と、
(C)第1の処理室と第2の処理室とを結ぶ搬送路、
を備えたシリコン酸化膜成膜装置を用いたシリコン酸化膜の形成方法であって、
(イ)被処理材を第1の処理室に搬入し、シリコン層の表面からシリコン原子が脱離しない温度に第1の処理室内の雰囲気を保持した状態にて、塩化水素を含む乾燥酸素ガスを用いた酸化法によって該シリコン層の表面にシリコン酸化膜を形成する工程と、
(ロ)被処理材を、第1の処理室から搬送路を介して第2の処理室に搬入する工程と、
(ハ)第2の処理室にて、湿式ガスを用いた酸化法によって、更にシリコン酸化膜を形成する工程、
から成り、
前記工程(ロ)において、被処理材を、第1の処理室から大気に曝すことなく搬送路を介して第2の処理室に搬入することを特徴とするシリコン酸化膜の形成方法。
(A) a first processing chamber for forming a silicon oxide film on the silicon layer of the material to be processed having a silicon layer;
(B) a second processing chamber for forming a silicon oxide film;
(C) a conveyance path connecting the first processing chamber and the second processing chamber,
A silicon oxide film forming method using a silicon oxide film forming apparatus comprising:
(A) A dry oxygen gas containing hydrogen chloride in a state where the material to be processed is carried into the first processing chamber and the atmosphere in the first processing chamber is maintained at a temperature at which silicon atoms are not desorbed from the surface of the silicon layer. Forming a silicon oxide film on the surface of the silicon layer by an oxidation method using
(B) carrying the material to be processed from the first processing chamber into the second processing chamber via the transfer path;
(C) a step of further forming a silicon oxide film by an oxidation method using a wet gas in the second treatment chamber;
Ri consists of,
In the step (b), the material to be processed is carried into the second processing chamber through the transfer path without being exposed to the atmosphere from the first processing chamber .
前記工程(ロ)において、被処理材を第1の処理室から搬送路を介して第2の処理室に搬入する際の搬送路内の温度を、シリコン層にシリコン酸化膜を形成するときの第1の処理室内の雰囲気温度と略等しくすることを特徴とする請求項16に記載のシリコン酸化膜の形成方法。In the step (b), the temperature in the transfer path when the material to be processed is transferred from the first process chamber to the second process chamber via the transfer path is determined when the silicon oxide film is formed on the silicon layer. The method for forming a silicon oxide film according to claim 16 , wherein the temperature is set substantially equal to the atmospheric temperature in the first processing chamber. (A)シリコン層を有する被処理材の該シリコン層にシリコン酸化膜を形成するための第1の処理室と、
(B)シリコン酸化膜を形成するための第2の処理室と、
(C)第1の処理室と第2の処理室とを結ぶ搬送路、
を備えたシリコン酸化膜成膜装置を用いたシリコン酸化膜の形成方法であって、
(イ)被処理材を第1の処理室に搬入し、シリコン層の表面からシリコン原子が脱離しない温度に第1の処理室内の雰囲気を保持した状態にて、塩化水素を含む乾燥酸素ガスを用いた酸化法によって該シリコン層の表面にシリコン酸化膜を形成する工程と、
(ロ)被処理材を、第1の処理室から搬送路を介して第2の処理室に搬入する工程と、
(ハ)第2の処理室にて、湿式ガスを用いた酸化法によって、更にシリコン酸化膜を形成する工程、
から成り、
工程(ハ)の後、形成されたシリコン酸化膜に熱処理を施すことを特徴とするシリコン酸化膜の形成方法。
(A) a first processing chamber for forming a silicon oxide film on the silicon layer of the material to be processed having a silicon layer;
(B) a second processing chamber for forming a silicon oxide film;
(C) a conveyance path connecting the first processing chamber and the second processing chamber,
A silicon oxide film forming method using a silicon oxide film forming apparatus comprising:
(A) A dry oxygen gas containing hydrogen chloride in a state where the material to be processed is carried into the first processing chamber and the atmosphere in the first processing chamber is maintained at a temperature at which silicon atoms are not desorbed from the surface of the silicon layer. Forming a silicon oxide film on the surface of the silicon layer by an oxidation method using
(B) carrying the material to be processed from the first processing chamber into the second processing chamber via the transfer path;
(C) a step of further forming a silicon oxide film by an oxidation method using a wet gas in the second treatment chamber;
Consisting of
A method of forming a silicon oxide film, comprising performing a heat treatment on the formed silicon oxide film after the step (c).
熱処理の雰囲気は、ハロゲン元素を含有する不活性ガス雰囲気であることを特徴とする請求項18に記載のシリコン酸化膜の形成方法。19. The method for forming a silicon oxide film according to claim 18 , wherein the atmosphere of the heat treatment is an inert gas atmosphere containing a halogen element. ハロゲン元素は塩素であることを特徴とする請求項19に記載のシリコン酸化膜の形成方法。20. The method for forming a silicon oxide film according to claim 19 , wherein the halogen element is chlorine. 塩素は塩化水素の形態であり、不活性ガス中に含有される塩化水素の濃度は0.02乃至10容量%であることを特徴とする請求項20に記載のシリコン酸化膜の形成方法。21. The method for forming a silicon oxide film according to claim 20 , wherein chlorine is in the form of hydrogen chloride, and the concentration of hydrogen chloride contained in the inert gas is 0.02 to 10% by volume. 熱処理は700乃至950゜Cの温度で行われることを特徴とする請求項18に記載のシリコン酸化膜の形成方法。The method of forming a silicon oxide film according to claim 18 , wherein the heat treatment is performed at a temperature of 700 to 950 ° C. 熱処理は炉アニール処理であることを特徴とする請求項22に記載のシリコン酸化膜の形成方法。The method for forming a silicon oxide film according to claim 22 , wherein the heat treatment is a furnace annealing treatment. 熱処理の雰囲気は、窒素系ガス雰囲気であることを特徴とする請求項18に記載のシリコン酸化膜の形成方法。19. The method for forming a silicon oxide film according to claim 18 , wherein the atmosphere for the heat treatment is a nitrogen-based gas atmosphere. 形成されたシリコン酸化膜に熱処理を施す際の雰囲気温度は、工程(ハ)においてシリコン酸化膜を形成する際の雰囲気温度よりも高いことを特徴とする請求項18に記載のシリコン酸化膜の形成方法。19. The formation of a silicon oxide film according to claim 18 , wherein an atmospheric temperature when the heat treatment is performed on the formed silicon oxide film is higher than an atmospheric temperature when the silicon oxide film is formed in the step (c). Method. 第2の処理室において、工程(ハ)におけるシリコン酸化膜の形成完了後、雰囲気をハロゲン元素を含有する不活性ガス雰囲気に切り替えた後、熱処理を施すための雰囲気温度まで昇温することを特徴とする請求項25に記載のシリコン酸化膜の形成方法。In the second treatment chamber, after the formation of the silicon oxide film in step (c) is completed, the atmosphere is switched to an inert gas atmosphere containing a halogen element, and then the temperature is raised to an atmospheric temperature for performing heat treatment. The method for forming a silicon oxide film according to claim 25 . ハロゲン元素は塩素であることを特徴とする請求項26に記載のシリコン酸化膜の形成方法。 27. The method of forming a silicon oxide film according to claim 26 , wherein the halogen element is chlorine. 塩素は塩化水素の形態であり、不活性ガス中に含有される塩化水素の濃度は0.02乃至10容量%であることを特徴とする請求項27に記載のシリコン酸化膜の形成方法。28. The method of forming a silicon oxide film according to claim 27 , wherein chlorine is in the form of hydrogen chloride, and the concentration of hydrogen chloride contained in the inert gas is 0.02 to 10% by volume.
JP16375997A 1997-06-20 1997-06-20 Method for forming silicon oxide film Expired - Fee Related JP3952542B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP16375997A JP3952542B2 (en) 1997-06-20 1997-06-20 Method for forming silicon oxide film

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP16375997A JP3952542B2 (en) 1997-06-20 1997-06-20 Method for forming silicon oxide film

Publications (2)

Publication Number Publication Date
JPH1116901A JPH1116901A (en) 1999-01-22
JP3952542B2 true JP3952542B2 (en) 2007-08-01

Family

ID=15780170

Family Applications (1)

Application Number Title Priority Date Filing Date
JP16375997A Expired - Fee Related JP3952542B2 (en) 1997-06-20 1997-06-20 Method for forming silicon oxide film

Country Status (1)

Country Link
JP (1) JP3952542B2 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080096395A1 (en) * 2004-08-31 2008-04-24 Tadashi Terasaki Producing Method of Semiconductor Device

Also Published As

Publication number Publication date
JPH1116901A (en) 1999-01-22

Similar Documents

Publication Publication Date Title
US6297172B1 (en) Method of forming oxide film
US6589349B2 (en) Apparatus for forming silicon oxide film and method of forming silicon oxide film
US6797323B1 (en) Method of forming silicon oxide layer
US8123858B2 (en) Manufacturing method of semiconductor device and substrate processing apparatus
JP2000332009A (en) Method of forming insulating film and manufacture of p-type semiconductor element
JPH11162970A (en) Method of formation of oxide film
JP4403321B2 (en) Method for forming oxide film and method for manufacturing p-type semiconductor element
JPH11204517A (en) Forming method of silicon oxide film and silicon oxide film forming equipment
JPH11186255A (en) Method of forming silicon oxide film
JP3757566B2 (en) Silicon oxide film forming method and oxide film forming apparatus
JP3952542B2 (en) Method for forming silicon oxide film
JPH11135492A (en) Method and device for forming silicon oxide film
JP3800788B2 (en) Method for forming silicon oxide film
JPH11186248A (en) Silicon oxide film forming method and equipment
JP3588994B2 (en) Method of forming oxide film and method of manufacturing p-type semiconductor device
JP2000068266A (en) Method for forming oxide film
JPH11297689A (en) Heat treatment of silicon insulating film and manufacture of semiconductor device
JPH09153489A (en) Manufacture of semiconductor device
JP2000216156A (en) Formation of silicon nitride oxide film and manufacture of p type semiconductor element
JPH1174264A (en) Formation of silicon oxide film
JPH10289905A (en) Formation of silicon oxide film
JP2001127280A (en) Method for manufacturing semiconductor device and p- channel type semiconductor device
JPH1167749A (en) Formation of silicon oxide film and drying/film forming device
JPH10284484A (en) Formation of silicon oxide film
JP2000340670A (en) Insulating film and formation thereof

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20050303

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20061010

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20061120

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20070410

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20070423

LAPS Cancellation because of no payment of annual fees