JP3714924B2 - プラズマ処理装置 - Google Patents

プラズマ処理装置 Download PDF

Info

Publication number
JP3714924B2
JP3714924B2 JP2002203106A JP2002203106A JP3714924B2 JP 3714924 B2 JP3714924 B2 JP 3714924B2 JP 2002203106 A JP2002203106 A JP 2002203106A JP 2002203106 A JP2002203106 A JP 2002203106A JP 3714924 B2 JP3714924 B2 JP 3714924B2
Authority
JP
Japan
Prior art keywords
metal plate
dielectric
processing apparatus
plasma
plasma processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2002203106A
Other languages
English (en)
Other versions
JP2004047730A (ja
Inventor
俊明 本郷
直樹 松本
地塩 輿水
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2002203106A priority Critical patent/JP3714924B2/ja
Priority to TW092115546A priority patent/TWI242396B/zh
Priority to US10/458,239 priority patent/US7018506B2/en
Priority to KR1020030046711A priority patent/KR100565131B1/ko
Publication of JP2004047730A publication Critical patent/JP2004047730A/ja
Application granted granted Critical
Publication of JP3714924B2 publication Critical patent/JP3714924B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/02Arrangements for confining plasma by electric or magnetic fields; Arrangements for heating plasma
    • H05H1/16Arrangements for confining plasma by electric or magnetic fields; Arrangements for heating plasma using externally-applied electric and magnetic fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Optics & Photonics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は、半導体ウェハやガラス基板等のプラズマ処理装置に関し、特に、誘導結合プラズマ(Inductively Coupled Plasma 又は Inductive Current Plasma)処理装置に関する。
【0002】
【従来の技術】
誘導結合プラズマ処理装置は、大気側に配置した高周波誘導アンテナによる電磁界により、減圧室に導入されたガスをプラズマ化し、被処理物表面の成膜、エッチング等の処理を行うものである。
【0003】
従来のプラズマ処理装置30は、図8(a)に示すように、例えば減圧処理室1と減圧処理室1外に配置した誘導アンテナ5を備える。減圧処理室1は、通常アルミで形成され、半導体ウェハやガラス基板などの被処理体3が載置されるサセプタ2を備え、ガス導入管、排気管等(図示せず)を備える。また、サセプタ3は、必要に応じて高周波バイアスのための高周波バイアス電源11に接続されている。誘導アンテナ5は渦巻状に形成され、プラズマPを生成するための高周波電源6に接続される。誘導アンテナ5と減圧処理室1との間に設けられる、減圧側と大気側とを画す隔板はアルミナ、石英ガラスなどの誘電板4で構成されている。
【0004】
このように構成されるプラズマ処理装置10においては、減圧処理室1のサセプタ2に被処理体3を載置し、減圧処理室1を排気して所定の圧力に保ち、その後ガス導入管により減圧処理室1にガスを導入し、誘導アンテナ5に電流を流して生じる電磁界によりそのガスをプラズマPにして、エッチング、CVD等の処理を被処理体3に対して行うものである。
【0005】
【発明が解決しようとする課題】
このようなプラズマ処理装置10にあっては、減圧処理室1に有効な電磁界を発生させるために、誘導アンテナ5が配置される壁面又は窓に隔板として誘電板4を用いられていた。しかし、誘電板4の大気側と減圧処理室側とでは大きな電位差が生じ、誘電板4の減圧処理室側の面にはプラズマによるイオンが衝突する、いわゆるイオンアタックが起こり、誘電板4が損傷することがあり、また誘電板4がスパッタされることにより、不要な汚染物質が処理室に放出される等の問題があった。
【0006】
また、大面積の被処理物の表面処理に必要な大面積プラズマを形成する場合には、減圧処理室及び誘導アンテナの形状も大きくする必要があり、これにあわせて誘電板も大きなものが必要となるが、大面積の誘電板の製造加工は困難であり、強度の問題もあった。
【0007】
本発明は、前記問題点に鑑み、誘電板へのイオンアタックによる汚染が防止できる強固で製造容易な隔板とこれを用いたプラズマ処理装置を提供することを目的とする。
【0008】
【課題を解決するための手段】
本発明は、前記目的を達成するために、プラズマ処理装置の誘導アンテナと減圧処理室を隔てる第1の隔板を、両面を貫通する空隙を誘電体で塞いだ非磁性金属板で構成し、非磁性金属板の占める面積を誘電体の占める面積より大きくし、さらに、非磁性金属板に複数の孔を設けた第2の隔板を用い、第1の隔板と第2の隔板とを所定の間隔をあけて配置することにより、処理ガスを被処理体に向けるシャワーヘッド構造を有する隔板として利用するようにした。
【0009】
このようにすれば、隔板を非磁性金属板で構成できるので、製造が容易で、強度も高く、また、サセプタへの高周波バイアスを容易にかけることができる。また、非処理物に対するシャワー構造を簡易に構成できる。
【0010】
さらにまた、本発明によれば、両面を貫通する空隙を誘電体で塞いだ隔板を用いた減圧処理室にプラズマ着火手段を設けることにより、安定したプラズマ着火を行うことができる。
【0011】
【発明の実施の形態】
以下、図面を参照して、本発明の実施の形態を説明する。
図1(a)に、本発明の実施形態であるプラズマ処理装置を示し、図2(b)に、本発明の実施形態に用いる誘導アンテナの一例を示す。
なお、各図を通して、同一の部材には同一の符号を付した。
【0012】
本発明の実施形態であるプラズマ処理装置10は、従来のものと同様に、半導体ウェハやガラス基板などの被処理体3が載置されるサセプタ2を備える減圧処理室1と、サセプタ2に対向して大気側に配置した高周波電源6に接続される渦巻状の誘導アンテナ5を備え、必要により高周波バイアス電源11からサセプタ2に高周波バイアスを与えて動作させる。
図2(b)には、角型の渦巻状アンテナの一例を示したが、通常渦巻状アンテナの外形は被処理物ないし処理室の形状に対応するもので、半導体ウェハの処理に対しては、渦巻状のアンテナの外形は円形状となる。
【0013】
本例では、大気側に誘導アンテナ5が配置された隔板7をアルミのような非磁性金属板71とし、誘電体72を組み合わせて用い、また着火手段としてプラズマトーチ9を備えるものである。
図2に、本例の隔板7の一例を示す。隔板7は、非磁性金属板71と非磁性金属板71の中心から周縁部に設けられたスリットに嵌合した誘電体72とからなる。
【0014】
図3に、図2の隔板の部分断面図を示す。
誘電体72は、断面T字状に形成され、非磁性金属板71のスリットの下部に設けられた突出部にOリングを介して保持される。誘電体72は、スリットに対してOリングを介して嵌め込むだけで、圧力差により押圧固定されるものである。
【0015】
また、図4に示すように、非磁性金属板71に図3に示したスリットを複数たとえば4本、対称性を持たせて設けて誘電体72を配置すれば、さらに渦電流の発生を少なくすることができる。
【0016】
本発明の隔板7は、誘電体でスリットを埋めた非磁性金属板71で構成されるから、誘電板で製作するより容易に製作でき、強度も高く、かつファラデーシールドの効果も大きい。さらに、アルミのような非磁性金属板にイオンが衝突しても誘電体とは異なり不純物がスパッタされることもない。さらに、誘電体が設けられたスリットはアンテナに直交して設けられているから、線状の誘電体で渦電流の発生を防止することができ、渦電流の発生による電磁界エネルギーの消耗を有効に防ぐことができる。
【0017】
なお、誘導アンテナ5と金属板71との接触を回避するためにガラス等の絶縁部材8を介在させているが、これは接触防止のためのスペーサであり、その形状配置は適宜選択することができる。
【0018】
現在、半導体ウェハやガラス基板は大型化し、その処理のための減圧処理室及び誘導アンテナも大型化している。大型化した誘導アンテナを、一本の導線を巻回して作成すると、インダクタンス成分が大きくなり大電圧が必要となる。これを回避するために種々の分割アンテナが提案されているが、図5に示すような4分割アンテナ51〜54を使用する場合には、隔板である非磁性金属板に設ける誘電体は、図6に示すように配置すればよい。このように構成すると各アンテナに直交する部分が存在して有効に渦電流の発生を防止できる。
【0019】
なお、この場合も非磁性金属板に設けるスリットおよびスリットに嵌合する誘電体は図3に示したものと同じでよいが、一般には、誘電体ないしスリットの形状配置等については、誘電体部分の面積が金属板の面積より大きくならない範囲で、適宜設計選択できる。
【0020】
このようにサセプタ3に対向する面が金属板で構成されれば、たとえばエッチング処理における、高周波電源11によってサセプタに印加される高周波バイアスをかけやすくなり、従来より少ない電力の使用で従来と同等の処理が可能になる。
【0021】
ところで、このようにサセプタ3に対向する面がほぼ金属板で構成されると、プラズマ着火が起こりにくくなる。これは、プラズマ処理装置プラズマ着火時には、誘導アンテナ5とサセプタ2とが平行平板モードで動作して着火するものであるが、本例の場合、誘導アンテナ5とサセプタ2間には金属板71が介在して、誘導アンテナ5とサセプタ2とが平行平板モードにならず必要な電界が得られないからである。
【0022】
このような場合に備えて、プラズマ処理室にプラズマ着火手段としてプラズマトーチが設けられる。プラズマトーチは、通常多重管の中心部にガスを供給し、管の外側に巻回した高周波アンテナの誘導電流でプラズマ化してプラズマ炎を吹き出すもので、本発明のプラズマ発生装置においても公知のプラズマトーチを適宜使用できる。
【0023】
このように、プラズマトーチによりプラズマの着火を行うものであるから、本例のように大半が金属板の隔板を採用しても、プラズマ着火が行えないということはなくなる。なお、プラズマが一旦生成されれば、プラズマトーチを使用することなく誘導アンテナ5による高周波誘導電磁界でプラズマを維持拡大できる。
【0024】
なお、スパークプラグもプラズマ着火手段として用いることができるが、スパーク時に不純物が発生する恐れがあり、プラズマ着火手段としてはプラズマトーチの使用が好適である。
【0025】
図7に、本発明の第2の実施形態であるプラズマ処理装置20を示す。図7に示したプラズマ処理装置20は、第1の実施形態であるプラズマ処理装置10の隔板を二重構造とし、サセプタに対向する側の隔板の金属板部分に多数のガス孔を設け、隔板間の空間にガスを供給するようにしたものである。
【0026】
すなわち非磁性金属板71に誘電体72を嵌着した隔板7の前面すなわち減圧処理室側に、わずかな間隙を介して金属板121に誘電体122を嵌着した隔板12であって、金属板121に多数の小孔123が設けられたものを配置し、ガス供給管13を隔板7と隔板12の間の空間に向けて配置する。
【0027】
このように構成して、ガス供給管から処理ガスを供給すると、減圧処理室1に対して、処理ガスを小孔123からシャワー状に噴きださせてプラズマ化して、被処理物に均一に作用させることができる。これは、サセプタに対向する面が加工しやすい金属となることに着目してなされたものであって、被処理物に対するガスのシャワー構造を簡易に構成できる。
【0028】
【発明の効果】
本発明によると、誘導アンテナと減圧処理室の間の隔板を空隙を誘電体で塞いだ非磁性金属としたので、製造が容易で強度が高く、かつイオンアタックを避けることができる。また、誘電体により、金属板に誘導される渦電流の発生を防ぐことができる。
減圧処理室にプラズマ着火手段を設けると、隔板を非磁性の金属板で構成したとしても、安定したプラズマ着火を行うことができる。
【0029】
隔板の非磁性金属板に多数の孔を設けたものを用いれば、処理ガスを被処理体に向けるシャワー構造を有する隔板として利用することができる。
また、サセプタに対向する面が金属板となるので、サセプタに高周波バイアスをかけやすくなり、従来より少ない電力の使用で従来と同等の処理が可能になる。
【図面の簡単な説明】
【図1】(a)は、本発明の第1の実施形態であるプラズマ処理装置を示す図である。(b)は、本発明の実施形態の誘導アンテナを示す図である。
【図2】本発明の実施形態の非磁性金属と誘電体とからなる隔板の一例を示す図である。
【図3】図2に示す隔板の部分断面図である。
【図4】本発明の実施形態の非磁性金属と誘電体とからなる隔板の一例を示す図である。
【図5】本発明の実施形態の分割誘導アンテナの例を示す図である。
【図6】本発明の実施形態の分割誘導アンテナに対する非磁性金属と誘電体とからなる隔板の一例を示す図である。
【図7】本発明の第2の実施形態であるプラズマ処理装置を示す図である。
【図8】従来のプラズマ処理装置を示す図である。
【符号の説明】
1…減圧処理室
2…サセプタ
3…被処理物
5…誘導アンテナ
6…高周波電源
7…隔板
71…非磁性金属板
72…誘電体
8…絶縁部材
9…プラズマトーチ
12…隔板
121…非磁性金属板
122…誘電体
123…小孔
13…ガス供給管

Claims (7)

  1. 内部に被処理体を配置可能な減圧処理室と、
    両面を貫通する空隙を有する非磁性金属板と前記空隙を塞ぐ誘電体とからなり、前記非磁性金属板の占める面積が前記誘電体の占める面積より大きく、前記減圧処理室の一部を構成する第1の隔板と、
    前記第1の隔板を介して前記処理室の外側に配置された高周波誘導アンテナと、
    前記第1の隔板と間隙を隔てて配置され、両面を貫通する空隙を有する非磁性金属板と前記空隙を塞ぐ誘電体とからなり、前記非磁性金属板の占める面積が前記誘電体の占める面積より大きく、かつ前記非磁性金属板に多数の小孔を設けた第2の隔板とを備え、
    前記第1の隔板と第2の隔板とで、前記間隙をガス通路とするシャワーヘッドを構成することを特徴とするプラズマ処理装置。
  2. 前記減圧処理室にプラズマ着火手段を備える請求項1に記載のプラズマ処理装置。
  3. 前記非磁性金属板の前記空隙はスリットであって、該スリットに前記誘電体が嵌合する請求項1又は2のいずれか1項に記載のプラズマ処理装置。
  4. 前記誘電体が前記誘導アンテナと直交する向きに設けられた請求項3に記載のプラズマ処理装置。
  5. 前記誘電体は、前記非磁性金属板の複数個所に設けられる請求項1〜4のいずれか1項に記載のプラズマ処理装置。
  6. 前記誘導アンテナが複数配置される請求項1〜5のいずれか1項に記載のプラズマ処理装置。
  7. 前記誘導アンテナと非磁性金属板との間に絶縁部材が配置される請求項1〜6のいずれか1項に記載のプラズマ処理装置。
JP2002203106A 2002-07-11 2002-07-11 プラズマ処理装置 Expired - Lifetime JP3714924B2 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2002203106A JP3714924B2 (ja) 2002-07-11 2002-07-11 プラズマ処理装置
TW092115546A TWI242396B (en) 2002-07-11 2003-06-09 Plasma processing apparatus
US10/458,239 US7018506B2 (en) 2002-07-11 2003-06-11 Plasma processing apparatus
KR1020030046711A KR100565131B1 (ko) 2002-07-11 2003-07-10 플라즈마 처리 장치

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2002203106A JP3714924B2 (ja) 2002-07-11 2002-07-11 プラズマ処理装置

Publications (2)

Publication Number Publication Date
JP2004047730A JP2004047730A (ja) 2004-02-12
JP3714924B2 true JP3714924B2 (ja) 2005-11-09

Family

ID=30112658

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002203106A Expired - Lifetime JP3714924B2 (ja) 2002-07-11 2002-07-11 プラズマ処理装置

Country Status (4)

Country Link
US (1) US7018506B2 (ja)
JP (1) JP3714924B2 (ja)
KR (1) KR100565131B1 (ja)
TW (1) TWI242396B (ja)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20060073737A (ko) * 2004-12-24 2006-06-29 삼성전자주식회사 플라즈마 장치
KR100720988B1 (ko) * 2006-03-10 2007-05-28 위순임 매설된 유도 안테나를 구비하는 플라즈마 처리 챔버
EP2087778A4 (en) 2006-08-22 2010-11-17 Mattson Tech Inc INDUCTIVE PLASMA SOURCE WITH HIGH COUPLING EFFICIENCY
US8992725B2 (en) 2006-08-28 2015-03-31 Mattson Technology, Inc. Plasma reactor with inductie excitation of plasma and efficient removal of heat from the excitation coil
US8216418B2 (en) * 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
US8152954B2 (en) 2007-10-12 2012-04-10 Lam Research Corporation Showerhead electrode assemblies and plasma processing chambers incorporating the same
US8187414B2 (en) * 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
US8187413B2 (en) * 2008-03-18 2012-05-29 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
JP5479867B2 (ja) * 2009-01-14 2014-04-23 東京エレクトロン株式会社 誘導結合プラズマ処理装置
KR101456810B1 (ko) * 2010-09-27 2014-10-31 베이징 엔엠씨 씨오., 엘티디. 플라즈마 가공 설비
KR101254261B1 (ko) * 2010-12-16 2013-04-17 엘아이지에이디피 주식회사 유도 결합형 플라즈마 처리장치
KR101254264B1 (ko) * 2010-12-17 2013-04-17 엘아이지에이디피 주식회사 유도 결합형 플라즈마 처리장치
JP5727281B2 (ja) 2011-04-21 2015-06-03 東京エレクトロン株式会社 誘導結合プラズマ処理装置
JP5638449B2 (ja) * 2011-04-21 2014-12-10 東京エレクトロン株式会社 誘導結合プラズマ処理装置
US10224182B2 (en) 2011-10-17 2019-03-05 Novellus Systems, Inc. Mechanical suppression of parasitic plasma in substrate processing chamber
US20130220975A1 (en) * 2012-02-27 2013-08-29 Rajinder Dhindsa Hybrid plasma processing systems
US9484233B2 (en) 2012-04-13 2016-11-01 Novellus Systems, Inc. Carousel reactor for multi-station, sequential processing systems
JP6084784B2 (ja) * 2012-06-14 2017-02-22 東京エレクトロン株式会社 プラズマ処理装置、プラズマ生成装置、アンテナ構造体、及びプラズマ生成方法
KR101775751B1 (ko) * 2012-11-14 2017-09-06 도쿄엘렉트론가부시키가이샤 유도 결합 플라즈마 처리 장치
JP6261220B2 (ja) * 2013-02-18 2018-01-17 東京エレクトロン株式会社 誘導結合プラズマ処理装置
US9449795B2 (en) 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
KR101582838B1 (ko) * 2013-08-23 2016-01-12 니신 일렉트릭 컴패니 리미티드 플라즈마 처리장치
WO2017119326A1 (ja) * 2016-01-05 2017-07-13 株式会社Helix 渦水流発生器、水プラズマ発生装置、分解処理装置、分解処理装置搭載車両及び分解処理方法
KR101896102B1 (ko) 2017-09-28 2018-09-06 주식회사 세일매트릭스 매스틱 아스팔트 포장용 혼합물 및 그 제조방법
JP7232410B2 (ja) * 2019-03-20 2023-03-03 日新電機株式会社 プラズマ処理装置

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3372244B2 (ja) 1994-12-05 2003-01-27 東京エレクトロン株式会社 プラズマ処理装置
JP3150056B2 (ja) 1995-10-19 2001-03-26 東京エレクトロン株式会社 プラズマ処理装置
JPH09251935A (ja) * 1996-03-18 1997-09-22 Applied Materials Inc プラズマ点火装置、プラズマを用いる半導体製造装置及び半導体装置のプラズマ点火方法
EP0805475B1 (en) * 1996-05-02 2003-02-19 Tokyo Electron Limited Plasma processing apparatus
JP4193255B2 (ja) 1998-12-01 2008-12-10 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
EP1147242A4 (en) 1998-12-30 2007-05-02 Tokyo Electron Ltd LARGE PLASMA SOURCE
JP3609985B2 (ja) 1999-05-13 2005-01-12 東京エレクトロン株式会社 誘導結合プラズマ処理装置
US6422173B1 (en) * 2000-06-30 2002-07-23 Lam Research Corporation Apparatus and methods for actively controlling RF peak-to-peak voltage in an inductively coupled plasma etching system
JP3662212B2 (ja) 2001-09-25 2005-06-22 東京エレクトロン株式会社 プラズマ処理装置

Also Published As

Publication number Publication date
TWI242396B (en) 2005-10-21
US20040007182A1 (en) 2004-01-15
TW200406136A (en) 2004-04-16
KR100565131B1 (ko) 2006-03-30
JP2004047730A (ja) 2004-02-12
US7018506B2 (en) 2006-03-28
KR20040007301A (ko) 2004-01-24

Similar Documents

Publication Publication Date Title
JP3714924B2 (ja) プラズマ処理装置
US6679981B1 (en) Inductive plasma loop enhancing magnetron sputtering
US7854213B2 (en) Modulated gap segmented antenna for inductively-coupled plasma processing system
JP5309179B2 (ja) 均一なプロセス速度を生成するためのプラズマ処理装置及び結合窓構成
US10090134B2 (en) Plasma reactor with inductive excitation of plasma and efficient removal of heat from the excitation coil
KR101094124B1 (ko) 균일한 프로세스 레이트를 발생시키는 안테나
TWI422287B (zh) Plasma processing device
EP1412963B1 (en) Antenna arrangement and plasma processing apparatus with such an arrangement
TW201448032A (zh) 等離子體處理裝置
KR100842947B1 (ko) 플라즈마 처리 방법 및 플라즈마 처리 장치
JPH04279044A (ja) 試料保持装置
JP6001963B2 (ja) プラズマ処理装置、プラズマ生成装置、アンテナ構造体及びプラズマ生成方法
KR20070101067A (ko) 복합 플라즈마 소스 및 이를 이용한 가스 분리 방법
KR100743842B1 (ko) 자속 채널에 결합된 플라즈마 챔버를 구비한 플라즈마반응기
KR100603286B1 (ko) 다중심축을 가지는 안테나와, 이를 채용한 유도 결합형플라즈마 발생 장치
JPH05271968A (ja) プラズマエッチング装置

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20040618

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050308

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050506

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20050726

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20050823

R150 Certificate of patent or registration of utility model

Ref document number: 3714924

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110902

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110902

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140902

Year of fee payment: 9

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term