JP3330554B2 - エッチング方法 - Google Patents

エッチング方法

Info

Publication number
JP3330554B2
JP3330554B2 JP01791699A JP1791699A JP3330554B2 JP 3330554 B2 JP3330554 B2 JP 3330554B2 JP 01791699 A JP01791699 A JP 01791699A JP 1791699 A JP1791699 A JP 1791699A JP 3330554 B2 JP3330554 B2 JP 3330554B2
Authority
JP
Japan
Prior art keywords
etching
gas
organic
film
component
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP01791699A
Other languages
English (en)
Other versions
JP2000216135A (ja
Inventor
信雄 青井
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Corp
Panasonic Holdings Corp
Original Assignee
Panasonic Corp
Matsushita Electric Industrial Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=11957092&utm_source=***_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JP3330554(B2) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Panasonic Corp, Matsushita Electric Industrial Co Ltd filed Critical Panasonic Corp
Priority to JP01791699A priority Critical patent/JP3330554B2/ja
Publication of JP2000216135A publication Critical patent/JP2000216135A/ja
Application granted granted Critical
Publication of JP3330554B2 publication Critical patent/JP3330554B2/ja
Priority to US10/643,896 priority patent/US20040084413A1/en
Priority to US11/455,800 priority patent/US20060226121A1/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、エッチング方法に
関し、特に、有機成分を主成分とする有機膜からなる層
間絶縁膜、又は有機成分及びシリカ成分を主成分とする
有機無機複合膜からなる層間絶縁膜に対して行なう異方
性エッチングに関する。
【0002】
【従来の技術】半導体集積回路の高集積化の進展に伴
い、金属配線同士の間の寄生容量である配線間容量の増
加に起因する配線遅延時間の増大が半導体集積回路の高
性能化の妨げとなっている。配線遅延時間は金属配線の
抵抗と配線間容量との積に比例するいわゆるRC遅延と
いわれるものである。
【0003】従って、配線遅延時間を低減するために
は、金属配線の抵抗を小さくするか又は配線間容量を小
さくすることが必要である。
【0004】配線間容量を小さくする方法としては、金
属配線同士の間に形成される層間絶縁膜の比誘電率を小
さくすることが考えられ、層間絶縁膜として従来のシリ
コン酸化膜とは異なる材料を用いることが検討されてい
る。
【0005】0.25μmの最小加工寸法を有する半導
体集積回路では、層間絶縁膜としてシリコン酸化膜にフ
ッ素が添加されてなるフッ素添加シリコン酸化膜が用い
られつつある。フッ素添加シリコン酸化膜の比誘電率
は、3.3〜3.9程度であって、従来のシリコン酸化
膜の4.2〜4.5に比べて小さいので、配線間容量の
低減ひいては配線遅延時間の低減に効果的であると報告
されている。
【0006】ところが、半導体集積回路の微細化がさら
に進展することは明らかであり、最小加工寸法が0.1
3μm以下の半導体集積回路では、比誘電率が3.0以
下の層間絶縁膜を用いることが、実用的な処理速度を実
現するためには必須であると考えられている。
【0007】そこで、比誘電率がフッ素添加シリコン酸
化膜よりも一層小さい層間絶縁膜として、低誘電率SO
G(スピンオングラス)膜、有機膜及び多孔質膜の検討
が行われている。現在知られている層間絶縁膜を材料物
性の観点から検討すると、有機膜は比誘電率が小さいの
で有望である。
【0008】有機膜の中でもパーフルオロカーボンポリ
マーは、フッ素−炭素結合を有しているで、比誘電率が
最も小さい材料である。パーフルオロカーボンポリマー
の比誘電率は最小のもので1.9程度である。
【0009】パーフルオロカーボンを形成する代表的な
方法として、プラズマCVDによる堆積方法が報告され
ている。パーフルオロカーボンを材料としてプラズマC
VDにより形成される有機膜は、一般的にアモルファス
フルオロカーボン(a−CF)膜と呼ばれることが多
い。
【0010】また、有機膜の耐熱性及び密着性を改善す
るために、有機成分とシリカ成分との共重合体からなる
有機無機複合膜の検討が行なわれている。
【0011】
【発明が解決しようとする課題】ところが、有機成分を
含有する有機膜及び有機成分及びシリカ成分を主成分と
する有機無機複合膜は、非常に酸化されやすいため、後
に行なわれる熱処理工程において脱ガスが発生するとい
う問題がある。具体的には、有機成分を含有する有機含
有膜に対するパターニングは、通常、酸素ガスを主成分
とするエッチングガスを用いる反応性イオンエッチング
により行なわれるが、有機含有膜は酸素に対して反応性
が高いため、エッチングの際に酸素による膜質の劣化が
生じる。すなわち、プラズマ中に発生した活性な酸素ラ
ジカルにより有機含有膜が酸化されて不安定なカルボニ
ル化合物が生成され、生成されたカルボニル化合物が有
機含有膜中に取り込まれるため、後に行なわれる熱処理
工程において、有機含有膜中のカルボニル化合物が熱分
解するので、有機含有膜からガスが発生する。有機含有
膜からガスが発生すると、パターン化された有機含有膜
の凹部に金属膜を充填する際に、金属膜に充填不良が発
生するので、接続抵抗が増大するという問題がある。
【0012】そこで、酸素ガスを主成分とするエッチン
グガスに代えて、窒素ガス及び水素ガスを主成分とする
エッチングガスを用いることが検討されているが、この
場合においてエッチングの異方性を高めるためには、−
50℃程度という低温でのエッチングが必要になるとい
う新たな問題が発生する。
【0013】また、有機成分及びシリカ成分を主成分と
する有機無機複合膜に対して、窒素ガス及び水素ガスを
主成分とするエッチングガスを用いる場合には、シリカ
成分に対するエッチングが困難であるため、エッチング
残渣及びパーティクルが発生するという新たな問題も発
生する。
【0014】前記に鑑み、本発明は、有機成分を主成分
とする有機膜からなる層間絶縁膜、又は有機成分及びシ
リカ成分を主成分とする有機無機複合膜からなる層間絶
縁膜に対して、層間絶縁膜を酸化させることなく異方性
エッチングが行なえるようにすることを目的とする。
【0015】
【課題を解決するための手段】前記の目的を達成するた
め、本発明に係る第1のエッチング方法は、有機成分を
主成分とする有機膜からなる層間絶縁膜に対して、アン
モニアガスを主成分とするエッチングガスからなるプラ
ズマを用いて異方性エッチングを行なうものである。
【0016】第1のエッチング方法によると、アンモニ
アガスからなるプラズマ中において活性な水素が発生
し、活性な水素が有機成分をシアン化水素に分解するの
で、有機膜に対するエッチングが進行する。この場合、
有機膜の表面はアンモニアガスから発生する窒素によっ
て効率的に窒化されるため、有機膜における凹部の側壁
が保護されるので、高い異方性が得られる。
【0017】また、エッチングガスには、有機膜を酸化
する成分が含まれていないため、有機膜は酸化されな
い。
【0018】本発明に係る第2のエッチング方法は、有
機成分を主成分とする有機膜からなる層間絶縁膜に対し
て、炭酸ガスを主成分とするエッチングガスからなるプ
ラズマを用いて異方性エッチングを行なうものである。
【0019】第2のエッチング方法によると、炭酸ガス
からなるプラズマに含まれるCOイオンがエッチングに
寄与するので、有機膜に対する異方性エッチングが進行
する。
【0020】また、炭酸ガスを主成分とするエッチング
ガスを用いるため、酸素ガスを主成分とするエッチング
ガスを用いる場合に比べて活性酸素の量が少ないと共
に、生成されるCOラジカルが過剰な活性酸素を消費
し、活性酸素は有機膜のエッチングにのみ寄与するの
で、有機膜は酸化されにくい。
【0021】第1又は第2のエッチング方法において、
エッチングガスは不活性ガスを含んでいることが好まし
い。
【0022】本発明に係る第3のエッチング方法は、有
機成分を主成分とする有機膜からなる層間絶縁膜に対し
て、水素ガス、窒素ガス及び不活性ガスを主成分とする
エッチングガスからなるプラズマを用いて異方性エッチ
ングを行なうものである。
【0023】第3のエッチング方法によると、エッチン
グガスに含まれる水素が活性化し、活性な水素が有機成
分をシアン化水素に分解するので、有機膜に対するエッ
チングが進行する。この場合、有機膜の表面が窒素ガス
によって効率的に窒化されるため、有機膜における凹部
の側壁が保護されるので、高い異方性が得られる。
【0024】また、エッチングガスには、有機膜を酸化
する成分が含まれていないため、有機膜は酸化されな
い。
【0025】また、エッチングガスに含まれる不活性ガ
スのスパッタリング効果によって、エッチングにおける
異方性及びエッチングレートの両方が向上する。
【0026】本発明に係る第4のエッチング方法は、有
機成分及びシリカ成分を主成分とする有機無機複合膜か
らなる層間絶縁膜に対して、アンモニアガス及びフッ素
ガスを主成分とするエッチングガスからなるプラズマを
用いて異方性エッチングを行なうものである。
【0027】第4のエッチング方法によると、アンモニ
アガスから発生する活性な水素が有機成分をシアン化水
素に分解するため有機無機複合膜における有機成分は分
解されると共に、有機無機複合膜における無機成分はフ
ッ素によって分解されるので、有機無機複合膜に対する
エッチングが進行する。
【0028】また、エッチングの過程において、有機無
機複合膜の表面はアンモニアガスから発生する窒素によ
って効率的に窒化されるため、有機無機複合膜における
凹部の側壁が保護されるので、高い異方性が得られると
共に、エッチングガスには、有機膜を酸化する成分が含
まれていないため、有機膜は酸化されない。
【0029】本発明に係る第5のエッチング方法は、有
機成分及びシリカ成分を主成分とする有機無機複合膜か
らなる層間絶縁膜に対して、水素ガス、窒素ガス及びフ
ッ素ガスを主成分とするエッチングガスからなるプラズ
マを用いて異方性エッチングを行なうものである。
【0030】第5のエッチング方法によると、エッチン
グガスに含まれる水素が活性化し、活性な水素が有機成
分をシアン化水素に分解するため有機無機複合膜におけ
る有機成分は分解されると共に、有機無機複合膜におけ
る無機成分はフッ素によって分解されるので、有機無機
複合膜に対するエッチングが進行する。
【0031】また、エッチングの過程において、有機無
機複合膜の表面が窒素によって効率的に窒化されるた
め、有機無機複合膜における凹部の側壁が保護されるの
で、高い異方性が得られると共に、エッチングガスに
は、有機無機複合膜を酸化する成分が含まれていないた
め、有機無機複合膜は酸化されない。
【0032】本発明に係る第6のエッチング方法は、有
機成分及びシリカ成分を主成分とする有機無機複合膜か
らなる層間絶縁膜に対して、水素ガス及び三フッ化窒素
ガスを主成分とするエッチングガスからなるプラズマを
用いて異方性エッチングを行なうものである。
【0033】第6のエッチング方法によると、エッチン
グガスに含まれる水素が活性化し、活性な水素が有機成
分をシアン化水素に分解するため有機無機複合膜におけ
る有機成分は分解されると共に、有機無機複合膜におけ
る無機成分は三フッ化窒素ガスから発生するフッ素によ
って分解されるので、有機無機複合膜に対するエッチン
グが進行する。
【0034】また、エッチングの過程において、有機無
機複合膜の表面が三フッ化窒素ガスから発生する窒素に
よって効率的に窒化されるため、有機無機複合膜におけ
る凹部の側壁が保護されるので、高い異方性が得られる
と共に、エッチングガスには、有機無機複合膜を酸化す
る成分が含まれていないため、有機無機複合膜は酸化さ
れない。
【0035】本発明に係る第7のエッチング方法は、有
機成分及びシリカ成分を主成分とする有機無機複合膜か
らなる層間絶縁膜に対して、窒素ガス及びフッ化水素ガ
スを主成分とするエッチングガスからなるプラズマを用
いて異方性エッチングを行なうものである。
【0036】第7のエッチング方法によると、フッ化水
素ガスから発生する活性な水素が有機成分をシアン化水
素に分解するため有機無機複合膜における有機成分は分
解されると共に、有機無機複合膜における無機成分はフ
ッ化水素ガスから発生するフッ素によって分解されるの
で、有機無機複合膜に対するエッチングが進行する。
【0037】また、エッチングの過程において、有機無
機複合膜の表面が窒素によって効率的に窒化されるた
め、有機無機複合膜における凹部の側壁が保護されるの
で、高い異方性が得られると共に、エッチングガスに
は、有機無機複合膜を酸化する成分が含まれていないた
め、有機無機複合膜は酸化されない。
【0038】本発明に係る第8のエッチング方法は、有
機成分及びシリカ成分を主成分とする有機無機複合膜か
らなる層間絶縁膜に対して、窒素ガス及びフッ素化炭化
水素ガスを主成分とするエッチングガスからなるプラズ
マを用いて異方性エッチングを行なうものである。
【0039】第8のエッチング方法によると、フッ素化
炭化水素ガスから発生する活性な水素が有機成分をシア
ン化水素に分解するため有機無機複合膜における有機成
分は分解されると共に、有機無機複合膜における無機成
分はフッ素化炭化水素ガスから発生するフッ素によって
分解されるので、有機無機複合膜に対するエッチングが
進行する。
【0040】また、エッチングの過程において、有機無
機複合膜の表面が窒素によって効率的に窒化されるた
め、有機無機複合膜における凹部の側壁が保護されるの
で、高い異方性が得られると共に、エッチングガスに
は、有機無機複合膜を酸化する成分が含まれていないた
め、有機無機複合膜は酸化されない。
【0041】本発明に係る第9のエッチング方法は、有
機成分及びシリカ成分を主成分とする有機無機複合膜か
らなる層間絶縁膜に対して、炭酸ガス及びフッ素ガスを
主成分とするエッチングガスからなるプラズマを用いて
異方性エッチングを行なうものである。
【0042】第9のエッチング方法によると、炭酸ガス
からなるプラズマに含まれるCOイオンがエッチングに
寄与するので、有機無機複合膜における有機成分に対す
るエッチングが進行すると共に、有機無機複合膜におけ
る無機成分はフッ素によって分解されるので、有機無機
複合膜に対するエッチングが進行する。
【0043】また、炭酸ガスを主成分とするエッチング
ガスを用いるため、活性酸素の量が少ないと共に、生成
されるCOラジカルが過剰な活性酸素を消費し、活性酸
素は有機成分のエッチングにのみ寄与するので、有機無
機複合膜は酸化されにくい。
【0044】本発明に係る第10のエッチング方法は、
有機成分及びシリカ成分を主成分とする有機無機複合膜
からなる層間絶縁膜に対して、炭酸ガス及びフッ素化炭
化水素ガスを主成分とするエッチングガスからなるプラ
ズマを用いて異方性エッチングを行なうものである。
【0045】第10のエッチング方法によると、炭酸ガ
スからなるプラズマに含まれるCOイオンがエッチング
に寄与するので、有機無機複合膜における有機成分に対
するエッチングが進行すると共に、有機無機複合膜にお
ける無機成分はフッ素化炭化水素から発生するフッ素に
よって分解されるので、有機無機複合膜に対するエッチ
ングが進行する。
【0046】また、炭酸ガスを主成分とするエッチング
ガスを用いるため、活性酸素の量が少ないと共に、生成
されるCOラジカルが過剰な活性酸素を消費し、活性酸
素は有機成分のエッチングにのみ寄与するので、有機無
機複合膜は酸化されにくい。
【0047】本発明に係る第11のエッチング方法は、
有機成分及びシリカ成分を主成分とする有機無機複合膜
からなる層間絶縁膜に対して、一酸化炭素ガス及びフッ
素ガスを主成分とするエッチングガスからなるプラズマ
を用いて異方性エッチングを行なうものである。
【0048】第11のエッチング方法によると、一酸化
炭素ガスからなるプラズマに含まれるCOイオンがエッ
チングに寄与するので、有機無機複合膜における有機成
分に対するエッチングが進行すると共に、有機無機複合
膜における無機成分はフッ素によって分解されるので、
有機無機複合膜に対するエッチングが進行する。
【0049】また、一酸化炭素ガスを主成分とするエッ
チングガスを用いるため、活性酸素の量が少ないと共
に、生成されるCOラジカルが過剰な活性酸素を消費
し、活性酸素は有機成分のエッチングにのみ寄与するの
で、有機無機複合膜は酸化されにくい。
【0050】本発明に係る第12のエッチング方法は、
有機成分及びシリカ成分を主成分とする有機無機複合膜
からなる層間絶縁膜に対して、一酸化炭素ガス及びフッ
素化炭化水素ガスを主成分とするエッチングガスからな
るプラズマを用いて異方性エッチングを行なうものであ
る。
【0051】第12のエッチング方法によると、一酸化
炭素ガスからなるプラズマに含まれるCOイオンがエッ
チングに寄与するので、有機無機複合膜における有機成
分に対するエッチングが進行すると共に、有機無機複合
膜における無機成分はフッ素化炭化水素から発生するフ
ッ素によって分解されるので、有機無機複合膜に対する
エッチングが進行する。
【0052】また、一酸化炭素ガスを主成分とするエッ
チングガスを用いるため、活性酸素の量が少ないと共
に、生成されるCOラジカルが過剰な活性酸素を消費
し、活性酸素は有機成分のエッチングにのみ寄与するの
で、有機無機複合膜は酸化されにくい。
【0053】第4〜第12のエッチング方法において、
エッチングガスは不活性ガスを含んでいることが好まし
い。
【0054】
【発明の実施の形態】以下、本発明の各実施形態に係る
エッチング方法について説明するが、その前提として、
各実施形態に共通するエッチング方法の概要について図
1(a)及び(b)を参照しながら説明する。
【0055】まず、図1(a)に示すように、シリコン
等からなる半導体基板1の上に、有機成分を主成分とす
る有機膜又は有機成分及びシリカ成分を主成分とする有
機無機複合膜からなる層間絶縁膜2を堆積した後、該層
間絶縁膜2の上に、コンタクトホール形成領域又は配線
溝形成領域に開口部を有するレジストパターン3を形成
する。
【0056】次に、図1(b)に示すように、層間絶縁
膜2に対して、レジストパターン3をマスクとして、以
下に示すエッチングガスからなるプラズマを用いてプラ
ズマエッチングを行なって、層間絶縁膜2をパターニン
グする。 (第1の実施形態)本発明の第1の実施形態は、有機成
分を含有する有機膜からなる層間絶縁膜に対して、アン
モニアガスを主成分とするエッチングガスからなるプラ
ズマによって異方性エッチングを行なうものである。
【0057】有機成分を主成分とする有機膜の一例とし
ては、ポリアリールエーテルの誘導体又はポリパラキシ
レンの誘導体などが挙げられるが、有機成分を主成分と
する有機膜の種類は特に問題とはならない。
【0058】エッチング条件の一例としては、高密度プ
ラズマをプラズマ源とするエッチング装置を用いて、圧
力:5mTorr、対向電極に印加する高周波電圧の電
力:3kW、被エッチング試料に印加するバイアス電圧
の電力:300W、アンモニア(NH3 )ガスの流量:
20sccmが挙げられる。
【0059】有機膜に対して、アンモニアガスを主成分
とするエッチングガスからなるプラズマによってエッチ
ングを行なうと、アンモニアガスからなるプラズマ中に
おいて活性な水素が発生し、活性な水素が有機成分をH
CN(シアン化水素)に分解することによって、エッチ
ングが進行する。この場合、有機膜の表面がアンモニア
ガスから発生した窒素によって効率的に窒化されるた
め、有機膜における凹部の側壁が保護されるので、高い
異方性が得られる。
【0060】また、アンモニアガスを主成分とするエッ
チングガスには、有機膜を酸化する成分が含まれていな
いため、有機膜が酸化されないので、後に行なわれる熱
処理工程において有機膜からガスが発生するという問題
が生じない。
【0061】このため、第1の実施形態によると、有機
膜に対して、該有機膜の劣化を招くことなく異方性エッ
チングを行なうことが可能になる。 (第2の実施形態)本発明の第2の実施形態は、有機成
分を含有する有機膜からなる層間絶縁膜に対して、水素
ガス、窒素ガス及び不活性ガス(例えばアルゴンガス)
を主成分とするエッチングガスからなるプラズマによっ
て異方性エッチングを行なうものである。
【0062】有機成分を主成分とする有機膜の一例とし
ては、ポリアリールエーテルの誘導体又はポリパラキシ
レンの誘導体などが挙げられるが、有機成分を主成分と
する有機膜の種類は特に問題とはならない。
【0063】エッチング条件の一例としては、高密度プ
ラズマをプラズマ源とするエッチング装置を用いて、圧
力:10mTorr、対向電極に印加する高周波電圧の
電力:3kW、被エッチング試料に印加するバイアス電
圧の電力:200W、水素ガスの流量:30sccm、
窒素ガスの流量:10sccm、アルゴンガスの流量:
20sccmが挙げられる。
【0064】有機膜に対して、水素ガス、窒素ガス及び
不活性ガスを主成分とするエッチングガスからなるプラ
ズマによってエッチングを行なうと、エッチングガスに
含まれる水素が活性化し、活性な水素が有機成分をHC
Nに分解することによって、エッチングが進行する。こ
の場合、有機膜の表面が窒素ガスによって効率的に窒化
されるため、有機膜における凹部の側壁が保護されるの
で、高い異方性が得られる。
【0065】また、エッチングガスには、有機膜を酸化
する成分が含まれていないため、有機膜が酸化されない
ので、後に行なわれる熱処理工程において有機膜からガ
スが発生するという問題が生じない。
【0066】また、エッチングガスに含まれるアルゴン
のスパッタリング効果によって、エッチングの異方性が
向上すると共にエッチングレートが向上する。
【0067】このため、第2の実施形態によると、有機
膜に対して、該有機膜の劣化を招くことなく異方性エッ
チングを行なうことが可能になる。
【0068】ところで、第1の実施形態のように、アン
モニアガスを主成分とするエッチングガスからなるプラ
ズマによってエッチングを行なう場合には、対向電極に
印加する高周波電圧の電力を大きくすると共にチャンバ
ーの真空度を高くする必要がある。ところが、対向電極
に印加する高周波電圧の電力が大きくなると、有機膜の
凹部の底部に露出する下地膜例えばゲート絶縁膜が大き
なダメージを受ける恐れがある。また、チャンバーの真
空度を高くすると、プラズマ密度が低減するので、エッ
チングレートが低下するという問題も発生する。
【0069】これに対して、第2の実施形態のように、
水素ガス、窒素ガス及び不活性ガスを主成分とするエッ
チングガスからなるプラズマによってエッチングを行な
うと、対向電極に印加する高周波電圧の電力を大きくす
る必要がないので、有機膜の凹部の底部に露出する下地
膜例えばゲート絶縁膜が大きなダメージを受ける事態を
回避できると共に、チャンバーの真空度を高くする必要
がないので、エッチングレートが低下しない。
【0070】また、エッチングガスに含まれるアルゴン
のスパッタリング効果によって、エッチングレートが向
上する。 (第3の実施形態)本発明の第3の実施形態は、有機成
分を含有する有機膜からなる層間絶縁膜に対して、アン
モニアガス及び不活性ガス(例えばアルゴンガス)を主
成分とするエッチングガスからなるプラズマによって異
方性エッチングを行なうものである。
【0071】有機成分を主成分とする有機膜の一例とし
ては、ポリアリールエーテルの誘導体又はポリパラキシ
レンの誘導体などが挙げられるが、有機成分を主成分と
する有機膜の種類は特に問題とはならない。
【0072】エッチング条件の一例としては、高密度プ
ラズマをプラズマ源とするエッチング装置を用いて、圧
力:30mTorr、対向電極に印加する高周波電圧の
電力:3kW、被エッチング試料に印加するバイアス電
圧の電力:200W、アンモニアガスの流量:30sc
cm、アルゴンガスの流量:20sccmが挙げられ
る。
【0073】有機膜に対して、アンモニアガス及び不活
性ガスを主成分とするエッチングガスからなるプラズマ
によってエッチングを行なうと、プラズマに中において
アンモニアから活性な水素が発生し、活性な水素が有機
成分をHCNに分解することによって、エッチングが進
行する。この場合、有機膜の表面がアンモニアガスから
発生する窒素によって効率的に窒化されるため、有機膜
における凹部の側壁が保護されるので、高い異方性が得
られる。
【0074】また、エッチングガスには、有機膜を酸化
する成分が含まれていないため、有機膜が酸化されない
ので、後に行なわれる熱処理工程において有機膜からガ
スが発生するという問題が生じない。
【0075】また、エッチングガスに含まれるアルゴン
のスパッタリング効果によって、高い異方性を有する高
速のエッチングが可能になる。
【0076】このため、第3の実施形態によると、有機
膜に対して、該有機膜の劣化を招くことなく異方性エッ
チングを行なうことが可能になる。
【0077】ところで、第2の実施形態のように、水素
ガス及び窒素ガスを主成分とするエッチングガスを用い
る場合には、アンモニアを主成分とするエッチングガス
に比べて、窒化の効率が良くないと共にエッチングレー
トが遅いという問題があるが、第3の実施形態による
と、これらの問題が解消され、第2の実施形態に比べ
て、窒化の効率及びエッチングレートが向上する。 (第4の実施形態)本発明の第4の実施形態は、有機成
分を含有する有機膜からなる層間絶縁膜に対して、炭酸
ガスを主成分とするエッチングガスからなるプラズマに
よって異方性エッチングを行なうものである。
【0078】有機成分を主成分とする有機膜の一例とし
ては、ポリアリールエーテルの誘導体又はポリパラキシ
レンの誘導体などが挙げられるが、有機成分を主成分と
する有機膜の種類は特に問題とはならない。
【0079】エッチング条件の一例としては、高密度プ
ラズマをプラズマ源とするエッチング装置を用いて、圧
力:5mTorr、対向電極に印加する高周波電圧の電
力:3kW、被エッチング試料に印加するバイアス電圧
の電力:300W、炭酸ガス(CO2 )ガスの流量:2
0sccmが挙げられる。
【0080】第4の実施形態によると、炭酸ガスを主成
分とするエッチングガスからなるプラズマによってエッ
チングを行なうため、炭酸ガスからなるプラズマに含ま
れるCOイオンが異方性エッチングに寄与するので、有
機膜に対する異方性エッチングが進行する。
【0081】また、炭酸ガスを主成分とするエッチング
ガスを用いるため、酸素ガスを主成分とするエッチング
ガスを用いる場合(酸素プラズマ)に比べて活性酸素の
量が少ないこと、及び生成されるCOラジカルが過剰な
活性酸素を消費するため、活性酸素は有機膜のエッチン
グにのみ寄与すること等の理由によって、有機膜の酸化
が低減する。
【0082】従って、第4の実施形態によると、有機膜
に対して、該有機膜の劣化を招くことなく異方性エッチ
ングを行なうことが可能になる。
【0083】尚、エッチングガスにアルゴンガスなどの
不活性ガスを添加すると、エッチングにおける異方性及
びエッチングレートの両方を向上させることができる。 (第5の実施形態)本発明の第5の実施形態は、有機成
分及びシリカ成分を主成分とする有機無機複合膜からな
る層間絶縁膜に対して、アンモニアガス及びフッ素ガス
を主成分とするエッチングガスからなるプラズマによっ
て異方性エッチングを行なうものである。
【0084】有機無機複合膜の一例としては、C4
8(又はC1018)とビニルトリメトキシシランとの混
合ガスからなる原料ガスを用いてプラズマCVD法によ
り堆積されるシロキサン含有フッ素化有機膜、又は、C
66とHMDSOとの混合ガスからなる原料ガスを用い
てプラズマCVD法により堆積されるシロキサン含有フ
ッ素化有機膜等が挙げられるが、有機無機複合膜の種類
は特に問わない。
【0085】エッチング条件の一例としては、高密度プ
ラズマをプラズマ源とするエッチング装置を用いて、圧
力:30mTorr、対向電極に印加する高周波電圧の
電力:3kW、被エッチング試料に印加するバイアス電
圧の電力:100W、アンモニアガスの流量:30sc
cm、フッ素ガスの流量:5sccmが挙げられる。
【0086】ところで、有機成分及びシリカ成分を主成
分とする有機無機複合膜に対するエッチングにおいて
は、有機成分とシリカ成分というエッチング特性が異な
る複数の成分を同時にエッチングすることが必要であ
る。
【0087】ところが、有機膜に対するエッチングに用
いる、酸素ガス、窒素ガスと水素ガスとの混合ガス、又
はアンモニアガス等からなるエッチングガスからなるプ
ラズマによっては、シリカ成分をエッチングすることが
できないため、エッチング残渣の発生及びパーティクル
の発生が顕著になってくるので、実用的なエッチングは
不可能である。
【0088】また、シリコン酸化膜に対するエッチング
に使用されるフルオロカーボンをエッチングガスに添加
すると、シリカ成分をエッチングすることはできるが、
エッチングストッパーとしてシリコン酸化膜を用いる場
合には、エッチングの選択性が著しく低下するという問
題がある。
【0089】これに対して、第5の実施形態のように、
アンモニアガス及びフッ素ガスを主成分とするエッチン
グガスからなるプラズマによってエッチングを行なう
と、第1の実施形態と同様、有機成分がアンモニアガス
によって分解されると共に、無機成分がフッ素ガスによ
って分解されるので、エッチングが進行する。また、シ
リコンの酸化によって形成されるSiO2 (エッチング
残渣及びパーティクルの発生原因になる)とエッチング
ガスに含まれるF2 との間に、SiO2 +2F2→Si
4↑+O2↑の反応が起こり、生成されたSiF4及び
2が蒸発するので、エッチング残渣及びパーティクル
は発生しない。
【0090】有機無機複合膜の下にシリコン酸化膜が形
成されている場合には、該シリコン酸化膜に対するエッ
チング選択性を確保するために、フッ素ガスの添加量は
少ない方が好ましい。
【0091】また、バイアス電圧の電力をパワーを低く
すると共にチャンバー内の圧力を若干大きく(真空度を
低減)すると、有機無機複合膜に対して異方性のより高
いエッチングを行なうことができる。
【0092】尚、アンモニアガス及びフッ素ガスを主成
分とするエッチングガスに代えて、水素ガス及び三フッ
化窒素ガスを主成分とするエッチングガス、窒素ガス、
水素ガス及びフッ素ガスを主成分とするエッチングガ
ス、窒素ガス及びフッ化水素ガスを主成分とするエッチ
ングガス、又は窒素ガス及びフッ素化炭化水素ガスを主
成分とするエッチングガス、からなるプラズマによって
異方性エッチングを行なってもよい。
【0093】また、フッ素ガスに代えて、微量のフッ素
化炭化水素ガスを添加しても、エッチング残渣及びパー
ティクルを発生させることなく、有機無機複合膜に対し
て異方性の高いエッチングを行なうことができる。 (第6の実施形態)本発明の第6の実施形態は、有機成
分及びシリカ成分を主成分とする有機無機複合膜からな
る層間絶縁膜に対して、アンモニアガス、フッ素ガス及
び不活性ガス(例えばアルゴンガス)を主成分とするエ
ッチングガスからなるプラズマによって異方性エッチン
グを行なうものである。
【0094】有機無機複合膜の一例としては、C4
8(又はC1018)とビニルトリメトキシシランとの混
合ガスからなる原料ガスを用いてプラズマCVD法によ
り堆積されるシロキサン含有フッ素化有機膜、又は、C
66とHMDSOとの混合ガスからなる原料ガスを用い
てプラズマCVD法により堆積されるシロキサン含有フ
ッ素化有機膜等が挙げられるが、有機無機複合膜の種類
は特に問わない。
【0095】エッチング条件の一例としては、高密度プ
ラズマをプラズマ源とするエッチング装置を用いて、圧
力:30mTorr、対向電極に印加する高周波電圧の
電力:3kW、被エッチング試料に印加するバイアス電
圧の電力:100W、アンモニアガスの流量:30sc
cm、フッ素ガスの流量:5sccm、アルゴンガスの
流量:20sccmが挙げられる。
【0096】第6の実施形態によると、第5の実施形態
と同様、有機成分がアンモニアガスによって分解される
と共に、無機成分がフッ素ガスによって分解されるの
で、エッチングが進行する。また、SiO2とF2とが反
応してSiF4及びO2が生成され、生成されたSiF4
及びO2が蒸発するので、エッチング残渣及びパーティ
クルは発生しない。
【0097】特に、第6の実施形態においては、エッチ
ングガスに不活性ガスが添加されているので、有機無機
複合膜の下にシリコン酸化膜が形成されている場合に、
該シリコン酸化膜に対するエッチング選択性を確保する
ことができる。
【0098】尚、アンモニアガス、フッ素ガス及び不活
性ガスを主成分とするエッチングガスに代えて、水素ガ
ス、三フッ化窒素ガス及び不活性ガスを主成分とするエ
ッチングガス、窒素ガス、水素ガス、フッ素ガス及び不
活性ガスを主成分とするエッチングガス、窒素ガス、フ
ッ化水素ガス及び不活性ガスを主成分とするエッチング
ガス、又は窒素ガス、フッ素化炭化水素ガス及び不活性
ガスを主成分とするエッチングガスからなるプラズマに
よって異方性エッチングを行なってもよい。
【0099】また、フッ素ガスに代えて、微量のフッ素
化炭化水素ガスを添加しても、エッチング残渣及びパー
ティクルを発生させることなく、有機無機複合膜に対し
て異方性の高いエッチングを行なうことができる。 (第7の実施形態)本発明の第7の実施形態は、有機成
分及びシリカ成分を主成分とする有機無機複合膜からな
る層間絶縁膜に対して、炭酸ガス及びフッ素ガスを主成
分とするエッチングガスからなるプラズマによって異方
性エッチングを行なうものである。
【0100】有機無機複合膜の一例としては、C4
8(又はC1018)とビニルトリメトキシシランとの混
合ガスからなる原料ガスを用いてプラズマCVD法によ
り堆積されるシロキサン含有フッ素化有機膜、又は、C
66とHMDSOとの混合ガスからなる原料ガスを用い
てプラズマCVD法により堆積されるシロキサン含有フ
ッ素化有機膜等が挙げられるが、有機無機複合膜の種類
は特に問わない。
【0101】エッチング条件の一例としては、高密度プ
ラズマをプラズマ源とするエッチング装置を用いて、圧
力:5mTorr、対向電極に印加する高周波電圧の電
力:3kW、被エッチング試料に印加するバイアス電圧
の電力:300W、炭酸ガスの流量:20sccm、フ
ッ素ガスの流量:5sccmが挙げられる。
【0102】第7の実施形態によると、第4の実施形態
と同様、炭酸ガスから生成されるCOイオンが有機成分
に対するエッチングに寄与すると共に、第5実施形態と
同様、フッ素ガスが無機成分に対するエッチングに寄与
するので、有機無機複合膜に対するエッチングが進行す
ると共に、前述と同様に理由によって、エッチング残渣
及びパーティクルは発生しない。
【0103】尚、エッチングガスにアルゴンガスなどの
不活性ガスを添加すると、エッチングにおける異方性及
びエッチングレートの両方を向上させることができる。
【0104】また、フッ素ガスに代えて、微量のフッ素
化炭化水素ガスを添加しても、エッチング残渣及びパー
ティクルを発生させることなく、有機無機複合膜に対し
て異方性の高いエッチングを行なうことができる。 (第8の実施形態)本発明の第8の実施形態は、有機成
分及びシリカ成分を主成分とする有機無機複合膜からな
る層間絶縁膜に対して、一酸化炭素ガス及びフッ素ガス
を主成分とするエッチングガスからなるプラズマによっ
て異方性エッチングを行なうものである。
【0105】有機無機複合膜の一例としては、C4
8(又はC1018)とビニルトリメトキシシランとの混
合ガスからなる原料ガスを用いてプラズマCVD法によ
り堆積されるシロキサン含有フッ素化有機膜、又は、C
66とHMDSOとの混合ガスからなる原料ガスを用い
てプラズマCVD法により堆積されるシロキサン含有フ
ッ素化有機膜等が挙げられるが、有機無機複合膜の種類
は特に問わない。
【0106】エッチング条件の一例としては、高密度プ
ラズマをプラズマ源とするエッチング装置を用いて、圧
力:5mTorr、対向電極に印加する高周波電圧の電
力:3kW、被エッチング試料に印加するバイアス電圧
の電力:300W、一酸化炭素の流量:20sccm、
フッ素ガスの流量:5sccmが挙げられる。
【0107】第8の実施形態によると、一酸化炭素ガス
から生成されるCOイオンが有機成分に対するエッチン
グに寄与すると共に、フッ素ガスが無機成分に対するエ
ッチングに寄与するので、有機無機複合膜に対するエッ
チングが進行すると共に、前述と同様に理由によって、
エッチング残渣及びパーティクルは発生しない。
【0108】特に、第8の実施形態によると、一酸化炭
素ガスからなるプラズマ中においては、炭酸ガスからな
るプラズマ中に比べて活性酸素の発生量が少ないこと、
及び、生成される炭素イオンが、エッチングにより形成
された凹部の壁面を保護すると共に過剰な酸素を除去す
ることなどの理由によって、有機成分の酸化が一層抑制
される。
【0109】尚、エッチングガスにアルゴンガスなどの
不活性ガスを添加すると、エッチングにおける異方性及
びエッチングレートの両方を向上させることができる。
【0110】また、フッ素ガスに代えて、微量のフッ素
化炭化水素ガスを添加しても、エッチング残渣及びパー
ティクルを発生させることなく、有機無機複合膜に対し
て異方性の高いエッチングを行なうことができる。
【0111】尚、前記各実施形態においては、不活性ガ
スとしては、アルゴンガスを用いたが、これに代えて、
ネオンガス、キセノン又はこれらの混合ガスを用いても
よい。
【0112】
【発明の効果】第1のエッチング方法によると、アンモ
ニアガスからなるプラズマ中において活性な水素が発生
し、活性な水素が有機成分をシアン化水素に分解するた
め、エッチングが進行するが、この過程において、有機
膜の表面がアンモニアガスから発生する窒素によって効
率的に窒化されるため、有機膜における凹部の側壁が保
護されるので、高い異方性が得られる。また、エッチン
グガスには、有機膜を酸化する成分が含まれていないた
め、有機膜が酸化されないので、後の熱処理工程におい
て有機膜からガスが発生するという問題が生じない。
【0113】第2のエッチング方法によると、炭酸ガス
からなるプラズマに含まれるCOイオンがエッチングに
寄与するため、有機膜に対する異方性エッチングが進行
する。炭酸ガスを主成分とするエッチングガスは、酸素
ガスを主成分とするエッチングガスに比べて活性酸素の
量が少ないと共に、生成されるCOラジカルが過剰な活
性酸素を消費するため活性酸素が有機膜のエッチングに
のみ寄与するので、有機膜は酸化されず、これによっ
て、後の熱処理工程において有機膜からガスが発生する
という問題が生じない。
【0114】第1又は第2のエッチング方法において、
エッチングガスが不活性ガスを含んでいると、エッチン
グにおける異方性及びエッチングレートの両方が向上す
る。
【0115】第3のエッチング方法によると、エッチン
グガスに含まれる水素が活性化し、活性な水素が有機成
分をシアン化水素に分解するため、エッチングが進行す
るが、この過程において、有機膜の表面が窒素によって
効率的に窒化されるため、有機膜における凹部の側壁が
保護されるので、高い異方性が得られる。また、エッチ
ングガスには、有機膜を酸化する成分が含まれていない
ため、有機膜が酸化されないので、後の熱処理工程にお
いて有機膜からガスが発生するという問題が生じないと
共に、エッチングガスに不活性ガスが含まれているた
め、エッチングにおける異方性及びエッチングレートの
両方が向上する。
【0116】従って、第1〜第3のエッチング方法によ
ると、有機膜に対して、該有機膜の劣化を招くことなく
異方性に優れたエッチングを行なうことができる。
【0117】第4〜第8のエッチング方法によると、有
機無機複合膜における有機成分は活性な水素によって分
解されると共に、有機無機複合膜における無機成分はフ
ッ素によって分解されるので、有機無機複合膜に対する
エッチングが進行するが、この過程において、有機無機
複合膜における凹部の側壁が窒素によって保護されるの
で高い異方性が得られると共に、エッチングガスには有
機無機複合膜を酸化する成分が含まれていないので、有
機無機複合膜は酸化されず、これによって、後の熱処理
工程において有機無機複合膜からガスが発生するという
問題は生じない。
【0118】第9〜第12のエッチング方法によると、
有機無機複合膜における有機成分はCOイオンによって
分解されると共に、有機無機複合膜における無機成分は
フッ素によって分解されるので、有機無機複合膜に対す
るエッチングが進行する。炭酸ガス又は一酸化炭素を主
成分とするエッチングガスは、活性酸素の量が少ないと
共に、生成されるCOラジカルが過剰な活性酸素を消費
するため活性酸素が有機膜のエッチングにのみ寄与する
ので、有機無機複合膜は酸化されず、これによって、後
の熱処理工程において有機無機複合膜からガスが発生す
るという問題は生じない。
【0119】従って、第4〜第12のエッチング方法に
よると、有機無機複合膜に対して、該有機無機複合膜の
劣化を招くことなく異方性に優れたエッチングを行なう
ことができる。
【0120】第4〜第12のエッチング方法において、
エッチングガスが不活性ガスを含んでいると、エッチン
グにおける異方性及びエッチングレートの両方が向上す
る。
【図面の簡単な説明】
【図1】(a)及び(b)は、本発明の各実施形態に共
通するエッチング方法の各工程を説明する断面図であ
る。
【符号の説明】
1 半導体基板 2 層間絶縁膜 3 レジストパターン
フロントページの続き (58)調査した分野(Int.Cl.7,DB名) H01L 21/3065

Claims (6)

    (57)【特許請求の範囲】
  1. 【請求項1】 半導体集積回路の金属配線の上に形成さ
    れており、有機成分とシリカ成分との共重合体よりなる
    有機無機複合膜からなる層間絶縁膜のエッチング方法で
    あって、 前記層間絶縁膜に対して、アンモニアガス及びフッ素ガ
    スを主成分とするエッチングガスからなるプラズマを用
    いて前記層間絶縁膜を酸化させることなく異方性エッチ
    ングを行なうことを特徴とするエッチング方法。
  2. 【請求項2】 半導体集積回路の金属配線の上に形成さ
    れており、有機成分とシリカ成分との共重合体よりなる
    有機無機複合膜からなる層間絶縁膜のエッチング方法で
    あって、 前記層間絶縁膜に対して、水素ガス、窒素ガス及びフッ
    素ガスを主成分とするエッチングガスからなるプラズマ
    を用いて前記層間絶縁膜を酸化させることなく異方性エ
    ッチングを行なうことを特徴とするエッチング方法。
  3. 【請求項3】 半導体集積回路の金属配線の上に形成さ
    れており、有機成分とシリカ成分との共重合体よりなる
    有機無機複合膜からなる層間絶縁膜のエッチング方法で
    あって、 前記層間絶縁膜に対して、水素ガス及び三フッ化窒素ガ
    スを主成分とするエッチングガスからなるプラズマを用
    いて前記層間絶縁膜を酸化させることなく異方性エッチ
    ングを行なうことを特徴とするエッチング方法。
  4. 【請求項4】 半導体集積回路の金属配線の上に形成さ
    れており、有機成分とシリカ成分との共重合体よりなる
    有機無機複合膜からなる層間絶縁膜のエッチング方法で
    あって、 前記層間絶縁膜に対して、窒素ガス及びフッ化水素ガス
    を主成分とするエッチングガスからなるプラズマを用い
    て前記層間絶縁膜を酸化させることなく異方性エッチン
    グを行なうことを特徴とするエッチング方法。
  5. 【請求項5】 半導体集積回路の金属配線の上に形成さ
    れており、有機成分とシリカ成分との共重合体よりなる
    有機無機複合膜からなる層間絶縁膜のエッチング方法で
    あって、 前記層間絶縁膜に対して、窒素ガス及びフッ素化炭化水
    素ガスを主成分とするエッチングガスからなるプラズマ
    を用いて前記層間絶縁膜を酸化させることなく異方性エ
    ッチングを行なうことを特徴とするエッチング方法。
  6. 【請求項6】 前記エッチングガスは不活性ガスを含ん
    でいることを特徴とする請求項2〜5のいずれか1項に
    記載のエッチング方法。
JP01791699A 1999-01-27 1999-01-27 エッチング方法 Expired - Fee Related JP3330554B2 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP01791699A JP3330554B2 (ja) 1999-01-27 1999-01-27 エッチング方法
US10/643,896 US20040084413A1 (en) 1999-01-27 2003-08-20 Etching method
US11/455,800 US20060226121A1 (en) 1999-01-27 2006-06-20 Etching method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP01791699A JP3330554B2 (ja) 1999-01-27 1999-01-27 エッチング方法

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2002024939A Division JP2002313786A (ja) 2002-02-01 2002-02-01 エッチング方法

Publications (2)

Publication Number Publication Date
JP2000216135A JP2000216135A (ja) 2000-08-04
JP3330554B2 true JP3330554B2 (ja) 2002-09-30

Family

ID=11957092

Family Applications (1)

Application Number Title Priority Date Filing Date
JP01791699A Expired - Fee Related JP3330554B2 (ja) 1999-01-27 1999-01-27 エッチング方法

Country Status (2)

Country Link
US (2) US20040084413A1 (ja)
JP (1) JP3330554B2 (ja)

Families Citing this family (152)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4889199B2 (ja) * 2003-11-05 2012-03-07 株式会社アルバック 低誘電率層間絶縁膜のドライエッチング方法
JP5072531B2 (ja) * 2007-10-24 2012-11-14 東京エレクトロン株式会社 プラズマエッチング方法及び記憶媒体
US8138093B2 (en) * 2009-08-12 2012-03-20 International Business Machines Corporation Method for forming trenches having different widths and the same depth
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US20130260564A1 (en) * 2011-09-26 2013-10-03 Applied Materials, Inc. Insensitive dry removal process for semiconductor integration
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
JP2014131086A (ja) * 2014-04-10 2014-07-10 Hitachi High-Technologies Corp プラズマ処理方法
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9735028B2 (en) * 2015-03-12 2017-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming semiconductor device structure with fine line pitch and fine end-to-end space
JP6438831B2 (ja) * 2015-04-20 2018-12-19 東京エレクトロン株式会社 有機膜をエッチングする方法
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10217626B1 (en) * 2017-12-15 2019-02-26 Mattson Technology, Inc. Surface treatment of substrates using passivation layers
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4904338A (en) * 1988-09-23 1990-02-27 Arizona Board Of Regents Carbon enhanced vapor etching
US5458724A (en) * 1989-03-08 1995-10-17 Fsi International, Inc. Etch chamber with gas dispersing membrane
KR0155158B1 (ko) * 1989-07-25 1998-12-01 카자마 젠쥬 종형 처리 장치 및 처리방법
JP2697952B2 (ja) * 1990-11-15 1998-01-19 シャープ株式会社 半導体装置の製造方法
US5089084A (en) * 1990-12-03 1992-02-18 Micron Technology, Inc. Hydrofluoric acid etcher and cascade rinser
WO1992020833A1 (en) * 1991-05-17 1992-11-26 Lam Research Corporation A PROCESS FOR DEPOSITING A SIOx FILM HAVING REDUCED INTRINSIC STRESS AND/OR REDUCED HYDROGEN CONTENT
JP3146561B2 (ja) * 1991-06-24 2001-03-19 株式会社デンソー 半導体装置の製造方法
US5420078A (en) * 1991-08-14 1995-05-30 Vlsi Technology, Inc. Method for producing via holes in integrated circuit layers
JPH06168922A (ja) * 1992-06-25 1994-06-14 Texas Instr Inc <Ti> シリコンの気相エッチング法
US5348619A (en) * 1992-09-03 1994-09-20 Texas Instruments Incorporated Metal selective polymer removal
JPH0697140A (ja) * 1992-09-14 1994-04-08 Toshiba Corp 半導体基板処理方法
JPH0786242A (ja) * 1993-09-10 1995-03-31 Fujitsu Ltd 半導体装置の製造方法
JP2682510B2 (ja) * 1995-05-09 1997-11-26 日本電気株式会社 半導体装置の製造方法
DE19713090B4 (de) * 1996-03-28 2004-06-17 Kabushiki Kaisha Toshiba, Kawasaki Verfahren und Apparatur zum Ätzen von Silicium-Materialien
US6153358A (en) * 1996-12-23 2000-11-28 Micorn Technology, Inc. Polyimide as a mask in vapor hydrogen fluoride etching and method of producing a micropoint
US6143476A (en) * 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
US6602434B1 (en) * 1998-03-27 2003-08-05 Applied Materials, Inc. Process for etching oxide using hexafluorobutadiene or related fluorocarbons and manifesting a wide process window
US6387287B1 (en) * 1998-03-27 2002-05-14 Applied Materials, Inc. Process for etching oxide using a hexafluorobutadiene and manifesting a wide process window
US5981398A (en) * 1998-04-10 1999-11-09 Taiwan Semiconductor Manufacturing Company, Ltd. Hard mask method for forming chlorine containing plasma etched layer
US6207583B1 (en) * 1998-09-04 2001-03-27 Alliedsignal Inc. Photoresist ashing process for organic and inorganic polymer dielectric materials
US6168726B1 (en) * 1998-11-25 2001-01-02 Applied Materials, Inc. Etching an oxidized organo-silane film
US6255735B1 (en) * 1999-01-05 2001-07-03 Advanced Micro Devices, Inc. Dual damascene arrangement for metal interconnection with low k dielectric constant materials in dielectric layers
US6849193B2 (en) * 1999-03-25 2005-02-01 Hoiman Hung Highly selective process for etching oxide over nitride using hexafluorobutadiene
US6326307B1 (en) * 1999-11-15 2001-12-04 Appllied Materials, Inc. Plasma pretreatment of photoresist in an oxide etch process
US6350670B1 (en) * 1999-12-17 2002-02-26 Intel Corporation Method for making a semiconductor device having a carbon doped oxide insulating layer

Also Published As

Publication number Publication date
JP2000216135A (ja) 2000-08-04
US20060226121A1 (en) 2006-10-12
US20040084413A1 (en) 2004-05-06

Similar Documents

Publication Publication Date Title
JP3330554B2 (ja) エッチング方法
US6774048B2 (en) Method of manufacturing a semiconductor device
US6383918B1 (en) Method for reducing semiconductor contact resistance
US5658425A (en) Method of etching contact openings with reduced removal rate of underlying electrically conductive titanium silicide layer
US7109132B2 (en) High density plasma chemical vapor deposition process
US7087515B2 (en) Method for forming flowable dielectric layer in semiconductor device
JP3318801B2 (ja) ドライエッチング方法
US20060128141A1 (en) Semiconductor device and method for fabricating the same
US6846737B1 (en) Plasma induced depletion of fluorine from surfaces of fluorinated low-k dielectric materials
JP4119542B2 (ja) 半導体装置の製造方法及び絶縁膜の形成方法
US7172965B2 (en) Method for manufacturing semiconductor device
US6847085B2 (en) High aspect ratio contact surfaces having reduced contaminants
JP2002313786A (ja) エッチング方法
US6900104B1 (en) Method of forming offset spacer manufacturing for critical dimension precision
US6472330B1 (en) Method for forming an interlayer insulating film, and semiconductor device
JP2001077192A (ja) 半導体装置およびその製造方法
JP2836569B2 (ja) ドライエッチング方法
JPH11214355A (ja) 異方性ドライエッチング方法
JP2002289577A (ja) 基板上に堆積した有機珪素化合物を含有する材料の薄膜のエッチング法
KR20000071322A (ko) 반도체 장치 제조 방법
KR100585082B1 (ko) 반도체 장치의 콘택홀 형성방법
JP2725695B2 (ja) 半導体装置の製造方法
JP2001217230A (ja) 異方性ドライエッチング方法
JP3428927B2 (ja) ドライエッチング方法
JP3312996B2 (ja) エッチング方法

Legal Events

Date Code Title Description
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20070719

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080719

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090719

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090719

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100719

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110719

Year of fee payment: 9

LAPS Cancellation because of no payment of annual fees