JP3320685B2 - 微細パターン形成方法 - Google Patents

微細パターン形成方法

Info

Publication number
JP3320685B2
JP3320685B2 JP23090399A JP23090399A JP3320685B2 JP 3320685 B2 JP3320685 B2 JP 3320685B2 JP 23090399 A JP23090399 A JP 23090399A JP 23090399 A JP23090399 A JP 23090399A JP 3320685 B2 JP3320685 B2 JP 3320685B2
Authority
JP
Japan
Prior art keywords
film
silicon nitride
pattern
forming
photoresist
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP23090399A
Other languages
English (en)
Other versions
JP2001051424A (ja
Inventor
一朗 岡部
宏貴 荒井
Original Assignee
株式会社半導体先端テクノロジーズ
日本エー・エス・エム株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 株式会社半導体先端テクノロジーズ, 日本エー・エス・エム株式会社 filed Critical 株式会社半導体先端テクノロジーズ
Priority to JP23090399A priority Critical patent/JP3320685B2/ja
Priority to TW089110238A priority patent/TW451271B/zh
Priority to EP00111662A priority patent/EP1058155A3/en
Priority to KR1020000029858A priority patent/KR20010007158A/ko
Priority to US09/587,359 priority patent/US6586163B1/en
Publication of JP2001051424A publication Critical patent/JP2001051424A/ja
Application granted granted Critical
Publication of JP3320685B2 publication Critical patent/JP3320685B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Materials For Photolithography (AREA)
  • Drying Of Semiconductors (AREA)

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、窒化シリコン系膜
を用いた新規な微細パターン形成方法に関する。特に本
発明は微細パターンを形成する際のフォトリソグラフィ
ー工程でのフォトレジスト中での定在波効果を最小限に
し、かつ、デバイス製造工程中における窒化シリコン系
膜の安定性を向上させることができる微細パターンの形
成方法に関する。本発明は、例えば、半導体装置等を製
造する際の微細パターンを形成する方法として利用する
ことができる。
【0002】
【従来の技術】ULSI製造に要求される寸法精度や解
像度を満たすために必要なリソグラフィの周辺技術とし
て、基板による露光光の反射を低減する技術(反射防止
技術)が知られている。基板により露光光が反射される
と、例えばフォトレジスト膜のような感光性薄膜の内部
で薄膜干渉が引き起こされる。このような薄膜干渉が生
ずると、定在波と呼ばれるレジスト膜厚方向の露光むら
が発生し、レジストパターンの解像度が低下する。
【0003】また、基板により露光光が反射されると、
多重干渉と呼ばれるレジストの膜厚変動に伴うパターン
寸法変動が発生し、その影響でレジストパターンの寸法
精度が悪化する。更に、基板により反射される露光光
は、基板の凹凸のために、斜め方向に、かつ、ランダム
に進行する。このため、本来遮光されるべき領域が感光
されて所望のパターンが形成できないという問題(ハレ
ーション)が生じる。これらの問題は基板からの反射光
の強度に比例して顕著になるので、反射光を低減すれば
これらの問題は抑制される。このため、基板からの反射
光を低減する試みは従来より盛んに検討されてきた。
【0004】反射防止の方法はその原理によって大きく
2つに分類できる。その一つは、いわゆる吸光性膜、す
なわち、露光光を吸収する性質の強い膜を反射防止膜と
して用いる方法であり、もう一つの方法は光干渉を利用
して反射防止を行う方法である。
【0005】前者の代表例としてはレジストを塗布する
前に吸光性有機膜を基板上に塗布しておくARC(Anti-
Reflective Coating)法がある。レジストを透過して基
板に向かう光は基板面に到達する前に吸光性有機膜にほ
とんど吸収されてしまうため基板からレジスト膜に戻っ
てくる光の強度は抑制される。なお、ARC法について
は1991年のプロシーディング オブ エスピーアイイ
ー(Poceeding of SPIE)1463巻第16ページ〜29
ページ、および特開昭59−93448号公報に記載さ
れている。
【0006】光干渉を利用する反射防止技術の例として
は、Al,W,Si,WSi等の高反射基板上にTiN,SiOXNY,S
iNX等の反射防止膜を積層する方法がある。この方法に
おいて、反射防止膜の膜厚は、フォトレジストと反射防
止膜との境界面からの反射光と、反射防止膜と基板との
境界面からの反射光とがお互いに逆位相の関係となるよ
うに設定される。この場合、それらの反射光が反射防止
膜の内部で打ち消し合うため、フォトレジストに進入す
る反射光を低減させることができる。
【0007】この方法に関しては特開昭59−6540
号公報、特開昭57−130481号公報、および19
94年のプロシーディング オブ エスピーアイイー(Poc
eeding of SPIE)2197巻第722ページ〜732ペ
ージ、或いは1982年のテクニカル ダイジェスト オ
ブ インターナショナル エレクトロン デバイス ミーテ
ィング(Technical Digests of International Electron
Device Meeting) 第399ページ〜第402ージに記
載されている。
【0008】段差が大きい下地基板上でARC法を用い
た場合、段差上部では図1に示すように反射防止膜10
2の膜厚が段差下部よりも薄くなる。このため、段差上
部のことを考慮して反射防止膜102の膜厚は十分な厚
さに設定しておく必要がある。しかし、微細パターンを
形成する際に厚膜の反射防止膜が用いられると、パター
ン幅に対する反射防止膜の膜厚の比、すなわちアスペク
ト比が非常に大きくなる。この場合、反射防止膜の加工
が非常に困難になると共に、形成されたパターンに倒れ
等の不良が生じ易くなる。
【0009】これに対して、光干渉を利用した反射防止
法で使用されるSiOXNYやSiNX等の反射防止膜は、CVD
法により堆積させることができるため、下地基板に段差
が生じていても均一な膜厚を得ることができる。このた
め、光干渉を利用した反射防止法によれば、ARC法に
比べて優れた反射防止効果を得ることができる。
【0010】
【発明が解決しようとする課題】光干渉を利用する反射
防止法で従来使用されてきたSiOXNYやSiNX等の反射防止
膜の表面には塩基性の窒素が多く含まれている。このよ
うな膜の上にポジティブタイプ(以下、「ポジ型」と称
す)の化学増幅レジストを塗布して露光を行った場合、
露光の後に実行されるレジストの加熱工程(PEB工
程)の際に、レジスト中の酸が、反射防止膜表面の窒素
が持つ非共有電子対と結合してしまう。その結果、レジ
ストと基板との境界面付近において、酸濃度が低下する
という事態が生ずる。
【0011】フォトレジストは、酸濃度が低下した部分
において現像液に溶解しにくくなる特性を有している。
このため、レジストと基板との境界面付近で酸濃度が低
下すると、結果的にレジストパターンに裾引きが発生し
易くなる。レジストパターンの裾引きはパターン線幅の
制御性を悪化させるため好ましくない現象である。
【0012】このような問題を解決するために、反射防
止膜の表面に窒素を含まない物質(例えばプラズマCV
D法で作成したSiO膜)を積層し、その後にフォトレジ
ストを塗布する方法が提案されている(特開平10−1
89441号公報)。しかし、我々が行った実験では、
反射防止膜上にSiO膜を堆積させてもレジストの裾引き
を防止することはできなかった。
【0013】また、SiOXNYやSiNX等の反射防止膜が、例
えば400℃未満の温度でプラズマCVD法により堆積
された場合、それらの膜中には大量の水素原子が包含さ
れる。水素原子を多く含んだ膜を半導体装置中に残した
ままデバイスの作成プロセスが進められると、そのプロ
セスの過程で行われる様々な熱工程を経るに従って、反
射防止膜中の水素が膜中から脱離してアモルファスシリ
コン・アルミニウムおよび銅等の配線部分、およびBP
SG等の層間膜の部分に拡散する。このような現象は配
線材および層間膜の品質劣化を引き起こし、デバイスの
信頼性を低下させる。
【0014】例えば、図4のような工程の場合には、反
射防止膜7を成膜した工程の次に層間膜12(BPSG
等)の成膜およびリフロー工程が存在する。これらの工
程で使用される温度は通常700〜800℃であり、反
射防止膜7の膜組成が変化を起こしてしまう。特に従来
の方法によって窒化シリコン系の膜を成膜した場合に
は、膜中に含まれる水素(Si−H,N−H結合として
含有されている)が脱離し、膜組成に変化をきたす。そ
の結果、膜の光学定数の変化や応力変化、膜はがれ等が
生じる。そのような変化を生じた膜は反射防止膜として
機能しないばかりか、デバイスの諸特性にも悪影響を与
える。水素の脱離に起因する品質低下を防止するために
は微細パターンの形成工程が終わった直後に反射防止膜
を剥離除去することが有効である。しかしながら、この
ような処置は工程数の増大に直結するためなるべく避け
るべきである。
【0015】SiOXNYやSiNX等の反射防止膜を堆積させる
方法としては、減圧CVD法も考えられる。減圧CVD
法によれば、反射防止膜中の水素原子濃度を少なくする
ことができる。しかし、減圧CVD法で反射防止膜を堆
積させるためには、基板を800℃程度まで加熱するこ
とが必要である。
【0016】このような高温での処理は基板に熱変形を
生じさせることがある。また、減圧CVD法で形成され
た膜は、一般的に膜内応力が高いため、基板を変形させ
易い。半導体装置製造用の基板は今後ますます大きくな
る傾向にあるため、基板の熱変形および膜内応力の抑制
はこれまで以上に重要となる。
【0017】以上説明したように、SiOXNYやSiNX等の反
射防止膜を用いて反射光の影響をを抑制する方法は、A
RC法に比して優れてはいるものの、(1)レジストパタ
ーンに裾引きを発生させ易い、(2)デバイスの信頼性を
低下させ易い、および(3)基板を変形させ易い、等の問
題を有するものであった。
【0018】本発明は、上記のような課題を解決するた
めになされたもので、基板の変形を抑制することがで
き、デバイスの信頼性低下を防止することができ、か
つ、微細なレジストパターンを精度良く形成することの
できる微細パターン形成方法を提供することを目的とす
る。
【0019】
【課題を解決するための手段】(1)上記目的を達成す
るために、本発明にかかる微細パターン形成方法は、下
地基板上にフォトレジストを塗布し単一波長により露光
して微細なレジストパターンを形成し、そのレジストパ
ターンをマスクとして下地基板をエッチングすることに
より微細パターンを形成する方法であって、下地基板上
に、直接またはその他の層を介して、窒化シリコン系の
膜を堆積させる工程と、前記の膜の上に、直接またはそ
の他の層を介してフォトレジストを形成する工程と、前
記フォトレジストに露光を行い、マスクパターンを転写
する工程と、転写されたレジストパターンをマスクとし
て窒化シリコン系の膜をエッチングする工程とを有し、
前記窒化シリコン系の膜を堆積させる工程は、プラズマ
CVD装置を用いて、かつ、前記下地基板が配置される
部分を450℃を超える700℃以下の温度として実行
されることを特徴とする。
【0020】(2)また、前記窒化シリコン系の膜は、
195nm以下の露光波長に対して1.4以上3.5以下
の屈折率、および0.2以上0.8以下の消衰係数を示
し、更に、10nm以上200nm以下の膜厚を有すること
が望ましい。本条件を満たせば前記窒化シリコン系の膜
は反射防止膜として十分な機能を有することになる。
【0021】(3)また、前記窒化シリコン系の膜を堆
積させる工程は、原料ガス中に含まれるアンモニアを1
0mol%以下に設定して実行されることが望ましい。
のガス条件を使用して窒化シリコン系膜を堆積すれば膜
中の水素濃度を低くすることができる。
【0022】(4)また、上記の方法に加えて前記フォ
トレジスト形成工程の直前に400℃以上700℃以下
の温度条件でプラズマCVD法を用いて酸化シリコン膜
を堆積する工程を有する方法が有効である。本方法で得
られた酸化シリコン膜は400℃以下で形成されていた
従来の酸化シリコン膜に比べて膜密度が高いので、化学
増幅型レジストに含まれる酸が酸化シリコン膜中に拡散
することを抑制できる。また、酸化シリコン中に含まれ
る窒素の含有量を小さくすることができる。
【0023】本発明によれば、水素の含有濃度が小さ
く、かつ、膜内ストレスが小さい窒化シリコン系の反射
防止膜を形成することができる。従って、図4などの工
程によっても膜組成の変化や脱ガスはほとんどなく光学
定数も安定だと考えられる。そのため、後に高温の工程
が入るデバイス下層においても膜組成の変化がほとんど
無い反射防止膜を使用することが可能となる。また、デ
バイスの製造工程上、反射防止膜を取り除かない場合な
どは熱的に安定であるため、デバイスの諸特性に影響を
与え難い。これに加えて、フォトレジストの形成工程の
直前に膜密度の高い酸化シリコン膜を堆積することによ
りポジ型化学増幅レジストパターン形成時のレジスト−
基板界面におけるパターンの裾引きを抑制することがで
きる。
【0024】
【発明の実施の形態】実施の形態1.以下、図2および
図3を参照して本発明の実施の形態1について説明す
る。図2は、本発明の実施の形態1の微細パターン形成
方法の工程を説明するための図を示す。図2において、
符号1および1′はそれぞれフォトレジストおよびフォ
トレジストパターンを、符号2および2′はそれぞれ加
工前後のタングステン膜(W膜)を、符号3および3′
はそれぞれ加工前後の窒化チタン膜(TiN膜)を、符号
4および4′はそれぞれ加工前後のポリシリコン膜を示
す。また、図2において、符号5は酸化シリコン膜(Si
O2膜)を、符号6は基板を、符号7は窒化シリコン膜を
示す。更に、図2において、符号8および9は、フォト
レジスト1の露光に用いられる露光光およびマスクを示
す。
【0025】本実施形態の微細パターン形成方法では、
図2に示す如く、被加工基板6上にプラズマCVD法に
より窒化シリコン膜7が堆積され、その上部にフォトレ
ジスト1が形成される。本実施形態において、フォトレ
ジスト1には、クラリアント社製のポリアクリル系ポジ
型ArFレジストが用いられる。
【0026】フォトレジスト1と窒化シリコン膜7との
境界面で生ずる反射光の反射率を計算するために、分光
エリプソメーターによりフォトレジスト1、窒化シリコ
ン膜7、W膜2、TiN膜3の光学定数を測定した。その
結果、波長193nmの光に対して表1のような値を得
た。なお、窒化シリコン膜7の成膜では日本ASM社製
のプラズマCVD装置を用い、500℃の温度条件で、
原材料ガスはSiH4(200sccm)とN2(1000sc
cm)を採用した。
【0027】
【表1】
【0028】この結果を用いて、フォトレジスト1から
基板6の界面までの領域(以下、「レジスト−基板界
面」)で形成される反射率を計算し、以下に示す特性を
求めた。図3は図2に示す構成を有する下地(基板6か
ら窒化シリコン膜7まで)の上に前記表1の光学定数を
もつフォトレジスト1を塗布して露光を行った場合に、
レジスト−基板界面における強度反射率が、つまり、入
射光の強度Iと反射光強度Rの比I/Rが、窒化シリコ
ン膜7の膜厚に対して示す依存性の計算結果である。
【0029】このような計算は例えば、「基礎光学(2
55ページ)」(工藤恵栄・上原富美哉著:現代工学
社)等の文献に詳述される計算方法を用いて行うことが
できる。 図3に示す結果より、反射防止膜厚(窒化シ
リコン膜7)の膜厚が73nmである場合に、下地からの
反射率が極小値となり良好な線幅制御性が期待できるこ
とが判る。
【0030】なお、ここではなるべく線幅制御性が高く
なるようにフォトレジスト1から下地界面までの領域で
生ずる反射率が極小となる条件を採用したが、必ずしも
反射率が極小値をとる必要はなく、フォトリソグラフィ
ー工程で要求される寸法精度が達成できる程度に強度反
射率の値が小さい値に抑えられている条件を選べばよ
い。
【0031】本実施形態の微細パターン形成方法では、
上述の計算結果に基づいて、プラズマCVD法により7
3nmの膜厚を有する窒化シリコン膜7がW膜2上に成膜
される。その後、前記表1の光学定数をもつフォトレジ
スト1が、0.5μmの膜厚が得られるように塗布され
る(図2(a))。
【0032】次いでArFエキシマレーザーステッパによ
りパターン露光が実行される(図2(b))。その後、
テトラメチルアンモニウムヒドロキシド水溶液等の有機
アルカリ系水溶液を現像液とする現像処理が実行される
ことにより、0.15μmの密集ラインパターンが形成
される(図2(c))。
【0033】このようにして得られたレジストパターン
1′を走査型電子線顕微鏡で観察した結果、パターン側
面のラフネスはほとんど観察されなかった。この結果
は、膜厚方向における露光むらがないこと、つまり、下
地基板からの反射が抑制され薄膜干渉効果が低減してい
ることを意味する。また、上記の微細パターン形成方法
によれば、下地からの乱反射によるパターン寸法の乱れ
が生じないこと、すなわち、ハレーションが防止され、
その結果良好なレジストパターンが得られることが確認
された。
【0034】本実施形態の微細パターン形成方法では、
上記の処理により得られたレジストパターン1′をマス
クとして窒化シリコン膜7をエッチングすることによ
り、パターン転写が行われる(図2(d))。本実施形
態において、上記のエッチングは、ラムリサーチ社製の
エッチング装置(TCP-9400)を用いて、エッチングガス
種としてCHF3を採用して実行される。
【0035】次に、基板6を酸素プラズマ雰囲気に晒す
ことにより、レジストパターン1′が除去される(図2
(e))。続いて、パターン転写された窒化シリコン膜
7′をマスクとして下地基板がエッチングされる。上記
のエッチングは、アプライドマテリアルズ社製のプロト
タイプエッチング装置を用いて、エッチングガス種にHB
r/Cl2/O2混合ガスを用いて実行される。これら一連の工
程を実行することにより、基板6上に0.15μm密集
配線パターンを転写することができる(図2(f))。
【0036】ホロン社製短寸法測定装置ESPA-61を用い
てウェハ面内25点の配線パターンの線幅のばらつきを
調べた結果を表2に示す。表2に示すように、本実施形
態の微細パターン形成方法で形成されたウェハ面内での
線幅のばらつきは3σ値で9.7nmであった。尚、測定
した配線パターンの設計値はすべて150nmである。こ
の結果より、本実施形態の微細パターン形成方法は十分
な寸法制御性を有していることが判った。
【0037】
【表2】
【0038】比較例1.以下、実施の形態1の方法と比
較するための比較例1について説明する。本比較例のパ
ターン形成方法では、実施の形態1の場合と同様に、図
2に示すような被加工基板6の上にプラズマCVD法に
より窒化シリコン膜7が堆積され、続いてその上に、例
えばポリアクリル系のポジ型レジストから成るフォトレ
ジスト層が形成される。
【0039】但し、本比較例では、実施の形態1と異な
り、窒化シリコン膜7の膜厚が8nmとされている。尚、
それ以外の工程は実施の形態1の場合と同一である。実
施の形態1の場合と同様の手法で計算すると、比較例1
で形成されたウェハ上では、レジスト−基板界面におけ
る強度反射率が34%(図3参照)となり、実施の形態
1の場合よりも大きな値となる。
【0040】ホロン社製短寸法測定装置ESPA-61を用い
てウェハ面内25点の配線パターンの線幅ばらつきを調
べた結果、そのばらつきは3σ値で19.2nmであった
(表2参照)。このように、比較例1の方法では、レジ
スト−基板界面における強度反射率が大きい分だけ実施
の形態1の方法に比して線幅制御性が低下することが判
った。
【0041】ところで、上記の実施形態においては、フ
ォトレジスト1の露光波長は193nmに限定されている
が、本発明はこれに限定されるものではなく、露光波長
は195nm以下であれば同等の効果を得ることができ
る。
【0042】また、上記の実施形態においては、窒化シ
リコン膜7の屈折率および消衰係数は、それぞれ1.9
59および0.448に限定されているが、本発明はこ
れに限定されるものではない。具体的には、屈折率が
1.4〜3.5の範囲内であり、消衰係数が0.2〜
0.8の範囲内であれば、同等の効果を得ることができ
る。
【0043】また、上記の実施形態においては、窒化シ
リコン膜7の膜厚が73nmに限定されているが、その膜
厚はこれに限定されるものではなく、窒化シリコン膜7
の膜厚は10〜200nmの範囲内であればよい。
【0044】また、上記の実施形態においては、窒化シ
リコン膜7の成膜時のCVD温度が500℃に限定され
ているが、その温度はこれに限定されるものではなく、
400℃〜700℃の範囲内であればよい。
【0045】更に、上記の実施形態においては、窒化シ
リコン7の成膜時のガス条件がSiH4(200sccm)
/N2(1000sccm)に限定されているが、ガス条件
はこれに限定されるものではなく、原材料中のアンモニ
アの量が10mol%以下であればよい。
【0046】実施の形態2.次に、図4乃至図6を参照
して本発明の実施の形態2について説明する。図4は、
本発明の実施の形態2の微細パターン形成方法の工程を
説明するための図を示す。尚、図4において図2に示す
構成要素と同一または対応する要素については、同一の
符号を付してその説明を省略する。図4において、符号
10は加工されたタングステンシリサイド膜(WSi膜)
を、符号11は加工された非晶質シリコン膜を、また、
符号12および12′はそれぞれ加工前後のBPSG膜
を示す。尚、非晶質シリコン膜10と基板6との間に
は、それらを絶縁する酸化シリコン膜(図示省略)が介
在している。
【0047】本実施形態の微細パターン形成方法では、
図4に示す如く、ゲート配線が既にパターニングされて
いる下地基板6上にプラズマCVD法により窒化シリコ
ン膜7が堆積される。本実施形態では、基板6として、
直径300mmの半導体装置製造向けウェハが用いられ
る。窒化シリコン膜7の上には、ボロン及びリンがドー
プされた酸化シリコン膜(BPSG)12が約1000n
mの膜厚で堆積される。更に、BPSG12の上にはフ
ォトレジスト1が形成される。本実施形態において、フ
ォトレジスト1には、東京応化製のシクロオレフィン系
ポジ型レジストが用いられる。
【0048】フォトレジスト1とBPSG膜12との境
界面(以下、「レジスト−BPSG界面」と称す)で生
ずる反射光の反射率を計算するために、分光エリプソメ
ーターによりフォトレジスト1、BPSG膜12、窒化
シリコン膜7、WSi膜10の光学定数を測定した。その
結果、波長193nmの光に対して表3のような値を得
た。なお、窒化シリコン膜7の成膜では、実施の形態1
の場合と同様に、日本ASM社製のプラズマCVD装置
を用い、成膜条件を以下の用に設定した。 成膜温度:500℃ ガス条件:SiH4(200sccm)、N2(1000scc
m)
【0049】
【表3】
【0050】図4から判るように、本実施形態において
は、フォトレジスト1の下地として2種類の構成が用い
られる。一つはBPSG膜12/窒化シリコン膜7/WS
i膜10/非晶質シリコン膜11/酸化シリコン膜(図
示せず)/シリコン基板6の積層構造で構成される部分
である。もう一つはBPSG膜12/窒化シリコン膜7
/シリコン基板6の積層構造で構成される部分である。
【0051】本実施形態では前者の下地構成を「下地
1」、後者の下地構成を「下地2」と表記する。レジス
ト−BPSG界面における強度反射率を下地1及び2の
場合についてそれぞれ計算した結果を図5(a)(b)に示
す。これらの結果に示しように、レジスト−BPSG界
面での強度反射率がBPSG膜12の膜厚に応じて変動
することを考慮しても、窒化シリコン膜7の厚みを70
nmに設定すれば、その強度反射率は下地1および下地2
の何れにおいても5〜10%の範囲に抑制することがで
きる。従って、このような設定によれば、良好な線幅制
御性が期待できる。
【0052】本実施形態の微細パターン形成方法では、
上述の計算結果に基づいて、プラズマCVD法により7
0nmの膜厚を有する窒化シリコン膜7がWSi膜10上に
成膜される。その後、窒化シリコン膜7の上にBPSG
膜12が積層され、更にその上に、前記表3の光学定数
をもつフォトレジスト1が0.7μmの膜厚を有するよ
うに塗布される(図4(b))。
【0053】次いでArFエキシマレーザーステッパによ
りパターン露光が実行される(図4(c))。その後、
テトラメチルアンモニウムヒドロキシド水溶液等の有機
アルカリ系水溶液を現像液とする現像処理が実行される
ことにより、0.18μmの密集ラインパターンが形成
される(図4(d))。
【0054】このようにして得られたレジストパターン
1′を走査型電子線顕微鏡で観察した結果、パターン側
面のラフネスが十分に小さく、かつ、下地からの乱反射
によるパターン寸法の乱れが生じていないこと、すなわ
ち、ハレーションが防止され、その結果良好なレジスト
パターンが得られていることが確認された。
【0055】本実施形態の微細パターン形成方法では、
上記の処理により得られたレジストパターン1′をマス
クとしてBPSG膜12をエッチングすることにより、
パターン転写が行われる。本実施形態において、上記の
エッチングは、東京エレクロトン社製の平行平板型プロ
トタイプエッチング装置を用い、エッチングガス種とし
てCHF3/CF4混合ガスを用いて行われる。
【0056】次に、基板6を酸素プラズマ雰囲気に晒す
ことにより、レジストパターン1′が除去される(図4
(e))。続いて、パターン転写されたBPSG膜1
2′をマスクとして窒化シリコン膜7がエッチングされ
る。上記のエッチングは、アプライドマテリアルズ社製
のプロトタイプエッチング装置を用いて、エッチングガ
ス種にCF4/O2混合ガスを用いて実行される。これら一連
の工程を実行することにより、基板6上に0.18μm
径のホールパターンを転写することができる(図4
(f))。
【0057】ホロン社製短寸法測定装置ESPA-61を用い
てウェハ面内25点のホールパターンの直径のばらつき
を調べたところ、ウェハ面内での直径ばらつきは3σ値
で11.2nmであった。尚、測定したホールパターンの
設計値はすべて180nmである。この結果より、本実施
形態の微細パターン形成方法は十分な寸法制御性を有し
ていることが判った。
【0058】また、下地基板に形成されていたゲート配
線パターンのウェハ内での位置が、図4の工程(b)〜(f)
を経た後にどの程度ずれたかをニコン社製の長寸法測定
装置(光波6i)を用いて面内25点で測定した。そのずれ
量の3σの算出結果を表4に示す。尚、位置ずれの原因
は、主に窒化シリコン膜7を形成する際の熱処理中にお
けるウェハの変形、および形成された窒化シリコン膜7
の応力であると考えられる。位置ずれの許容範囲は線幅
の20%以内、すなわち、線幅が180nmである場合は
36nm以内である。表4に示す結果より、本実施形態の
微細パターン形成方法で形成されたウェハでは、その全
面においてゲート配線パターンの位置ずれが許容範囲内
に収まっていることがわかる。
【0059】
【表4】
【0060】比較例2.以下、実施の形態2の方法と比
較するための比較例2について説明する。本比較例のパ
ターン形成方法では、図4に示すようにゲート配線が既
にパターニングされている下地基板上に、減圧CVD法
により窒化シリコン膜7が堆積され、次いでボロン及び
リンがドープされた酸化シリコン膜(BPSG膜)12
が約1000nmの膜厚で堆積され、続いてフォトレジス
ト1(本実施例では東京応化製のシクロオレフィン系ポ
ジ型レジスト)が形成される。本比較例で使用されるシ
リコン基板6は実施の形態2の場合と同様に直径300
mmの半導体装置製造向けウェハである。
【0061】フォトレジスト1とBPSG膜12との境
界面、すなわちレジスト−BPSG界面での反射率を計
算するために、減圧CVD法で形成された窒化シリコン
膜7の光学定数を分光エリプソメーターにより測定し
た。その結果、波長193nmの光に対して表3のような
値を得た。比較例2において、窒化シリコン膜7は、東
京エレクトロン社製の減圧CVD装置を用い、成膜温度
を800℃に設定して成膜した。なお、窒化シリコン膜
7以外の膜は、実施の形態2の場合と同一である。
【0062】図6(a)および図6(b)は、上記の構成を有
する下地上に前記表3の光学定数をもつフォトレジスト
1を塗布して露光を行った場合に、レジスト−基板界面
における強度反射率が窒化シリコン膜7の膜厚に対して
示す依存性を、実施の形態2の場合と同様に下地1およ
び2のそれぞれについて求めた結果である。これらの結
果が示すように、レジスト−基板界面における強度反射
率は、窒化シリコン膜7の厚みを80nmに設定すれば、
下地1および下地2の何れにおいても実施の形態2と同
等のレベルに、すなわち5〜10%の範囲に抑制するこ
とができる。従って、比較例2の方法によっても、この
ような設定を用いることによれば、良好な線幅制御性が
期待できる。
【0063】比較例2の微細パターン形成方法では、上
述の計算結果に基づいて、減圧CVD法により80nmの
膜厚を有する窒化シリコン膜7がWSi膜10上に成膜さ
れる。その後、窒化シリコン膜7の上にBPSG膜12
が積層され、更にその上に、前記表3の光学定数をもつ
フォトレジスト1が0.7μmの膜厚を有するように塗
布される(図4(b)参照)。
【0064】次いでArFエキシマレーザーステッパによ
りパターン露光が実行される(図4(c)参照)。その
後、テトラメチルアンモニウムヒドロキシド水溶液等の
有機アルカリ系水溶液を現像液とする現像処理が実行さ
れることにより、0.18μmの密集ラインパターンが
形成される(図4(d))。
【0065】このようにして得られたレジストパターン
1′を走査型電子線顕微鏡で観察した結果、パターン側
面のラフネスが十分に小さく、かつ、下地からの乱反射
によるパターン寸法の乱れが生じていないこと、すなわ
ち、ハレーションが防止され、その結果良好なレジスト
パターンが得られていることが確認された。以後、得ら
れたレジストパターン1′をマスクとして実施の形態2
と同様の方法によりBPSG膜12および窒化シリコン
膜7をエッチングすることで、0.18μm径のホール
パターンをウェハに転写することができる。
【0066】ホロン社製短寸法測定装置ESPA-61を用い
てウェハ面内25点のホールパターンの直径のばらつき
を調べたところ、ウェハ面内での直径ばらつきは3σ値
で12.1nmであった。尚、測定したホールパターンの
設計値はすべて180nmである。この結果より、本実施
形態の微細パターン形成方法は、実施の形態2の方法と
同等の寸法制御性を有していることが判った。
【0067】また、下地基板に形成されていたゲート配
線パターンのウェハ内での位置が、図4の工程(b)〜(f)
を経た後にどの程度ずれたかをニコン社製の長寸法測定
装置(光波6i)を用いて面内25点で測定した。そのずれ
量の3σの算出結果を表4に示す。表4に示す結果よ
り、比較例2の微細パターン形成方法で形成されたウェ
ハでは、その全面においてゲート配線パターンの位置ず
れが実施の形態2の場合に比べて大きくなっていること
がわかる。この結果は、比較例2の方法では、窒化シリ
コン膜7の形成時にウェハが長時間高熱に晒されるた
め、実施の形態2の場合に比して大きなウェハ変形が生
ずることに由来するものである。
【0068】ところで、上記の実施形態においては、フ
ォトレジスト1の露光波長は193nmに限定されている
が、本発明はこれに限定されるものではなく、露光波長
は195nm以下であれば同等の効果を得ることができ
る。
【0069】また、上記の実施形態においては、窒化シ
リコン膜7の屈折率および消衰係数は、それぞれ1.9
59および0.448に限定されているが、本発明はこ
れに限定されるものではない。具体的には、屈折率が
1.4〜3.5の範囲内であり、消衰係数が0.2〜
0.8の範囲内であれば、同等の効果を得ることができ
る。
【0070】また、上記の実施形態においては、窒化シ
リコン膜7の膜厚が70nmに限定されているが、その膜
厚はこれに限定されるものではなく、窒化シリコン膜7
の膜厚は10〜200nmの範囲内であればよい。
【0071】また、上記の実施形態においては、窒化シ
リコン膜7の成膜時のCVD温度が500℃に限定され
ているが、その温度はこれに限定されるものではなく、
400℃〜700℃の範囲内であればよい。
【0072】更に、上記の実施形態においては、窒化シ
リコン7の成膜時のガス条件がSiH4(200sccm)
/N2(1000sccm)に限定されているが、ガス条件
はこれに限定されるものではなく、原材料中のアンモニ
アの量が10mol%以下であればよい。
【0073】実施の形態3.次に、図7乃至図10を参
照して本発明の実施の形態3について説明する。図7
は、本発明の実施の形態3の微細パターン形成方法の工
程を説明するための図を示す。尚、図7において図2ま
たは図4に示す構成要素と同一または対応する要素につ
いては、同一の符号を付してその説明を省略する。図7
において、符号13および13′はそれぞれ加工前後の
多結晶シリコン膜を、また、符号14および14′はそ
れぞれ加工前後の酸化シリコン膜を示す。尚、多結晶シ
リコン膜13,13′と基板6との間には、両者を絶縁
する酸化シリコン膜(図示省略)が介在している。
【0074】本実施形態の微細パターン形成方法では、
図7に示す如く、被加工基板上にプラズマCVD法によ
り窒化シリコン膜7が堆積される。窒化シリコン膜7の
上には、プラズマCVD法により酸化シリコン膜14が
約10nmの膜厚で堆積される。更に、酸化シリコン膜1
4の上には、例えばポリアクリル系のポジ型フォトレジ
ストから成るフォトレジスト1が形成される。本実施形
態において、フォトレジスト1には、住友化学工業製の
ArFエキシマレーザー用レジスト(PAR-101)が用いられ
る。窒化シリコン膜7は実施の形態1の場合と同じ成膜
条件で成膜される。また、酸化シリコン膜14の成膜で
は、日本ASM社製のプラズマCVD装置を用い、成膜
条件を以下の用に設定した。 成膜温度:500℃ ガス条件:SiH4(200sccm)、N2(1000scc
m)
【0075】フォトレジスト1と酸化シリコン膜14と
の境界面での反射率を計算するために、分光エリプソメ
ーターによりフォトレジスト1、および酸化シリコン膜
14の光学定数を測定した。その結果、波長193nmの
光に対して表5のような値を得た。
【0076】
【表5】
【0077】この結果を用いて、レジスト−基板界面で
の反射率を計算し、以下に示す特性を求めた。図8は図
7に示す構成を有する下地(基板6から酸化シリコン膜
14まで)の上に前記表5の光学定数をもつフォトレジ
スト1を塗布して露光を行った場合に、レジスト−基板
界面における強度反射率が窒化シリコン膜7の膜厚に対
して示す依存性の計算結果である。図8に示す結果よ
り、窒化シリコン膜7の膜厚が24nmである場合に、下
地からの反射率が2%程度に抑制できることがわかる。
従って、このような設定によれば、良好な線幅制御性が
期待できる。
【0078】なお、ここでは、線幅制御性がなるべく高
くなるようにフォトレジスト−下地界面からの反射率が
最小となる条件を採用したが、必ずしも反射率が最小値
をとる必要はなく、フォトリソグラフィー工程で要求さ
れる寸法精度が達成できる程度に強度反射率の値が小さ
い値に抑えられる条件を選べばよい。
【0079】本実施形態の微細パターン形成方法では、
上述の計算結果に基づいて、プラズマCVD法により2
4nmの膜厚を有する窒化シリコン膜7が多結晶シリコン
膜13上に成膜される。次いで、プラズマCVD法によ
り10nmの膜厚を有する酸化シリコン膜14が堆積され
る。その後更に、前記表5の光学定数をもつ住友化学工
業社製フォトレジスト(PAR-101)が0.3μmの膜厚を有
するように塗布される(図7(a))。
【0080】次いでISI社製ArFエキシマレーザース
テッパによりパターン露光が実行される(図7
(b))。その後、テトラメチルアンモニウムヒドロキ
シド水溶液等の有機アルカリ系水溶液を現像液とする現
像処理が実行されることにより、0.15μmの密集ラ
インパターンが形成される(図7(c))。
【0081】このようにして得られたレジストパターン
1′を走査型電子線顕微鏡で観察した結果、パターン側
面のラフネスが小さく、かつ、レジスト−酸化シリコン
膜界面でレジストパターン1′の裾引きが生じていない
ことが確認された(図10(a)参照)。
【0082】本実施形態の微細パターン形成方法では、
上記の処理により得られたレジストパターン1′をマス
クとして窒化シリコン膜7をエッチングすることによ
り、パターン転写が行われる(図7(d))。本実施形
態において、上記のエッチングは、ラムリサーチ社製の
エッチング装置(TCP-9400)を用いて、エッチングガス
種としてCHF3を採用して実行される。
【0083】次に、パターン転写された窒化シリコン膜
7′をマスクとして下地基板がエッチングされる(図7
(e))。上記のエッチングは、アプライドマテリアル
ズ社製のプロトタイプエッチング装置を用いて、エッチ
ングガス種にHBr/Cl2/O2混合ガスを用いて実行される。
続いて、基板6を酸素プラズマ雰囲気に晒すことによ
り、レジストパターン1′が除去される。これら一連の
工程を実行することにより、基板6上に0.15μmの
多結晶シリコン膜13′から成る配線パターンを転写す
ることができる(図7(f))。
【0084】ホロン社製短寸法測定装置ESPA-61を用い
てウェハ面内25点の配線パターンの線幅ばらつきを調
べた結果、ウェハ面内での線幅ばらつきは実施の形態1
の場合と同様に3σ値で9.7nmであった(表2参照)。
尚、測定したホールパターンの設計値はすべて150nm
である。この結果より、本実施形態の微細パターン形成
方法は十分な寸法制御性を有していることが判った。
【0085】比較例3.以下、実施の形態3の方法と比
較するための比較例3について説明する。本比較例のパ
ターン形成方法では、図7に示すような被加工基板上
に、プラズマCVD法により窒化シリコン膜7が堆積さ
れ、次いでプラズマCVD法により酸化シリコン膜14
が堆積され、続いて、例えばポリアクリル系のポジ型レ
ジストから成るフォトレジスト1が形成される。本比較
例では、200mm径のシリコンウェハ上に2nmの膜厚で
酸化シリコン膜5を堆積させ、更にその上に、非晶質シ
リコン膜を堆積させたものを被加工基板として用いた。
【0086】また、本実施形態において、フォトレジス
ト1には、実施の形態3の場合と同様に住友化学工業社
製のArFエキシマレーザー用レジスト(PAR-101)を用い
た。更に、窒化シリコン膜7は実施の形態1の場合と同
じ条件で成膜し、酸化シリコン膜14は日本ASM社製
のプラズマCVD装置を用いて300℃の成膜温度で成
膜した。
【0087】フォトレジスト1と酸化シリコン膜14と
の境界面での反射率を計算するために、酸化シリコン膜
14の光学定数を測定した。その結果、波長193nmの
光に対して表5のような値を得た。
【0088】この結果を用いて、レジスト−基板界面で
の反射率を計算し、以下に示す特性を求めた。図9は上
記構成を有する下地(基板6から酸化シリコン膜14ま
で)の上に前記表5の光学定数をもつフォトレジスト1
を塗布して露光を行った場合に、レジスト−基板界面に
おける強度反射率が窒化シリコン膜7の膜厚に対して示
す依存性の計算結果である。図9に示す結果より、反射
防止膜(窒化シリコン膜7)の膜厚が23nmである場合
に、下地からの反射率が2%程度に抑制できることがわ
かる。従って、このような設定によれば、良好な線幅制
御性が期待できる。
【0089】本実施形態の微細パターン形成方法では、
上述の計算結果に基づいて、プラズマCVD法により2
3nmの膜厚を有する窒化シリコン膜7が非晶質シリコン
膜上に成膜される。次いで、プラズマCVD法により1
0nmの膜厚を有する酸化シリコン膜14が300℃の成
膜温度で堆積される。その後更に、前記表5の光学定数
をもつ住友化学工業社製フォトレジスト(PAR-101)が
0.3μmの膜厚を有するように塗布される(図7
(a)参照)。
【0090】次いでArFエキシマレーザーステッパによ
りパターン露光が実行される(図7(b)参照)。その
後、テトラメチルアンモニウムヒドロキシド水溶液等の
有機アルカリ系水溶液を現像液とする現像処理が実行さ
れることにより、0.15μmの密集ラインパターンが
形成される(図7(c)参照)。
【0091】このようにして得られたレジストパターン
1′を走査型電子線顕微鏡で観察した結果、パターン側
面のラフネスが小さく、下地からの反射光が抑制されて
いることがわかった。しかしながら、、上述した電子顕
微鏡による観察では、レジスト−酸化シリコン膜界面で
レジストパターン1′の裾引きが生じていることが確認
された(図10(b)参照)。
【0092】比較例3の微細パターン形成方法では、上
記の処理により得られたレジストパターン1′をマスク
として窒化シリコン膜7をエッチングすることにより、
パターン転写が行われる(図7(d)参照)。本実施形
態において、上記のエッチングは、ラムリサーチ社製の
エッチング装置(TCP-9400)を用いて、エッチングガス
種としてCHF3を採用して実行される。
【0093】次に、パターン転写された窒化シリコン膜
7′をマスクとして非晶質シリコン層がエッチングされ
る(図7(e)参照)。上記のエッチングは、アプライ
ドマテリアルズ社製のプロトタイプエッチング装置を用
いて、エッチングガス種にHBr/Cl2/O2混合ガスを用いて
実行される。続いて、基板6を酸素プラズマ雰囲気に晒
すことにより、レジストパターン1′が除去される。こ
れら一連の工程を実行することにより、基板6上に0.
15μmの多結晶シリコン膜13′から成る配線パター
ンを転写することができる(図7(f)参照)。
【0094】ホロン社製短寸法測定装置ESPA-61を用い
てウェハ面内25点の配線パターンの線幅ばらつきを調
べた結果、ウェハ面内での線幅ばらつきは3σ値で1
6.8nmであった(表2参照)。この結果より、比較例
3の微細パターン形成方法では、実施の形態3の微細パ
ターン形成方法に比して線幅に大きなばらつきが生じ易
いことが判る。線幅ばらつきの悪化は、レジストパター
ンが裾引き形状であったため、エッチング工程における
線幅制御性が悪化したことに由来する。
【0095】ところで、上記の実施形態においては、フ
ォトレジスト1の露光波長は193nmに限定されている
が、本発明はこれに限定されるものではなく、露光波長
は195nm以下であれば同等の効果を得ることができ
る。
【0096】また、上記の実施形態においては、窒化シ
リコン膜7の屈折率および消衰係数は、それぞれ1.9
59および0.448に限定されているが、本発明はこ
れに限定されるものではない。具体的には、屈折率が
1.4〜3.5の範囲内であり、消衰係数が0.2〜
0.8の範囲内であれば、同等の効果を得ることができ
る。
【0097】また、上記の実施形態においては、窒化シ
リコン膜7の膜厚を実施の形態1の場合と同様に成膜す
ることとしているが、窒化シリコン膜の膜厚および成膜
温度はこれに限定されるものではない。すなわち、窒化
シリコン膜7の膜厚は10〜200nmの範囲内であれば
よく、また、その成膜温度(CVD温度)は400℃〜
700℃の範囲内であればよい。
【0098】また、上記の実施形態においては、窒化シ
リコン7の成膜時のガス条件がSiH4(200sccm)
/N2(1000sccm)に限定されているが、ガス条件
はこれに限定されるものではなく、原材料中のアンモニ
アの量が10mol%以下であればよい。
【0099】更に、上記の実施形態においては、酸化シ
リコン膜14の成膜時のCVD温度が500℃に限定さ
れているが、そのCVD温度はこれに限定されるもので
はなく、400℃〜700℃の範囲内であればよい。
【0100】実施の形態4.次に、図11を参照して本
発明の実施の形態4および比較例4について説明する。
図11は、本発明における実施の形態4および比較例4
の工程を説明するための図を示す。尚、図2または図4
に示す構成要素同一または対応する要素については、同
一の符号を付してその説明を省略する。
【0101】本実施形態および比較例では図11に示す
如く、シリコン基板6上に窒化シリコン膜7が日本AS
M社製のプラズマCVD装置(Eagle-10)を用いて堆積
される。堆積工程における詳細な成膜条件を表6に示
す。
【0102】
【表6】
【0103】次に、窒化シリコン膜の熱安定性を調べる
ために昇温脱離ガス分析装置(TDS)を用いて、加熱
時に膜中より発生する水素ガスの定量を行った。測定に
は電子科学(株)製のEMD-WA1000Sを用いた。その結果
を図12に示す。図12において、横軸は試料の温度
を、また、縦軸は脱離した水素分子の量を示す。比較例
4により堆積させた窒化シリコン膜からは、実施の形態
4により堆積させた窒化シリコン膜よりも多量の水素分
子が放出されていることがわかった。
【0104】また、実施の形態4および比較例4の方法
によりそれぞれ得られた窒化シリコン膜を650℃で1
0分間加熱した後に窒化シリコン膜の光学定数(屈折率
および消衰係数)を測定したところ、比較例4で形成さ
れた窒化シリコン膜は、実施の形態4で得られた窒化シ
リコン膜に比べて加熱前後での光学定数の変化が大きい
ことがわかった(表6参照)。このように、実施の形態
4の条件によれば、比較例4の場合に比べて熱安定性に
優れた窒化シリコン膜を得ることができる。
【0105】ところで、上記の実施形態においては、窒
化シリコン7の成膜条件を表6に示すように設定されて
いるが、その条件は表6の条件に限定されるものではな
く、原材料ガスに含まれるアンモニアの量が10mol%
以下であり、かつ、成膜温度が400℃〜700℃の範
囲内であればよい。その他、当業者に自明な範囲で種々
の変更や改良を行うことが可能である。
【0106】
【発明の効果】この発明は以上説明したように構成され
ているので、以下に示すような効果を奏する。下地基板
上に、直接またはその他の層を介して、窒化シリコン系
の膜を製膜する工程と、前記の膜の上に、直接またはそ
の他の層を介してフォトレジストを形成する工程と、前
記フォトレジストに露光を行い、マスクパターンを転写
する工程と、転写されたレジストパターンをマスクとし
て窒化シリコン系の膜をエッチングする工程とを有する
微細パターン形成方法を採用することにより、リソグラ
フィー工程において高精度な微細パターンを形成するこ
とができる。
【0107】また、前記窒化シリコン系の膜を堆積する
工程が、プラズマCVD装置を用いて、かつ、前記基板
が配置される部分を450℃を超える700℃以下の温
度に設定し、かつ、原材料ガス中に含まれるアンモニア
を10mol%以下に設定して実行されるため、熱的安定
性が高いシリコン窒化膜を形成することが可能となり、
かつ、窒化シリコン系の膜の形成中に発生するウェハの
熱変形を抑制することができる。
【0108】また、前記窒化シリコン系の膜を堆積させ
た後にプラズマCVD装置を用いて400℃以上700
℃以下の温度条件で酸化シリコン膜を堆積させ、その直
上にフォトレジストを形成してフォトレジストの露光を
行うため、マスクパターンを転写する工程において、フ
ォトレジスト−基板界面におけるレジストパターンの裾
引きを抑制し、高精度な微細パターンを形成することが
できる。
【図面の簡単な説明】
【図1】従来法(ARC法)の問題点を示す概念図であ
る。
【図2】本発明の実施の形態1および比較例1の工程を
示す断面図である。
【図3】実施の形態1および比較例1におけるレジスト
−基板界面からの光強度反射率の窒化シリコン膜厚に対
する依存性を示す図である。
【図4】本発明の実施の形態2および比較例2の工程を
示す断面図である。
【図5】図5(a)は実施の形態2におけるレジスト−
BPSG基板界面の下地1領域からの光強度反射率の窒
化シリコン膜厚に対する依存性を示す図である。図5
(b)は実施の形態2におけるレジスト−BPSG基板
界面の下地2領域からの光強度反射率の窒化シリコン膜
厚に対する依存性を示す図である。
【図6】図6(a)は比較例2におけるレジスト−BP
SG基板界面の下地1領域からの光強度反射率の窒化シ
リコン膜厚に対する依存性を示す図である。図6(b)
は比較例2におけるレジスト−BPSG基板界面の下地
2領域からの光強度反射率の窒化シリコン膜厚に対する
依存性を示す図である。
【図7】本発明の実施の形態3および比較例3の工程を
示す断面図である。
【図8】実施の形態3におけるレジスト−酸化シリコン
基板界面からの光強度反射率の窒化シリコン膜厚に対す
る依存性を示す図である。
【図9】比較例3におけるレジスト−酸化シリコン基板
界面からの光強度反射率の窒化シリコン膜厚に対する依
存性を示す図である。
【図10】図10(a)は実施の形態3の方法でパター
ニングされた150nm幅のレジストパターン断面図であ
る。図10(b)は比較例3の方法でパターニングされ
た150nm幅のレジストパターン断面図である。
【図11】本発明の実施の形態4および比較例4でしよ
うした試料の断面図である。
【図12】本発明の実施の形態4および比較例4の方法
により成膜されたシリコン窒化膜の昇温脱離分析(TD
S)の結果を示す図である。
【符号の説明】
1 フォトレジスト 1′ フォトレジストパターン 2 タングステン膜 2′ 加工されたタングステン膜 3 TiN膜 3′ 加工されたTiN膜 4 ポリシリコン膜 4′ 加工されたポリシリコン膜 5 SiO2膜 6 基板 7 窒化シリコン膜 8 露光光 9 マスク 10 加工されたWSi膜 11 加工された非晶質シリコン膜 12 BPSG膜 12′ 加工されたBPSG膜 13 多結晶シリコン膜 13′ 加工された多結晶シリコン膜 14 酸化シリコン膜 14′ 加工された酸化シリコン膜 40 反射防止膜(ARC膜) 41 フォトレジスト
───────────────────────────────────────────────────── フロントページの続き (56)参考文献 特開 平7−273010(JP,A) 特開 平11−72924(JP,A) 特開 平10−189410(JP,A) 特開 平7−201708(JP,A) 特開 平7−201825(JP,A) 特開 平2−278879(JP,A) 特開 平3−280540(JP,A) 特開 平7−201859(JP,A) (58)調査した分野(Int.Cl.7,DB名) G03F 7/11 H01L 21/027 H01L 21/3065

Claims (5)

    (57)【特許請求の範囲】
  1. 【請求項1】 下地基板上にフォトレジストを塗布し単
    一波長により露光して微細なレジストパターンを形成
    し、そのレジストパターンをマスクとして下地基板をエ
    ッチングすることにより微細パターンを形成する方法で
    あって、 下地基板上に、直接またはその他の層を介して、窒化シ
    リコン系の膜を堆積させる工程と、 前記の膜の上に、直接またはその他の層を介してフォト
    レジストを形成する工程と、 前記フォトレジストに露光を行い、マスクパターンを転
    写する工程と、 転写されたレジストパターンをマスクとして窒化シリコ
    ン系の膜をエッチングする工程とを有し、 前記窒化シリコン系の膜を堆積させる工程は、プラズマ
    CVD装置を用いて、かつ、前記下地基板が配置される
    部分を450℃を超える700℃以下の温度として実行
    されることを特徴とする微細パターン形成方法。
  2. 【請求項2】 前記窒化シリコン系の膜は、195nm以
    下の露光波長に対して1.4以上3.5以下の屈折率、
    および0.2以上0.8以下の消衰係数を示し、更に、
    10nm以上200nm以下の膜厚を有することを特徴とす
    る請求項1に記載の微細パターンの形成方法。
  3. 【請求項3】 前記窒化シリコン系の膜を堆積させる工
    程は、原材料ガス中に含まれるアンモニアを10mol%
    以下に設定して実行されることを特徴とする請求項1ま
    たは2に記載の微細パターン形成方法。
  4. 【請求項4】 前記フォトレジストを形成する工程の直
    前に、酸化シリコン膜を堆積させる工程を有することを
    特徴とする請求項1乃至3の何れか1項に記載の微細パ
    ターン形成方法。
  5. 【請求項5】 前記酸化シリコン膜を堆積させる工程
    は、プラズマCVD装置を用いて、かつ、前記下地基板
    が配置される部分の温度を400℃以上700℃以下に
    設定して実行されることを特徴とする請求項4に記載の
    微細パターン形成方法。
JP23090399A 1999-06-02 1999-08-17 微細パターン形成方法 Expired - Fee Related JP3320685B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP23090399A JP3320685B2 (ja) 1999-06-02 1999-08-17 微細パターン形成方法
TW089110238A TW451271B (en) 1999-06-02 2000-05-26 Method for forming fine pattern
EP00111662A EP1058155A3 (en) 1999-06-02 2000-05-31 Method of forming fine pattern
KR1020000029858A KR20010007158A (ko) 1999-06-02 2000-06-01 미세 패턴 형성 방법
US09/587,359 US6586163B1 (en) 1999-06-02 2000-06-02 Method of forming fine pattern

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP15551199 1999-06-02
JP11-155511 1999-06-02
JP23090399A JP3320685B2 (ja) 1999-06-02 1999-08-17 微細パターン形成方法

Publications (2)

Publication Number Publication Date
JP2001051424A JP2001051424A (ja) 2001-02-23
JP3320685B2 true JP3320685B2 (ja) 2002-09-03

Family

ID=26483494

Family Applications (1)

Application Number Title Priority Date Filing Date
JP23090399A Expired - Fee Related JP3320685B2 (ja) 1999-06-02 1999-08-17 微細パターン形成方法

Country Status (5)

Country Link
US (1) US6586163B1 (ja)
EP (1) EP1058155A3 (ja)
JP (1) JP3320685B2 (ja)
KR (1) KR20010007158A (ja)
TW (1) TW451271B (ja)

Families Citing this family (151)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3354901B2 (ja) * 1999-06-21 2002-12-09 株式会社半導体先端テクノロジーズ 微細パターンの形成方法、半導体装置および半導体装置の製造方法
US7229929B2 (en) * 2002-12-06 2007-06-12 Cypress Semiconductor Corporation Multi-layer gate stack
US7365029B2 (en) * 2002-12-20 2008-04-29 Applied Materials, Inc. Method for silicon nitride chemical vapor deposition
US7972663B2 (en) * 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US7172792B2 (en) * 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
KR101059364B1 (ko) 2003-11-20 2011-08-24 파나소닉 주식회사 일렉트릿 및 일렉트릿 컨덴서
JP4264103B2 (ja) * 2004-03-03 2009-05-13 パナソニック株式会社 エレクトレットコンデンサーマイクロホン
US7853027B2 (en) * 2004-03-05 2010-12-14 Panasonic Corporation Electret condenser
US7446063B1 (en) * 2005-02-24 2008-11-04 Cypress Semiconductor Corp. Silicon nitride films
JP2006287236A (ja) * 2006-04-07 2006-10-19 Hoya Corp マスクブランク、及びマスク
JP5545808B2 (ja) * 2009-09-16 2014-07-09 旭化成イーマテリアルズ株式会社 積層構造体
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
JP5317137B2 (ja) * 2011-02-04 2013-10-16 Hoya株式会社 マスクブランク、及びマスク
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
KR20170016107A (ko) 2015-08-03 2017-02-13 삼성전자주식회사 반도체 장치 제조 방법
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02278879A (ja) 1989-04-20 1990-11-15 Toshiba Corp 薄膜トランジスタの製造方法
JPH03280540A (ja) 1990-03-29 1991-12-11 Nec Corp 絶縁膜の形成方法
JP3342164B2 (ja) 1993-04-16 2002-11-05 三菱電機株式会社 半導体装置およびその製造方法
JP3339156B2 (ja) 1993-12-28 2002-10-28 ソニー株式会社 微細パターンの製造方法と半導体装置の製造方法
JP3348742B2 (ja) 1993-12-28 2002-11-20 ソニー株式会社 微細パターンの製造方法および半導体装置の製造方法
JPH07201859A (ja) 1993-12-29 1995-08-04 Sony Corp 配線形成方法および半導体装置
JPH07273010A (ja) 1994-03-31 1995-10-20 Sanyo Electric Co Ltd 半導体装置の製造方法
JP3326663B2 (ja) 1994-04-05 2002-09-24 ソニー株式会社 半導体装置の製造方法
JPH0822945A (ja) * 1994-07-07 1996-01-23 Fujitsu Ltd 半導体装置の製造方法
JP3422580B2 (ja) 1994-12-16 2003-06-30 三菱電機株式会社 半導体装置の製造方法
JP3280540B2 (ja) 1995-05-12 2002-05-13 株式会社小糸製作所 放電灯点灯回路
JPH09180981A (ja) 1995-12-21 1997-07-11 Mitsubishi Electric Corp 反射防止膜及びその形成方法、並びに半導体装置の製造方法
US6562544B1 (en) * 1996-11-04 2003-05-13 Applied Materials, Inc. Method and apparatus for improving accuracy in photolithographic processing of substrates
JPH10189410A (ja) 1996-12-20 1998-07-21 Sony Corp 半導体装置の製造方法
JPH1172924A (ja) 1997-08-29 1999-03-16 Sony Corp パターン形成方法

Also Published As

Publication number Publication date
JP2001051424A (ja) 2001-02-23
EP1058155A3 (en) 2000-12-20
US6586163B1 (en) 2003-07-01
KR20010007158A (ko) 2001-01-26
EP1058155A2 (en) 2000-12-06
TW451271B (en) 2001-08-21

Similar Documents

Publication Publication Date Title
JP3320685B2 (ja) 微細パターン形成方法
US6423474B1 (en) Use of DARC and BARC in flash memory processing
US6316167B1 (en) Tunabale vapor deposited materials as antireflective coatings, hardmasks and as combined antireflective coating/hardmasks and methods of fabrication thereof and application thereof
US6720256B1 (en) Method of dual damascene patterning
US6191030B1 (en) Anti-reflective coating layer for semiconductor device
US20080009138A1 (en) Method for forming pattern of a semiconductor device
EP0588087B1 (en) Method of forming a resist pattern using an optimized anti-reflective layer
US6653735B1 (en) CVD silicon carbide layer as a BARC and hard mask for gate patterning
JP3542118B2 (ja) 非反射物質層の形成及びこれを利用した半導体製造方法、及びトランジスタゲートスタックの形成方法
US6365320B1 (en) Process for forming anti-reflective film for semiconductor fabrication using extremely short wavelength deep ultraviolet photolithography
US5986318A (en) (Ge,Si) Nx anti-reflective compositions and integrated circuit devices comprising the same
US6670695B1 (en) Method of manufacturing anti-reflection layer
JPH0955351A (ja) 半導体装置の製造方法
KR100219550B1 (ko) 반사방지막 및 이를 이용한 패턴형성방법
JP2897569B2 (ja) レジストパターン形成時に用いる反射防止膜の条件決定方法と、レジストパターン形成方法
JP3185871B2 (ja) 半導体装置の製造方法
US6903007B1 (en) Process for forming bottom anti-reflection coating for semiconductor fabrication photolithography which inhibits photoresist footing
KR100562323B1 (ko) 반도체 소자 및 그 제조방법
JP2993003B2 (ja) パターン形成方法
JPH1131650A (ja) 反射防止膜、被処理基板、被処理基板の製造方法、微細パターンの製造方法、および半導体装置の製造方法
JPH0855790A (ja) レジストパターン形成方法および反射防止膜形成方法
JP3542334B2 (ja) 半導体装置の製造方法
JPH0737799A (ja) 半導体装置の微細パターン形成方法
US6992013B1 (en) Method of forming a fine pattern using a silicon-oxide-based film, semiconductor device with a silicon-oxide-based film and method of manufacture thereof
JPH06132286A (ja) 半導体装置及びその製造方法

Legal Events

Date Code Title Description
R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R360 Written notification for declining of transfer of rights

Free format text: JAPANESE INTERMEDIATE CODE: R360

R371 Transfer withdrawn

Free format text: JAPANESE INTERMEDIATE CODE: R371

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313117

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080621

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090621

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090621

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100621

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110621

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110621

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120621

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120621

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130621

Year of fee payment: 11

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130621

Year of fee payment: 11

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees