JP3310096B2 - 集積回路装置 - Google Patents

集積回路装置

Info

Publication number
JP3310096B2
JP3310096B2 JP06099594A JP6099594A JP3310096B2 JP 3310096 B2 JP3310096 B2 JP 3310096B2 JP 06099594 A JP06099594 A JP 06099594A JP 6099594 A JP6099594 A JP 6099594A JP 3310096 B2 JP3310096 B2 JP 3310096B2
Authority
JP
Japan
Prior art keywords
output
scan
circuit
signal
terminal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP06099594A
Other languages
English (en)
Other versions
JPH07270494A (ja
Inventor
田 進 新
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP06099594A priority Critical patent/JP3310096B2/ja
Publication of JPH07270494A publication Critical patent/JPH07270494A/ja
Application granted granted Critical
Publication of JP3310096B2 publication Critical patent/JP3310096B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Landscapes

  • Tests Of Electronic Circuits (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は集積回路装置に係り、特
に内部スキャン回路とバウンダリスキャン回路によるテ
スト容易化構造を組み込んだ構成の集積回路装置におい
て、スキャン動作を並列に行わせる場合の、システム端
子兼用のための回路構造に関する。
【0002】
【従来の技術】近年の、集積回路技術の進歩に伴い、L
SIはますます大規模化し、複雑化してきている。これ
に伴い、LSIのテストが非常に困難になってきてい
る。
【0003】このような状況に対応して、LSIの内部
回路を設計する場合、スキャン方式などのテスト容易性
を考慮した回路設計を行うことが、必要不可欠になって
きている。
【0004】一方、近年の表面実装技術の進歩に伴い、
プリント基板の表面実装密度が高密度化してきている。
その結果、従来プリント基板のテスト手法として多く採
用されてきたインサーキットテストが適用できなくなっ
てきている。そこで、プリント基板のテストを容易にす
るために、「JTAGバウンダリスキャン」と呼ばれる
テスト手法が、IEEEによって標準化された。
【0005】ちなみに、この規格は、1990年5月2
1日に定められたもので、IEEE規格1149.1、
“IEEE Standard Test Acces
sPort and Boundary−Scan A
rchitecture”と呼ばれている。
【0006】この規格は、プリント基板テストのため
に、LSI内部にバウンダリスキャン機構を設ける必要
があることを指摘している。
【0007】図6は、かかる観点から構成された、テス
ト容易化回路を組み込んだ、一般的な集積回路装置の概
略構成図であり、LSIにおけるテスト制御回路および
被テスト回路の構成を示すものである。図において示す
ように、集積回路装置18は、テスト対象となる被テス
ト回路ブロック19−1〜19−4と、被テスト回路ブ
ロック19−1〜19−4においてスキャンパスを構成
するスキャンレジスタ1−1〜1−4とを備える。更
に、バウンダリスキャンレジスタ2は集積回路装置18
の各端子に対して設けられる。なお、テスト制御回路2
0は、被テスト回路ブロック19−1〜19−4のテス
トを行うべく、スキャンレジスタ1−1〜1−4とバウ
ンダリスキャンレジスタ2の動きを制御する。このた
め、テスト制御回路20には、テスト用クロック信号T
CK、テストモード信号TMS、スキャンイン信号TD
Iが与えられ、テスト制御回路20からは、テスト出力
データTDOが出力される。
【0008】以上述べたような構成において、スキャン
レジスタ1−1〜1−4は、テスト制御回路20を介し
て伝送されるテストデータを被テスト回路ブロック19
−1〜19−4に印加し、各被テスト回路ブロック19
−1〜19−4の内部で発生するテスト結果を、テスト
制御回路20を介して、外部に出力する。バウンダリス
キャンレジスタ2は、スキャンレジスタ1−1〜1−4
から被テスト回路ブロック19−1〜19−4に対して
与えることのできないデータをそれらのブロック19−
1〜19−4に印加したり、スキャンレジスタ1−1〜
1−4で観測できない出力を観測したりするのに使わ
れ、加えて、IEEE1149.1で規定されているプ
リント基板の配線テストにも用いられる。
【0009】図7は、従来の回路テスト装置のブロック
図であり、特に図6の構成におけるスキャンパスの部分
を取り出して示したものである。図7において、マルチ
プレクサ4は、スキャンレジスタ1−1〜1−4および
バウンダリスキャンレジスタ2で構成される複数のスキ
ャンパスのうちの1つを選択すべく、選択信号入力端子
5からの制御信号に基づいて動作する。そして、このマ
ルチプレクサ4は、シリアルデータとして与えられるス
キャンイン信号TDIに対応して、スキャンレジスタ1
−1〜1−4およびバウンダリスキャンレジスタ2のい
ずれかのスキャンパスの動作結果として、テスト出力デ
ータTDOを得るように構成される。
【0010】以上述べたような図6,図7の構成におい
て、図6のテスト制御回路20により選択されたスキャ
ンレジスタ1−1〜1−4およびバウンダリスキャンレ
ジスタ2のスキャンパスのうちの1つのスキャンパスの
みが動作する。そして、スキャンイン信号TDIとして
入力されたシリアルデータに対応して、選択されたスキ
ャンパスが作用し、その出力信号は、選択信号入力端子
5からの制御信号に基づきマルチプレクサ4で選択さ
れ、テスト出力データTDOとして外部に出力される。
【0011】以上のような構成は、1個のスキャンイン
信号TDIと1個のテスト出力データTDOだけでスキ
ャンパスをアクセスできるため、端子数が少ないという
利点がある。また、各スキャンレジスタ1−1〜1−4
を1本のスキャンパスとして構成して接続する方法に比
べて、スキャンパスの長さが短くなるため、回路ブロッ
ク毎にテストを行う場合に、テスト時間が短くなるとい
う利点もある。
【0012】しかしながら、スキャンパスの数が、スキ
ャンレジスタ1−1〜1−4およびバウンダリスキャン
レジスタ2と多い。したがって、それぞれのパスが長く
なってくると、実用的な時間でのテストが困難になって
くるという問題点がある。
【0013】一方、テスト時間を短くするためには、各
スキャンパスを並列に動作させるという方法があるが、
スキャンデータの入出力をテスト専用端子で実現する場
合には、端子数が増えるという欠点がある。一方、これ
をシステム端子と兼用させるという方法もあるが、この
ための付加回路により、本来のシステム信号の入出力に
遅延のオーバーヘッドを生じるという問題がある。
【0014】図8は、バウンダリスキャンレジスタ2を
構成するバウンダリスキャンセルの構成を示すものであ
る。図において、マルチプレクサ21は、入力端子Aに
与えられる入力信号INと、入力端子Bに与えられる入
力信号SIを、シフトモード信号SMに基づいて選択し
て出力する。一方、D型フリップフロップ8はデータ入
力端子Dにマルチプレクサ21の出力を与えられ、クロ
ック入力端子Cにシフトクロック信号SCLKを入力さ
れ、更にデータ出力端子Qより出力信号SOを送出す
る。また、アップデート用のD型フリップフロップ9は
データ入力端子DにD型フリップフロップ8のデータ出
力端子Qからの信号を入力され、クロック入力端子Cに
アップデート用クロック信号UPCLKを入力され、更
にデータ出力端子Qより信号出力する。そして、マルチ
プレクサ6は、入力端子Aに与えられる入力信号IN
と、入力端子Bに与えられるD型フリップフロップ9の
データ出力端子Qからの出力信号のいずれかを、テスト
モード信号TMに基づいて選択して、出力信号OUTと
して送出する。
【0015】以上述べたような構成において、通常のモ
ードで動作させる場合には、テストモード信号TMを
“0”とすればよい。これにより、マルチプレクサ6の
入力端子Aを通じて、入力信号INのデータを、出力信
号OUTとしてスルーに出力させることができる。その
結果、集積回路チップの外部端子に、このようなバウン
ダリスキャンセルを置いた場合においても、外部端子の
状態になんらの影響もないようにすることができる。
【0016】一方、このバウンダリスキャンセルのデー
タを出力信号OUTとして出力するには、テストモード
信号TMを“1”とすればよい。これによって、マルチ
プレクサ6の入力端子Bに加えられる、アップデート用
クロック信号UPCLKに基づいて動作するD型フリッ
プフロップ9のデータ出力端子Qからの出力を出力信号
OUTとして導出することができる。つまり、入力信号
INのデータの代わりに、バウンダリスキャンセルから
のデータを出力信号OUTとすることができる。
【0017】更に、入力信号INの状態を観測するに
は、シフトモード信号SMを“0”にすればよい。これ
によって、入力信号INを、マルチプレクサ21の入力
端子Aを通じて、D型フリップフロップ8のデータ入力
端子Dに印加させ、更に、D型フリップフロップ8のク
ロック入力端子Cにシフトクロック信号SCLKを印加
することができる。これによって、入力信号INのデー
タをD型フリップフロップ8に取り込むことができる。
【0018】なお、このバウンダリスキャンセルへのデ
ータの設定と観測は次のようにして行われる。即ち、マ
ルチプレクサ21で入力の選択を入力端子B側に切り換
えることにより、他のバウンダリスキャンセルからのデ
ータをその入力端子Bから入力信号SIとして取り込
む。D型フリップフロップ8で構成されるシフトレジス
タ段の出力を、出力信号SOとして、他のバウンダリス
キャンセルに接続して、シフトレジスタ動作させる。
【0019】さて、図8に示したバウンダリスキャンセ
ルには、入力信号INから出力信号OUTまでのパス
に、マルチプレクサ6が挿入されており、通常動作時に
おける入出力の遅延を招いている。つまり、スキャンデ
ータの入出力をシステム端子と兼用させようとして、端
子を兼用させるための単純な付加回路、例えばマルチプ
レクサ6のような回路を付加するだけでは、更に遅延の
オーバーヘッドを招くことになる。
【0020】
【発明が解決しようとする課題】従来の回路テスト装置
は、内部スキャンとバウンダリスキャンとを備えた集積
回路装置において、複数のスキャンパスを選択しながら
スキャン動作を行うように構成したので、テストに要す
る時間が長くなるという問題点がある。また、複数のス
キャンパスを並列に動作させてテスト時間を短くしよう
としても、スキャンデータの入出力を専用の端子で実現
する必要があるので、端子数が増大してしまうという問
題点がある。一方、システム端子とテスト端子を兼用さ
せようとしても、兼用のための付加回路が、システム信
号の遅延というオーバーヘッドを抱えることになり、問
題になる。
【0021】本発明は、上記のような従来技術の問題点
を解消し、集積回路装置の内部スキャン回路とバウンダ
リスキャン回路を並列に動作させることにより、テスト
時間を短くすると共に、テスト用の端子と兼用させるシ
ステム端子に対して、通常動作時の遅延時間の発生を最
小に抑制することのできる、集積回路装置を提供するこ
とを目的とする。
【0022】
【課題を解決するための手段】上記目的を達成するため
に、本発明の第1の集積回路装置は、内部回路と、シス
テム出力端子と、前記内部回路と前記システム出力端子
との間に接続された出力側のバウンダリスキャン回路
と、内部スキャン回路と、を備え、前記バウンダリスキ
ャン回路は、前記内部回路からの出力信号をそのまま通
すスルーパスと、テスト回路を組み込んだテストパス
と、前記テストパスからの第1出力と前記内部スキャン
回路からの第2出力のいずれかを選択的に出力する第1
切換手段と、前記第1切換手段からの出力と前記スルー
パスからの出力のいずれかを選択的に出力して前記シス
テム出力端子に加える第2切換手段と、を有するものと
して構成されているものである。
【0023】本発明の第2の集積回路装置は、第1の集
積回路装置において、前記第1切換手段は、前記テスト
パスにおけるアップデート用のデータ記憶手段と前記第
2切換手段との間に接続されたものであるものである。
【0024】本発明の第3の集積回路装置は、第2の集
積回路装置において、前記データ記憶手段はフリップフ
ロップであり、前記第1及び第2切換手段はマルチプレ
クサであるものである。
【0025】本発明の第4の集積回路装置は、第1〜3
の集積回路装置において、システム入力端子と、このシ
ステム入力端子と前記内部回路との間に接続された入力
側バウンダリスキャン回路と、前記システム入力端子と
前記内部スキャン回路との間に接続された第3切換手段
を有し、前記第3切換手段は、前記システム入力端子へ
の入力信号とスキャンイン信号のいずれかを選択的に出
力して前記内部スキャン回路に加えるものとして構成さ
れているものである。
【0026】
【作用】内部スキャン回路からの出力は、出力側のバウ
ンダリスキャン回路における第1切換手段の入力側に加
えられる。第1切換手段は、この入力と、テストパスか
らの入力のいずれか一方を選択的に第2切換手段の入力
側に加える。この第2切換手段は、この入力と、スルー
パスからの入力のいずれか一方を選択的にシステム出力
端子に加える。つまり、内部スキャン回路からの出力を
システム出力端子から出力可能としつつも、内部回路か
らの出力はスルーパスを通って、第2切換手段の1段の
みを介して遅延が極力抑えられた状態で、システム出力
端子に与えられる。
【0027】
【実施例】以下、図面を参照しながら、本発明の実施例
を説明する。
【0028】図1は本発明の実施例に係る回路テスト装
置のブロック図である。図において示すように、スキャ
ンイン信号TDIは、バウンダリスキャンレジスタ2の
入力端子とマルチプレクサ3−1〜3−nの入力端子A
に入力される。スキャンイン信号PSI1〜PSInは
マルチプレクサ3−1〜3−nの入力端子Bに入力され
る。マルチプレクサ3−1〜3−nは、制御信号PMに
応じて、入力端子Aまたは入力端子Bの各入力信号のう
ちのいずれかを選択、出力して、それぞれ対応するスキ
ャンレジスタ1−1〜1−nに入力信号として与える。
一方、マルチプレクサ3−1〜3−nの出力信号は、ス
キャンアウト信号PSO1〜PSOnとして導出される
と共にマルチプレクサ4に与えられる。マルチプレクサ
4は、選択信号入力端子5からの制御データに基づい
て、スキャンレジスタ1−1〜1−nの出力であるスキ
ャンアウト信号PSO1〜PSOnとバウンダリスキャ
ンレジスタ2の出力であるスキャンアウト信号BSOと
の内の1つを選択して、テスト出力データTDOとして
出力する。
【0029】以上述べたような構成において、スキャン
レジスタ1−1〜1−nのスキャンイン側においては、
マルチプレクサ3−1〜3−nを設けることにより、シ
ステム端子とテスト端子の兼用を可能にしている。ま
た、制御信号PMにより、スキャンレジスタ1−1〜1
−nを並列にスキャンするか否かを決定することができ
る。
【0030】一方、スキャンレジスタ1−1〜1−nの
スキャンアウト側においては、マルチプレクサ4を設け
ることにより、スキャンアウト信号PSO1〜PSOn
の1つを選択的に外部端子に出力することにより、シス
テム端子とテスト端子の兼用を可能にしている。
【0031】さて、図4は、図示しないLSIの外部入
力端子をスキャンイン端子と兼用する構成を示すブロッ
ク図である。図において示すように、外部入力端子11
と内部回路12の間にバウンダリスキャンセル13が配
置される。外部入力端子11とバウンダリスキャンセル
13の間にはバッファ14が配置され、バウンダリスキ
ャンセル13と内部回路12の間にもバッファ15が配
置される。バウンダリスキャンセル13は、図8に示し
たバウンダリスキャンセルと、同一の構成を有する。ち
なみに、バッファ15は、内部回路12をドライブする
ために、バッファ14よりドライブ能力が大きくなって
いる。
【0032】さて、図4の構成において、内部回路12
への入力は、バウンダリスキャンセル13を介して供給
されるため、外部入力端子11へ供給される値には関係
がなくなる。そのため、外部入力端子11をスキャンイ
ンの兼用端子として用いることができる。
【0033】また、図4の構成では、スキャンインの兼
用について、バッファ14の後段、つまり、バウンダリ
スキャンセル13への入力信号INをバウンダリスキャ
ンセル13の直前で信号PSIiとして分岐させ、図1
のスキャンイン信号PSI1〜PSInのうちの対応す
る1つに接続すればよい。このため、バウンダリスキャ
ンセル13などの回路を修正する必要はない。
【0034】これに対して、スキャンアウトの兼用にお
いては、バウンダリスキャンセル13の修正が必要にな
ってくる。
【0035】図2は、スキャンアウト兼用のためのバウ
ンダリスキャンセルの構成を示すブロック図である。図
において示すように、D型フリップフロップ9のデータ
出力端子Qからの信号はマルチプレクサ7の入力端子A
に供給される。一方、マルチプレクサ7の入力端子Bに
はスキャンアウト信号PSO1〜PSOnの1つPOS
iが供給される。マルチプレクサ7の出力はマルチプレ
クサ6の入力端子Bに与えられる。ちなみに、マルチプ
レクサ7は制御信号PMに基づいて、入力端子Aと入力
端子Bのいずれかに加えられた入力信号を選択して、マ
ルチプレクサ6の入力端子Bに向けて出力する。
【0036】さて、図5は、図示しないLSIの外部入
力端子において、スキャンアウト兼用の構成を示すブロ
ック図である。図において示すように、外部出力端子1
7と内部回路12の間にバウンダリスキャンセル13が
配置される。バウンダリスキャンセル13と外部出力端
子17の間にはバッファ16が配置される。バウンダリ
スキャンセル13は図2に示したのと同一の構成を有す
る。
【0037】さて、図5の構成において、外部出力端子
17への出力は、バウンダリスキャンセル13を介し
て、スキャンアウト兼用で行われる。ここで、スキャン
アウトの兼用は、図1のスキャンアウト信号PSO1〜
PSOnのうちの1つPOSiを、バウンダリスキャン
セル13の内部のマルチプレクサ7の入力端子Bに接続
することによって行われる。一方、並列にスキャンする
かどうかは、制御信号PMに基づいて設定される。
【0038】図5の構成で、内部回路12からの出力の
観測を、バウンダリスキャンセル13によって行う場合
には、外部出力端子17を用いず、出力信号SOで観測
する。このため、外部出力端子17をスキャンアウトの
兼用端子として用いることができるようになる。
【0039】ちなみに、図5の構成において、バウンダ
リスキャンのモードでは、D型フリップフロップ9の出
力から、マルチプレクサ7を介して、マルチプレクサ6
の入力端子Bへ至るパスが有効になっている。このパス
を通してスキャンアウト信号PSO1〜PSOnの状態
を外部出力端子17で観測することができる。
【0040】ちなみに、図5の構成において、兼用する
マルチプレクサ7を付加する位置としては、マルチプレ
クサ6の後段も考えられ。しかし、このようにすると、
システムのパス、つまり内部回路12からマルチプレク
サ6の入力端子A、バッファ16を介して外部出力端子
17へと至るパスの遅延を増加させ、システムを高速に
動作させる上での障害になる。
【0041】これに対して、図5に示すように、マルチ
プレクサ7をアップデート用のD型フリップフロップ9
とマルチプレクサ6の間に付加する場合には、システム
のパスに対しては、遅延の増加などの影響がない。
【0042】図3は、スキャンアウトの兼用のためのバ
ウンダリスキャンセル13の別の構成例を示すブロック
図である。図において示すように、D型フリップフロッ
プ8のデータ出力端子Qからの出力は、D型フリップフ
ロップ9とD型フリップフロップ10のそれぞれのデー
タ入力端子Dに供給される。なお、D型フリップフロッ
プ8とD型フリップフロップ10におけるそれぞれのク
ロック入力端子Cには、2相クロックであるシフトクロ
ック信号SCLKAとシフトクロック信号SCLKBが
それぞれ与えられる。D型フリップフロップ9のデータ
出力端子Qはマルチプレクサ7の入力端子Aに接続さ
れ、D型フリップフロップ10のデータ出力端子Qから
は出力信号SOが導出される。
【0043】図3の構成においては、D型フリップフロ
ップ8とD型フリップフロップ10のスキャン動作がシ
フトクロック信号SCLKA、SCLKBの2相クロッ
クで行われるところに特長があり、出力信号SOはシフ
トクロック信号SCLKBに同期して出力され、D型フ
リップフロップ9のデータ出力端子Qはアップデート用
クロック信号UPCLKに同期してマルチプレクサ7に
転送される。その他の動作については、図2の場合と同
様である。
【0044】以上述べたように、本発明の実施例の回路
テスト装置は、集積回路装置内部の複数の内部スキャン
回路と、バウンダリスキャン回路を並列に動作させるよ
うに構成すると共に、信号遅延を抑制しながらテスト端
子をシステム端子と兼用できるように構成したので、テ
スト時間が短くなり、併せて通常動作時の遅延のオーバ
ーヘッドを最小にできるような、テスト容易化の構成を
実現することができる。
【0045】
【発明の効果】本発明によれば、内部スキャン回路から
の第2出力を、内部回路とシステム出力端子との間に接
続された出力側のバウンダリスキャン回路における、ス
ルーパスと並列なテストパスに設けた第1切換回路に加
え、この第1切換回路によって上記第2出力とテストパ
スからの第1出力とのいずれかを選択的に第2切換回路
に加え、その第2切換回路で内部回路からのスルーの出
力と第1切換回路からの出力とを選択的にシステム出力
端子に加えるようにしたので、内部スキャン回路からの
出力をシステム出力端子から出力できると共に、この構
成をとりつつも、内部回路からの出力を第2切換手段と
いう1段の回路のみを介して、遅延を極力抑えた状態
で、システム出力端子に与えることができる。
【図面の簡単な説明】
【図1】本発明の実施例のブロック図である。
【図2】スキャンアウト兼用のためのバウンダリスキャ
ンセルの第1の例を示すブロック図である。
【図3】スキャンアウト兼用のためのバウンダリスキャ
ンセルの第2の例を示すブロック図である。
【図4】スキャンイン兼用の構成を示すブロック図であ
る。
【図5】スキャンアウト兼用の構成を示すブロック図で
ある。
【図6】テスト容易化回路を有する集積回路装置の概略
構成図である。
【図7】従来の回路テスト装置のブロック図である。
【図8】バウンダリスキャンセルの構成を示すブロック
図である。
【符号の説明】
1−1〜1−n スキャンレジスタ 2 バウンダリスキャンレジスタ 3−1〜3−n3,4,6,7,21 マルチプレクサ 5 選択信号入力端子 8,9,10 D型フリップフロップ 11 外部入力端子 12 内部回路 13 バウンダリスキャンセル 14,15,16 バッファ 17 外部出力端子 18 集積回路装置 19−1〜19−4 被テスト回路ブロック 20 テスト制御回路
───────────────────────────────────────────────────── フロントページの続き (58)調査した分野(Int.Cl.7,DB名) G01R 31/28 - 31/3187 G06F 11/22 360

Claims (4)

    (57)【特許請求の範囲】
  1. 【請求項1】内部回路と、システム出力端子と、前記内
    部回路と前記システム出力端子との間に接続された出力
    側のバウンダリスキャン回路と、内部スキャン回路と、
    を備え、 前記バウンダリスキャン回路は、 前記内部回路からの出力信号をそのまま通すスルーパス
    と、 テスト回路を組み込んだテストパスと、 前記テストパスからの第1出力と前記内部スキャン回路
    からの第2出力のいずれかを選択的に出力する第1切換
    手段と、 前記第1切換手段からの出力と前記スルーパスからの出
    力のいずれかを選択的に出力して前記システム出力端子
    に加える第2切換手段と、 を有するものとして構成されている集積回路装置。
  2. 【請求項2】前記第1切換手段は、前記テストパスにお
    けるアップデート用のデータ記憶手段と前記第2切換手
    段との間に接続されたものである、 請求項1の集積回路装置。
  3. 【請求項3】前記データ記憶手段はフリップフロップで
    あり、前記第1及び第2切換手段はマルチプレクサであ
    る、請求項2の集積回路装置。
  4. 【請求項4】システム入力端子と、このシステム入力端
    子と前記内部回路との間に接続された入力側のバウンダ
    リスキャン回路と、前記システム入力端子と前記内部ス
    キャン回路との間に接続された第3切換手段を有し、前
    記第3切換手段は、前記システム入力端子への入力信号
    とスキャンイン信号のいずれかを選択的に出力して前記
    内部スキャン回路に加えるものとして構成されている、
    請求項1〜3のいずれかに記載の集積回路装置。
JP06099594A 1994-03-30 1994-03-30 集積回路装置 Expired - Fee Related JP3310096B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP06099594A JP3310096B2 (ja) 1994-03-30 1994-03-30 集積回路装置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP06099594A JP3310096B2 (ja) 1994-03-30 1994-03-30 集積回路装置

Publications (2)

Publication Number Publication Date
JPH07270494A JPH07270494A (ja) 1995-10-20
JP3310096B2 true JP3310096B2 (ja) 2002-07-29

Family

ID=13158531

Family Applications (1)

Application Number Title Priority Date Filing Date
JP06099594A Expired - Fee Related JP3310096B2 (ja) 1994-03-30 1994-03-30 集積回路装置

Country Status (1)

Country Link
JP (1) JP3310096B2 (ja)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3257425B2 (ja) * 1996-12-25 2002-02-18 日本電気株式会社 テスト回路及びテスト方法
WO1999052033A1 (fr) * 1998-04-03 1999-10-14 Hitachi, Ltd. Dispositif semi-conducteur
US6785854B1 (en) * 2000-10-02 2004-08-31 Koninklijke Philips Electronics N.V. Test access port (TAP) controller system and method to debug internal intermediate scan test faults
JP2003014819A (ja) * 2001-07-03 2003-01-15 Matsushita Electric Ind Co Ltd 半導体配線基板,半導体デバイス,半導体デバイスのテスト方法及びその実装方法
JP2005190112A (ja) * 2003-12-25 2005-07-14 Internatl Business Mach Corp <Ibm> マイクロコンピュータ及びそのデバッグ方法
US7181663B2 (en) * 2004-03-01 2007-02-20 Verigy Pte, Ltd. Wireless no-touch testing of integrated circuits
US7949915B2 (en) * 2007-12-04 2011-05-24 Alcatel-Lucent Usa Inc. Method and apparatus for describing parallel access to a system-on-chip
JP6782134B2 (ja) * 2016-09-26 2020-11-11 ラピスセミコンダクタ株式会社 スキャン回路、集合スキャン回路、半導体装置、および半導体装置の検査方法

Also Published As

Publication number Publication date
JPH07270494A (ja) 1995-10-20

Similar Documents

Publication Publication Date Title
JP2513904B2 (ja) テスト容易化回路
US6081916A (en) IC with test cells having separate data and test paths
US6898544B2 (en) Instruction register and access port gated clock for scan cells
KR100267096B1 (ko) 디버그 및 제조 테스트 목적을 위한 적응적 스캔 체인
US8078927B2 (en) Wrapper leads gating TAP instruction and data registers
JP2741119B2 (ja) バイパススキャンパスおよびそれを用いた集積回路装置
US5615217A (en) Boundary-scan bypass circuit for integrated circuit electronic component and circuit boards incorporating such circuits and components
US6536008B1 (en) Fault insertion method, boundary scan cells, and integrated circuit for use therewith
JPH03248067A (ja) 半導体集積回路
US7246287B1 (en) Full scan solution for latched-based design
US6658632B1 (en) Boundary scan cell architecture with complete set of operational modes for high performance integrated circuits
JP3310096B2 (ja) 集積回路装置
JP2000162277A (ja) 半導体集積回路
JP3207245B2 (ja) Jtagアーキテクチャのための回路
US20210325461A1 (en) Extended jtag controller and method for functional reset using the extended jtag controller
JP3094983B2 (ja) システムロジックのテスト回路およびテスト方法
EP0358371B1 (en) Enhanced test circuit
US7502978B2 (en) Systems and methods for reconfiguring scan chains
US5412664A (en) Integrated circuit and method of testing
JP3328160B2 (ja) 論理集積回路のテスト装置
JP3588052B2 (ja) バウンダリスキャンテスト回路
JP2838458B2 (ja) 集積回路装置
JPH1194916A (ja) 半導体集積回路及びその設計方法並びに半導体集積回路の設計プログラムを記録した記録媒体
JP2001203322A (ja) 半導体集積装置
Wu et al. Interconnect delay fault testing with IEEE 1149.1

Legal Events

Date Code Title Description
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090524

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090524

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100524

Year of fee payment: 8

LAPS Cancellation because of no payment of annual fees