JP3252518B2 - ドライエッチング方法 - Google Patents

ドライエッチング方法

Info

Publication number
JP3252518B2
JP3252518B2 JP06075593A JP6075593A JP3252518B2 JP 3252518 B2 JP3252518 B2 JP 3252518B2 JP 06075593 A JP06075593 A JP 06075593A JP 6075593 A JP6075593 A JP 6075593A JP 3252518 B2 JP3252518 B2 JP 3252518B2
Authority
JP
Japan
Prior art keywords
etching
layer
sio
plasma
material layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP06075593A
Other languages
English (en)
Other versions
JPH06275568A (ja
Inventor
新吾 門村
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Priority to JP06075593A priority Critical patent/JP3252518B2/ja
Priority to US08/214,122 priority patent/US5366590A/en
Priority to KR1019940005286A priority patent/KR100274080B1/ko
Publication of JPH06275568A publication Critical patent/JPH06275568A/ja
Application granted granted Critical
Publication of JP3252518B2 publication Critical patent/JP3252518B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は半導体装置の製造分野等
において適用されるドライエッチング方法に関し、特に
窒化シリコン系材料層と酸化シリコン系材料層との間で
選択比を大きく確保しながらエッチングを行う方法に関
する。
【0002】
【従来の技術】シリコン・デバイスにおける層間絶縁膜
の構成材料としては、一般にシリコン化合物層、中でも
酸化シリコン(SiOx ;典型的にはx=2)膜が広く
用いられている。SiOx 層間絶縁膜のドライエッチン
グは、時期的にほぼ64KDRAMの製造あたりから量
産ラインでも適用されるようになった成熟した技術であ
る。
【0003】上記ドライエッチングは、従来よりCHF
3 、CF4 /H2 混合系、CF4 /O2 混合系、C2
6 /CHF3 混合系等、フルオロカーボン系化合物を組
成の主体とするエッチング・ガスが用いられてきた。こ
れは、(a)フルオロカーボン系化合物に含まれるC原
子がSiOx 層の表面で原子間結合エネルギーの大きい
C−O結合を生成し、Si−O結合を切断したり弱めた
りする働きがある、(b)SiO2 層の主エッチング種
であるCFx + (典型的にはx=3) を生成できる、さ
らに(c)エッチング反応系のC/F比(C原子数とF
原子数の比)を制御することにより炭素系ポリマーの堆
積量を最適化し、レジスト・マスクや下地材料層に対し
て高選択性が達成できる、等の理由にもとづいている。
【0004】なお、ここで言う下地材料層とは、主とし
てシリコン基板、ポリシリコン層、ポリサイド膜等のシ
リコン系材料層を指す。
【0005】一方、窒化シリコン(Six y ;特にx
=3,y=4)もシリコン・デバイスに適用される絶縁
膜材料である。Six y 層のドライエッチングにも、
基本的にはSiOx 層のエッチングと同様のガス組成が
適用される。ただし、SiO x 層がイオン・アシスト反
応を主体とする機構によりエッチングされるのに対し、
Six y 層はF* を主エッチング種とするラジカル反
応機構にもとづいてエッチングされ、エッチング速度も
SiO2 層よりも速い。これは、原子間結合エネルギー
の大小関係がSi−F(553kcal/mole)>
Si−O結合(465kJ/mol)>Si−N結合
(440kcal/mole)であることからも、ある
程度予測できる。
【0006】なお、原子間結合エネルギーの値には算出
方法により若干の差が出るが、ここではR.C.Wea
st編“Handbook of Chemistry
and Physics”,69th ed.(19
88年)(CRC Press社刊,米国フロリダ州)
に記載のデータを引用した。
【0007】ところで、シリコン・デバイスの製造工程
の中には、SiOx 層とSix y層との間の高選択エ
ッチングを要する工程が幾つかある。たとえば、SiO
x 層上におけるSix y 層のエッチングは、たとえば
LOCOS法において素子分離領域を規定するためのパ
ターニング等で行われる。上記エッチングは、バーズ・
ビーク長を最小限に止めるためにパッド酸化膜(SiO
2 層)が薄膜化されている現状では、下地のSiOx
に対して特に高い選択性を要するプロセスである。
【0008】また、近年ではデバイスの微細化、複雑化
にともなってSix y 層がエッチング・ダメージを防
止するためのエッチング停止層として色々な場所に形成
されるケースが増えており、Six y 層上でSiOx
層を高選択エッチングする必要も生じている。たとえ
ば、オーバーエッチング時の基板ダメージを低減させる
ために基板の表面に薄いSix y 層が介在されていた
り、いわゆるONO(SiOx 層/Si x y 層/Si
x 層)構造を有するゲート絶縁膜が形成されていた
り、さらにあるいはゲート電極の表面にSix y 層が
積層されている場合には、この上で行われるSiOx
のエッチングはSix y 層の表面で確実に停止しなけ
ればならない。
【0009】ところで、積層される異なる材料層の間で
選択性の高いエッチングを行うためには、一般に両材料
層の原子間結合エネルギーの値にある程度の差があるこ
とが望ましい。しかし、SiOx 層とSix y 層の場
合、Si−O結合とSi−N結合は原子間結合エネルギ
ーの値が比較的近いため、これら両者間の高選択エッチ
ングは本質的に困難である。
【0010】従来よりこの選択エッチングを可能とする
ための技術の開発が各所で進められている。
【0011】ここで、SiOx 層上でSix y 層をエ
ッチングする技術については、幾つか報告がある。たと
えば、本発明者は先に特開昭61−142744号公報
において、C/F比(分子内のC原子数とF原子数の
比)が小さいCH2 2 等のガスにCO2 を30〜70
%のモル比で混合したエッチング・ガスを用いる技術を
開示している。C/F比の小さいガスはF* の再結合に
よってのみSiOx 層のエッチング種であるCF
x + (特にx=3)を生成し得るが、この系へ大量のC
* を供給してF* を捕捉してCOFの形で除去する
と、CFx + の生成量が減少してSiO 2 層のエッチン
グ速度が低下する。一方、Six y はF* を主エッチ
ング種としてエッチングできるので、CO2 の大量添加
によってCFx + が減少してもエッチング速度はほとん
ど変化しない。このようにして、両層の間の選択性が得
られるわけである。
【0012】また、Proceeding of Sy
mposium on Dry Process,第8
8巻7号,86〜94ページ(1987年)には、ケミ
カル・ドライエッチング装置にNF3 とCl2 とを供給
し、マイクロ波放電により気相中に生成するFClを利
用してSiOx 上のSix y 層をエッチングする技術
が報告されている。Si−O結合はイオン結合性を55
%含むのに対し、Si−N結合は30%であり、共有結
合性の割合が高くなっている。つまり、Sixy 層中
の化学結合の性質は、単結晶シリコン中の化学結合(共
有結合)のそれに近く、FClから解離生成したF*
Cl* 等のラジカルによりエッチングされる。一方、S
iOx 層はこれらのラジカルによってもほとんどエッチ
ングされないので、高選択エッチングが可能となるわけ
である。
【0013】
【発明が解決しようとする課題】上述のように、SiO
x 層の上でSix y 層を選択エッチングする技術につ
いては、幾つかの報告がなされている。これは、両層の
エッチング速度を考えるとある意味では当然である。そ
れは、ラジカル反応を主体とする機構によりSi x y
をエッチングする過程では、途中でSiOx 層が露出す
れば必然的にエッチング速度は低下するからである。
【0014】しかし、従来の技術にも問題はある。たと
えば上述のFClを利用するプロセスでは、ラジカル反
応を利用しているために異方性加工が本質的に困難であ
る。
【0015】一方、Six y 層の上でSiOx 層を選
択エッチングする技術については、この逆のケースに比
べて選択性を確保することが難しいため、報告例も少な
い。これは、イオン・アシスト反応を主体とする機構に
よりSiOx 層をエッチングしていても、その反応系中
には必ずラジカルが生成しており、Six y が露出し
た時点でこのラジカルにより下地のエッチング速度が上
昇してしまうからである。
【0016】最近になって、新しいプラズマ源の採用に
よりラジカルの生成量を低下させた高密度プラズマを用
いてこれを実現する技術が提案されている。たとえば、
Proceedings of the 43rd S
ymposium on Semiconductor
s and Integrated Circuits
Technology,p.54(1992)には、
2 6 ガスの誘導結合プラズマ(ICP=Induc
tion Coupled Plasma)を用い、L
PCVD法により成膜されたSi3 4 層の上でTEO
S−CVD法により形成されたSiOx 層をC2
6 (ヘキサフルオロエタン)を用いてエッチングし、ゲ
ート電極に一部重なる接続孔を開口するプロセス例が紹
介されている。高密度プラズマ中ではガスの解離が高度
に進行するので、C2 6 はほぼイオン式量の小さいC
+ に分解され、これがエッチングに寄与しているもの
と考えられている。また、このとき堆積するC/F比の
低いフルオロカーボン系ポリマーの中のC原子は、Si
x y 中のN原子よりもSiOx 中のO原子と結合しや
すいので、SiOx 層の表面では除去されるが、Six
y 上では堆積する。これが、選択性の達成メカニズム
であると考えられている。
【0017】この技術はかなり有望であるが、安定した
選択性を得にくいという欠点がある。たとえば、上述の
プロセスにおける選択比は、平坦部において無限大、コ
ーナー部では20以上と報告されている。かかる選択性
の面内バラつきは、C2 6の解離が高度に進んだ結果
生成するF* の寄与によるものと考えられる。そこで本
発明は、Six y 層に対して安定に高選択比を確保す
ることが可能なSiOx 層のドライエッチング方法を提
供することを目的とする。
【0018】
【課題を解決するための手段】本発明のドライエッチン
グ方法は、上述の目的に鑑みて提案されるものであり、
イオン密度が1011イオン/cm3 以上のプラズマを生
成可能なエッチング装置内で、一般式Cx y (ただ
し、x,yは自然数であり、y≦x+2の関係を満た
す。)で表されるフルオロカーボン系化合物を主体とす
るエッチング・ガスのプラズマを生成させ、Six y
系材料層の上に形成されたSiOx 系材料層を選択的に
エッチングするものである。
【0019】ここで、高密度プラズマとは、従来型のプ
ラズマに比べて電子とガス原子の衝突回数を増やすため
の何らかの工夫がなされているプラズマのことである。
従来型のプラズマとは、たとえば平行平板電極間にRF
パワーを印加してグロー放電を起こしたり、導波管へマ
イクロ波を供給してマイクロ波放電を起こすことにより
励起されるものである。これに対し、高密度プラズマ
は、たとえばマイクロ波電界と磁界の相互作用にもとづ
く電子サイクロトロン共鳴、あるいはホイッスラー・モ
ードと呼ばれる磁界中のマイクロ波伝搬モード等を利用
することにより、ガスの解離を高度に促進し、高いイオ
ン密度を達成したものである。
【0020】かかる1011イオン/cm3 以上のイオン
密度を有するプラズマの具体例としては、ECRプラズ
マ、ヘリコン波プラズマ、ICP(Inductive
lyCoupled Plasma)、TCP(Tra
nsformer Coupled Plasma)、
ホロー・アノード型プラズマ、ヘリカル共振器プラズマ
等が知られている。
【0021】また、上記フルオロカーボン系化合物は、
その一般式から自明であるように、不飽和化合物であ
る。この要件は、鎖状あるいは環状のいずれの炭素骨格
によっても満たすことができる。しかし、炭素数がある
程度多くなれば鎖状の場合には必然的に連続した多重結
合あるいは共役多重結合を持たざるを得ず、また環状の
場合には共役多重結合、縮合環、多環、スピロ環、環集
合等の構造をとることになる。
【0022】かかる要件を満たすフルオロカーボン系化
合物の一例としては、テトラフルオロエチレン(C2
4 ),ヘキサフルオロブタジエン(C4 6 ),テトラ
フルオロシクロプロペン(c−C3 4 ),ヘキサフル
オロシクロブテン(c−C46 ),ヘキサフルオロベ
ンゼン(C6 6 )、オクタフルオロシクロヘプタトリ
エン(c−C7 8 ),オクタフルオロビシクロ[2,
2,1]ヘプタジエン(C7 8 )等を挙げることがで
きる。
【0023】本発明はまた、イオン密度が1011イオン
/cm3 以上のプラズマを生成可能なエッチング装置内
で、一般式Cx y (ただし、x,yは自然数であり、
y≦x+2の関係を満たす。)で表されるフルオロカー
ボン系化合物を主体とするエッチング・ガスのプラズマ
を生成させ、所定の形状にパターニングされたSix
y 系材料層をマスクとしてSiOx 系材料層のエッチン
グを行うものである。
【0024】本発明はさらに、前記フルオロカーボン系
化合物としてヘキサフルオロベンゼンを用いるものであ
る。
【0025】
【作用】フルオロカーボン系化合物を主体とするエッチ
ング・ガスを用いてイオン密度1011イオン/cm3
上の高密度プラズマを形成すると、低圧下でも従来のR
Fプラズマ等と比べてフルオロカーボン系化合物の解離
が進み、大量のCFx +(しかも、x=1が多い)が効
率良く生成する。この豊富なイオンにアシストされなが
ら、シリコン化合物層は実用的な速度でエッチングされ
る。
【0026】しかし、この大量のCFx + に伴って大量
のF* が生成すると、Six y 層に対する選択性が低
下する原因となる。そこで本発明では、プラズマ中に過
剰のF* が生成しないよう、C原子数に比べてF原子数
が少ない化合物、すなわち分子のC/F比が大きいフル
オロカーボン系化合物をエッチング・ガスの主成分とし
て用いる。本発明の場合、F原子数yはC原子数xに比
べて最大でも2個多いだけであるから、仮に単純化して
1分子からx個のCF+ が生成したと考えると、F*
生成量は最大でも2個ということになる。
【0027】本発明では、かかるフルオロカーボン系化
合物を用いてSix y 層上でSiOx 系材料層をエッ
チングするので、Six y 層の露出面が高密度のF*
に曝される虞れがない。したがって、下地のSix y
層に対して高い下地選択性が達成されるわけである。こ
の原理は、逆に所定の形状にパターニングされたSix
y 層を、その下のSiOx 層のエッチング・マスクと
して用いた場合にも全く同じであり、高いマスク選択性
が達成される。
【0028】ところで、本発明では上記フルオロカーボ
ン系化合物として特にヘキサフルオロベンゼン(C6
6 )を提案するが、これは安定性、入手の容易さ、C/
F比の値が1と大きいこと等を考慮したからである。従
来からC6 6 をSiOx 系材料層のエッチングに用い
ようとする試みはあった。しかし、たとえば特公平1−
60938号公報にも記載されているように、これ単独
ではCF3 + やCF2 + が大量に生成してポリマーを形
成してしまい、エッチング反応の進行が阻害されるた
め、実用にはならなかった。上記公報では、炭素系ポリ
マーの重合を阻害するために、CF4 を1:1の割合で
6 6 に混合したガスを用いて、この問題を解決して
いる。
【0029】しかし、本発明によれば、C6 6 は高密
度プラズマ中でCF+ にまで解離されるので、炭素系ポ
リマーを過剰に堆積させる虞れがない。しかも、仮に炭
素─炭素間の結合が全て開裂して1分子から6個のCF
+ が生成したとすれば、F*は理論上は1個も生成しな
いことになり、Six y 系材料層に対して高い選択性
が達成される。また、かかる高選択エッチングを単独ガ
ス系で実現できる点も、安定性、制御性等の観点から本
発明のメリットと言える。
【0030】
【実施例】以下、本発明の具体的な実施例について説明
する。
【0031】実施例1 本実施例は、有磁場マイクロ波プラズマ・エッチング装
置とC6 6 ガスを用い、Si3 4 下地膜を有するS
iO2 層間絶縁膜をエッチングしてコンタクト・ホール
を開口した例である。このプロセスを、図1を参照しな
がら説明する。
【0032】まず、図1(a)に示されるように、予め
不純物拡散領域2の形成されたシリコン基板1上にたと
えばLPCVD法により層厚10nmのSi3 4 下地
膜3を形成し、続いて常圧CVD法により層厚1000
nmのSiO2 層間絶縁膜4を形成した。さらに、上記
SiO2 層間絶縁膜4の上には、ノボラック系ポジ型フ
ォトレジストTSMR−V3(東京応化工業社製;商品
名)を塗布し、i線リソグラフィおよびアルカリ現像に
より直径0.35μmの開口部6を有するレジスト・マ
スク5を形成した。
【0033】このウェハを有磁場マイクロ波プラズマ・
エッチング装置のウェハ載置電極上にセットし、一例と
して下記の条件でSiO2 層間絶縁膜4をエッチングし
た。 C6 6 流量 20 SCCM ガス圧 0.65 Pa マイクロ波パワー 1500 W(2.45 GH
z) RFバイアス・パワー 200 W(800 kH
z) ウェハ載置電極温度 20 ℃
【0034】ここでは、大きなマイクロ波パワーを投入
してECR放電を行うことにより、C6 6 の解離が進
行し、イオン密度が1011イオン/cm3 のオーダーの
高密度プラズマが生成された。上記エッチング過程で
は、この高密度プラズマ中に生成する大量のCF+ によ
りSiO2 層間絶縁膜4のエッチングが高速に進行し
た。また、下地のSi3 4 下地膜3が露出した時点で
も、プラズマ中に過剰なF * が生成していないために、
Si3 4 下地膜3に対して約30の高い選択性が達成
された。もちろん、レジスト・マスク5に対する選択性
も良好であった。
【0035】このエッチングの結果、図1(b)に示さ
れるような異方性形状を有するコンタクト・ホール7
が、その底面に露出するSix y 下地膜3を浸触する
ことなく形成された。
【0036】なお、このエッチング過程では、図示され
ない炭素系ポリマーの堆積が若干みられる。この炭素系
ポリマーは、SiO2 層間絶縁膜4のエッチング領域で
はここからスパッタ・アウトされるO原子の燃焼作用に
より除去されるが、レジスト・マスク5の表面保護、コ
ンタクト・ホール7の側壁面の保護、Si3 4 下地膜
3の露出面の保護等に寄与した。。
【0037】しかし、いずれにしても従来のように大量
の炭素系ポリマーを堆積させてエッチングの進行を妨げ
ることはなった。
【0038】次に、ウェハをプラズマ・アッシング装置
に移設して通常の条件でO2 プラズマ・アッシングを行
い、図1(c)に示されるようにレジスト・マスク5を
除去した。このとき、表面保護や側壁保護に寄与してい
た図示されない炭素系ポリマーも同時に除去された。
【0039】最後に、ウェハを熱リン酸水溶液に浸漬
し、図1(d)に示されるように、コンタクト・ホール
4aの底部に露出したSi3 4 下地膜3を分解除去し
た。以上のプロセスにより、不純物拡散領域2にダメー
ジを発生させたり、またパーティクル汚染を惹起させる
ことなく、良好な異方性形状を有するコンタクト・ホー
ル7を形成することができた。
【0040】実施例2 本実施例は、SRAMの負荷用TFTのゲート電極と記
憶ノードの接続を形成するためのセルフアライン・コン
タクト加工において、SiO2 層間絶縁膜のエッチング
をICPエッチング装置とC6 6 ガスを用いて行った
例である。このプロセスを、図2を参照しながら説明す
る。
【0041】本実施例でエッチング・サンプルとして用
いたウェハの構成を、図2(a)に示す。このウェハ
は、シリコン基板11上に表面酸化によりゲート酸化膜
13が形成され、この上でドライバ・トランジスタの2
本のゲート電極16、およびこのゲート電極16を後工
程のエッチングから保護するためのSi3 4 エッチン
グ停止層17がパターニングされたものである。上記ゲ
ート電極16は、下層側から順にポリシリコン層14と
タングステン・シリサイド(WSix )層15とが積層
されたタングステン・ポリサイド膜からなるものであ
る。ゲート電極16の両側壁面には、SiO2 からなる
サイドウォール18がエッチバック・プロセスにより形
成されており、前述のゲート電極16およびサイドウォ
ール18をマスクとした2回のイオン注入により、LD
D構造を有する不純物拡散領域12がシリコン基板11
内に形成されている。
【0042】このウェハの全面には、たとえばCVD法
によりSiO2 層間絶縁膜19が堆積され、さらにこの
上にレジスト・マスク20が所定のパターンに形成され
ている。上記レジスト・マスク20は、両ゲート電極1
6上に一部かかり、これらの中間領域をカバーする開口
部21を有している。この開口部21の内部で、SiO
2 層間絶縁膜19をエッチングして不純物拡散領域に至
るコンタクト・ホールを形成するわけである。
【0043】上記ウェハをICPエッチング装置にセッ
トし、一例として下記の条件でSiO2 層間絶縁膜19
のエッチングを行った。 C6 6 流量 20 SCCM ガス圧 0.65 Pa RF電源パワー 2500 W(2 kHz) RFバイアス・パワー 50 W(1.8 MH
z) ウェハ載置電極温度 0 ℃ この過程では、ICPエッチング装置内で生成されるイ
オン密度1012イオン/cm3 のオーダーの高密度プラ
ズマにより、CF+ を主エッチング種としたSiO2
間絶縁膜19のエッチングが進行した。この結果、図2
(b)に示されるように、SiO2 層間絶縁膜19およ
びゲートSiO2 膜13の一部が除去されてコンタクト
・ホール22が完成し、先に形成されていたサイドウォ
ール18の上にはさらに別のサイドウォール19aが形
成された。
【0044】ところで、このエッチングの途中ではSi
3 4 エッチング停止層17が露出するが、このとき実
施例1でも上述したようにC6 6 の解離が高度に進ん
でプラズマ中のF* 生成量が少なくなっているため、S
3 4 エッチング停止層17に対する選択比が十分に
大きく維持された。したがって、かかる高段差上のSi
2 層間絶縁膜19のエッチングも、ゲート電極16に
ダメージを及ぼすことなく行うことができた。
【0045】比較例 ここでは、実施例2に対する比較例として、ICPエッ
チング装置とC2 6ガスを用いて同様のSRAMのセ
ルフアライン・コンタクトを形成した例について説明す
る。まず、図2(a)に示したものと同じウェハをIC
Pエッチング装置にセットし、一例として下記の条件で
SiO2 層間絶縁膜19をエッチングした。
【0046】 C2 6 流量 20 SCCM ガス圧 0.65 Pa RF電源パワー 2500 W(2 kHz) RFバイアス・パワー 50 W(1.8 MH
z) ウェハ載置電極温度 0 ℃
【0047】この過程では、C2 6 の高効率解離によ
りCF+ がもちろん生成するが、同時に大量のF* も生
成し、このF* がエッチング途中で露出したSi3 4
エッチング停止層17に対する選択性を低下させた。こ
の結果、図3に示されるように、浸触されたSi3 4
エッチング停止層17bの下層側でゲート電極16も一
部浸触され、サイドウォール18b,19bの断面形状
もそれぞれ劣化した。
【0048】以上、本発明を2例の実施例にもとづいて
説明したが、本発明はこれらの実施例に何ら限定される
ものではない。たとえば、上述の実施例では、高密度プ
ラズマとしてECRプラズマおよびICPを採り上げた
が、ホローアノード型プラズマでは1012イオン/cm
3 、ヘリコン波プラズマやTCPでは1012〜1013
オン/cm3 のオーダーのイオン密度が報告されてお
り、これらのいずれを利用しても良い。
【0049】エッチング・ガスとして用いられるフルオ
ロカーボン系化合物Cx y も、上述のC6 6 に限ら
れるものではなく、y≦x+2の条件を満たす限りにお
いて合成が可能であり、安定に存在でき、しかも容易に
気体状態でエッチング・チャンバ内へ導入できる化合物
であれば、いかなるものであっても構わない。
【0050】また、本発明が適用可能な他のプロセス例
としては、ONO(SiOx /Si x y /SiOx
構造を有する3層型ゲート絶縁膜上におけるSiO2
間絶縁膜のエッチバック等がある。これは、ゲート電極
の側壁面にサイドウォールを形成するためのプロセスで
あるが、この場合、エッチバックをゲート絶縁膜中間の
Six y 膜上で高選択比をもって停止させることがで
きる。
【0051】この他、エッチング条件、使用するエッチ
ング装置等が適宜変更可能であることは、言うまでもな
い。
【0052】
【発明の効果】以上の説明からも明らかなように、本発
明のドライエッチング方法によれば、従来は炭素系ポリ
マーの生成量が多すぎてエッチングには使用できなかっ
たC/F比の大きいフルオロカーボン系化合物を高密度
プラズマ中で高度に解離させ、エッチング・ガスの主成
分として用いることができるようになる。しかも、かか
るフルオロカーボン系化合物は、放電解離条件下でもF
* を過剰に生成しないため、SiOx 系材料層とSix
y 系材料層との間の選択エッチングを高選択比をもっ
て実現することが可能となる。
【0053】したがって、本発明は微細なデザイン・ル
ールにもとづいて設計され、高集積度および高性能を有
する半導体装置の製造に好適であり、その産業上の価値
は極めて大きい。
【図面の簡単な説明】
【図1】本発明をコンタクト・ホール加工に適用したプ
ロセス例をその工程順にしたがって示す模式的断面図で
あり、(a)はSiO2 層間絶縁膜上にレジスト・マス
クが形成された状態、(b)はSiO2 層間絶縁膜のエ
ッチングがSix y 下地膜上で停止した状態、(c)
はレジスト・マスクがアッシングにより除去された状
態、(d)はコンタクト・ホール内のSi3 4 下地膜
が選択的に除去された状態をそれぞれ表す。
【図2】本発明をSRAMのセルフアライン・コンタク
ト加工に適用したプロセス例をその工程順にしたがって
示す模式的断面図であり、(a)は表面にSix y
ッチング停止層を有する2本のゲート電極を被覆してS
iO2 層間絶縁膜が形成され、さらにレジスト・マスク
が形成された状態、(b)はサイドウォールが形成され
ながらコンタクト・ホールが形成された状態をそれぞれ
表す。
【図3】SRAMのセルフアライン・コンタクトの比較
例において、Six y エッチング停止層に対する選択
性が低下し、ゲート電極とサイドウォールの断面形状が
劣化した状態を示す模式的断面図である。
【符号の説明】
1,11 ・・・シリコン基板 2,12 ・・・不純物拡散領域 3 ・・・Si3 4 下地膜 4,19 ・・・SiO2 層間絶縁膜 5,20 ・・・レジスト・マスク 6,21 ・・・開口部 7,22 ・・・コンタクト・ホール 13 ・・・ゲート酸化膜 16 ・・・ゲート電極 17 ・・・Si3 4 エッチング停止層 18,19 ・・・サイドウォール
───────────────────────────────────────────────────── フロントページの続き (58)調査した分野(Int.Cl.7,DB名) H01L 21/3065 C23F 4/00

Claims (3)

    (57)【特許請求の範囲】
  1. 【請求項1】 イオン密度が1011イオン/cm3 以上
    のプラズマを生成可能なエッチング装置内で、一般式C
    x y (ただし、x,yは自然数であり、y≦x+2の
    関係を満たす。)で表されるフルオロカーボン系化合物
    を主体とするエッチング・ガスのプラズマを生成させ、
    窒化シリコン系材料層の上に形成された酸化シリコン系
    材料層を選択的にエッチングすることを特徴とするドラ
    イエッチング方法
  2. 【請求項2】 イオン密度が1011イオン/cm3 以上
    のプラズマを生成可能なエッチング装置内で、一般式C
    x y (ただし、x,yは自然数であり、y≦x+2の
    関係を満たす。)で表されるフルオロカーボン系化合物
    をフルオロカーボン系化合物を主体とするエッチング・
    ガスのプラスマを生成させ、所定の形状にパターニング
    された窒化シリコン系材料層をマスクとして酸化シリコ
    ン系材料層のエッチングを行うことを特徴とするドライ
    エッチング方法。
  3. 【請求項3】 前記フルオロカーボン系化合物がヘキサ
    フルオロベンゼンであることを特徴とする請求項1また
    は請求項2に記載のドライエッチング方法。
JP06075593A 1993-03-19 1993-03-19 ドライエッチング方法 Expired - Lifetime JP3252518B2 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP06075593A JP3252518B2 (ja) 1993-03-19 1993-03-19 ドライエッチング方法
US08/214,122 US5366590A (en) 1993-03-19 1994-03-17 Dry etching method
KR1019940005286A KR100274080B1 (ko) 1993-03-19 1994-03-17 드라이에칭방법

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP06075593A JP3252518B2 (ja) 1993-03-19 1993-03-19 ドライエッチング方法

Publications (2)

Publication Number Publication Date
JPH06275568A JPH06275568A (ja) 1994-09-30
JP3252518B2 true JP3252518B2 (ja) 2002-02-04

Family

ID=13151413

Family Applications (1)

Application Number Title Priority Date Filing Date
JP06075593A Expired - Lifetime JP3252518B2 (ja) 1993-03-19 1993-03-19 ドライエッチング方法

Country Status (3)

Country Link
US (1) US5366590A (ja)
JP (1) JP3252518B2 (ja)
KR (1) KR100274080B1 (ja)

Families Citing this family (90)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6165311A (en) 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6238588B1 (en) * 1991-06-27 2001-05-29 Applied Materials, Inc. High pressure high non-reactive diluent gas content high plasma ion density plasma oxide etch process
US6063233A (en) * 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US5880036A (en) * 1992-06-15 1999-03-09 Micron Technology, Inc. Method for enhancing oxide to nitride selectivity through the use of independent heat control
JPH0950986A (ja) * 1995-05-29 1997-02-18 Sony Corp 接続孔の形成方法
US6716769B1 (en) * 1995-06-02 2004-04-06 Micron Technology, Inc. Use of a plasma source to form a layer during the formation of a semiconductor device
US7294578B1 (en) 1995-06-02 2007-11-13 Micron Technology, Inc. Use of a plasma source to form a layer during the formation of a semiconductor device
WO1997006560A1 (en) * 1995-08-03 1997-02-20 Micron Technology, Inc. Low cost local interconnect process
US5702976A (en) 1995-10-24 1997-12-30 Micron Technology, Inc. Shallow trench isolation using low dielectric constant insulator
US6264812B1 (en) 1995-11-15 2001-07-24 Applied Materials, Inc. Method and apparatus for generating a plasma
WO1997024750A1 (en) * 1995-12-29 1997-07-10 Trikon Technologies, Inc. Method for etching silicon dioxide using unsaturated fluorocarbons
KR100489918B1 (ko) * 1996-05-09 2005-08-04 어플라이드 머티어리얼스, 인코포레이티드 플라즈마발생및스퍼터링용코일
US6368469B1 (en) 1996-05-09 2002-04-09 Applied Materials, Inc. Coils for generating a plasma and for sputtering
US6254746B1 (en) 1996-05-09 2001-07-03 Applied Materials, Inc. Recessed coil for generating a plasma
US5928967A (en) * 1996-06-10 1999-07-27 International Business Machines Corporation Selective oxide-to-nitride etch process using C4 F8 /CO/Ar
JP3795634B2 (ja) 1996-06-19 2006-07-12 株式会社東芝 半導体装置の製造方法
US5930585A (en) * 1996-07-23 1999-07-27 International Business Machines Corporation Collar etch method to improve polysilicon strap integrity in DRAM chips
US6087009A (en) * 1996-08-28 2000-07-11 Natalia Bultykhanova Surface treating methods
US6190513B1 (en) 1997-05-14 2001-02-20 Applied Materials, Inc. Darkspace shield for improved RF transmission in inductively coupled plasma sources for sputter deposition
US6254737B1 (en) 1996-10-08 2001-07-03 Applied Materials, Inc. Active shield for generating a plasma for sputtering
US6514390B1 (en) 1996-10-17 2003-02-04 Applied Materials, Inc. Method to eliminate coil sputtering in an ICP source
DE69737237T2 (de) 1996-10-30 2007-05-24 Japan As Represented By Director-General, Agency Of Industrial Science And Technology Verfahren zur trockenätzung
JP4215294B2 (ja) * 1996-10-30 2009-01-28 独立行政法人産業技術総合研究所 ドライエッチング方法
US5961793A (en) * 1996-10-31 1999-10-05 Applied Materials, Inc. Method of reducing generation of particulate matter in a sputtering chamber
TW358964B (en) 1996-11-21 1999-05-21 Applied Materials Inc Method and apparatus for improving sidewall coverage during sputtering in a chamber having an inductively coupled plasma
US5882535A (en) * 1997-02-04 1999-03-16 Micron Technology, Inc. Method for forming a hole in a semiconductor device
US6599399B2 (en) 1997-03-07 2003-07-29 Applied Materials, Inc. Sputtering method to generate ionized metal plasma using electron beams and magnetic field
US6849557B1 (en) 1997-04-30 2005-02-01 Micron Technology, Inc. Undoped silicon dioxide as etch stop for selective etch of doped silicon dioxide
US6103070A (en) * 1997-05-14 2000-08-15 Applied Materials, Inc. Powered shield source for high density plasma
US6210539B1 (en) 1997-05-14 2001-04-03 Applied Materials, Inc. Method and apparatus for producing a uniform density plasma above a substrate
US6579426B1 (en) 1997-05-16 2003-06-17 Applied Materials, Inc. Use of variable impedance to control coil sputter distribution
US6361661B2 (en) 1997-05-16 2002-03-26 Applies Materials, Inc. Hybrid coil design for ionized deposition
US6077402A (en) * 1997-05-16 2000-06-20 Applied Materials, Inc. Central coil design for ionized metal plasma deposition
US6652717B1 (en) 1997-05-16 2003-11-25 Applied Materials, Inc. Use of variable impedance to control coil sputter distribution
US6375810B2 (en) 1997-08-07 2002-04-23 Applied Materials, Inc. Plasma vapor deposition with coil sputtering
US6235169B1 (en) 1997-08-07 2001-05-22 Applied Materials, Inc. Modulated power for ionized metal plasma deposition
US6345588B1 (en) 1997-08-07 2002-02-12 Applied Materials, Inc. Use of variable RF generator to control coil voltage distribution
US6010935A (en) * 1997-08-21 2000-01-04 Micron Technology, Inc. Self aligned contacts
US5920763A (en) * 1997-08-21 1999-07-06 Micron Technology, Inc. Method and apparatus for improving the structural integrity of stacked capacitors
US6048763A (en) 1997-08-21 2000-04-11 Micron Technology, Inc. Integrated capacitor bottom electrode with etch stop layer
US6455394B1 (en) 1998-03-13 2002-09-24 Micron Technology, Inc. Method for trench isolation by selective deposition of low temperature oxide films
US6565717B1 (en) 1997-09-15 2003-05-20 Applied Materials, Inc. Apparatus for sputtering ionized material in a medium to high density plasma
US6042700A (en) * 1997-09-15 2000-03-28 Applied Materials, Inc. Adjustment of deposition uniformity in an inductively coupled plasma source
US6023038A (en) * 1997-09-16 2000-02-08 Applied Materials, Inc. Resistive heating of powered coil to reduce transient heating/start up effects multiple loadlock system
US6183655B1 (en) 1997-09-19 2001-02-06 Applied Materials, Inc. Tunable process for selectively etching oxide using fluoropropylene and a hydrofluorocarbon
US6074959A (en) * 1997-09-19 2000-06-13 Applied Materials, Inc. Method manifesting a wide process window and using hexafluoropropane or other hydrofluoropropanes to selectively etch oxide
US5976987A (en) * 1997-10-03 1999-11-02 Vlsi Technology, Inc. In-situ corner rounding during oxide etch for improved plug fill
JP3283477B2 (ja) * 1997-10-27 2002-05-20 松下電器産業株式会社 ドライエッチング方法および半導体装置の製造方法
KR100311487B1 (ko) * 1997-12-16 2001-11-15 김영환 산화막식각방법
US6280579B1 (en) 1997-12-19 2001-08-28 Applied Materials, Inc. Target misalignment detector
US6174451B1 (en) * 1998-03-27 2001-01-16 Applied Materials, Inc. Oxide etch process using hexafluorobutadiene and related unsaturated hydrofluorocarbons
US6387287B1 (en) * 1998-03-27 2002-05-14 Applied Materials, Inc. Process for etching oxide using a hexafluorobutadiene and manifesting a wide process window
US6602434B1 (en) 1998-03-27 2003-08-05 Applied Materials, Inc. Process for etching oxide using hexafluorobutadiene or related fluorocarbons and manifesting a wide process window
US6133153A (en) * 1998-03-30 2000-10-17 Lam Research Corporation Self-aligned contacts for semiconductor device
US6074952A (en) * 1998-05-07 2000-06-13 Vanguard International Semiconductor Corporation Method for forming multi-level contacts
US6277758B1 (en) * 1998-07-23 2001-08-21 Micron Technology, Inc. Method of etching doped silicon dioxide with selectivity to undoped silicon dioxide with a high density plasma etcher
US6781212B1 (en) * 1998-08-31 2004-08-24 Micron Technology, Inc Selectively doped trench device isolation
US6117788A (en) * 1998-09-01 2000-09-12 Micron Technology, Inc. Semiconductor etching methods
US6297163B1 (en) * 1998-09-30 2001-10-02 Lam Research Corporation Method of plasma etching dielectric materials
US6227211B1 (en) 1998-12-07 2001-05-08 Taiwan Semiconductor Manufacturing Company Uniformity improvement of high aspect ratio contact by stop layer
US5968278A (en) * 1998-12-07 1999-10-19 Taiwan Semiconductor Manufacturing Company Ltd. High aspect ratio contact
US6271141B2 (en) 1999-03-23 2001-08-07 Micron Technology, Inc. Methods of forming materials over uneven surface topologies, and methods of forming insulative materials over and between conductive lines
US6849193B2 (en) * 1999-03-25 2005-02-01 Hoiman Hung Highly selective process for etching oxide over nitride using hexafluorobutadiene
US6797189B2 (en) 1999-03-25 2004-09-28 Hoiman (Raymond) Hung Enhancement of silicon oxide etch rate and nitride selectivity using hexafluorobutadiene or other heavy perfluorocarbon
US6583065B1 (en) * 1999-08-03 2003-06-24 Applied Materials Inc. Sidewall polymer forming gas additives for etching processes
US6656847B1 (en) 1999-11-01 2003-12-02 Taiwan Semiconductor Manufacturing Company Method for etching silicon nitride selective to titanium silicide
JP2001135630A (ja) * 1999-11-10 2001-05-18 Matsushita Electronics Industry Corp 半導体装置の製造方法
US6432833B1 (en) * 1999-12-20 2002-08-13 Micron Technology, Inc. Method of forming a self aligned contact opening
WO2001050518A1 (en) 2000-01-03 2001-07-12 Micron Technology, Inc. Method of forming a self-aligned contact opening
US6451703B1 (en) 2000-03-10 2002-09-17 Applied Materials, Inc. Magnetically enhanced plasma etch process using a heavy fluorocarbon etching gas
US6797639B2 (en) 2000-11-01 2004-09-28 Applied Materials Inc. Dielectric etch chamber with expanded process window
US7234274B2 (en) * 2001-07-10 2007-06-26 Kabushikikaisha Ansei Vehicle door
US6989108B2 (en) * 2001-08-30 2006-01-24 Micron Technology, Inc. Etchant gas composition
US20030059344A1 (en) * 2001-09-24 2003-03-27 Brady Michael D. Pin plate for use in array printing and method for making the pin plate
US7115518B2 (en) * 2001-10-02 2006-10-03 Matsushita Electric Industrial Co., Ltd. Method for fabricating semiconductor device comprising forming holes in a multi-layer insulating film
KR100437451B1 (ko) * 2002-05-07 2004-06-23 삼성전자주식회사 트랩형 비휘발성 메모리 장치의 제조 방법
KR100655441B1 (ko) * 2005-09-01 2006-12-08 삼성전자주식회사 트랩형 비휘발성 메모리 장치의 제조 방법
US7927950B2 (en) * 2002-05-07 2011-04-19 Samsung Electronics Co., Ltd. Method of fabricating trap type nonvolatile memory device
JP2004063731A (ja) * 2002-07-29 2004-02-26 Matsushita Electric Ind Co Ltd 多層配線の形成方法及びその検査方法
US7229930B2 (en) 2003-01-13 2007-06-12 Applied Materials, Inc. Selective etching of low-k dielectrics
US7041230B2 (en) * 2003-01-21 2006-05-09 Lam Research Corporation Method for selectively etching organosilicate glass with respect to a doped silicon carbide
US20040224524A1 (en) * 2003-05-09 2004-11-11 Applied Materials, Inc. Maintaining the dimensions of features being etched on a lithographic mask
US7083903B2 (en) * 2003-06-17 2006-08-01 Lam Research Corporation Methods of etching photoresist on substrates
US20050014383A1 (en) * 2003-07-15 2005-01-20 Bing Ji Use of hypofluorites, fluoroperoxides, and/or fluorotrioxides as oxidizing agent in fluorocarbon etch plasmas
US7297577B2 (en) * 2004-12-30 2007-11-20 Sony Corporation SOI SRAM device structure with increased W and full depletion
US7605063B2 (en) * 2006-05-10 2009-10-20 Lam Research Corporation Photoresist stripping chamber and methods of etching photoresist on substrates
US9691882B2 (en) 2013-03-14 2017-06-27 International Business Machines Corporation Carbon-doped cap for a raised active semiconductor region
EP2908345A1 (en) * 2014-02-13 2015-08-19 IMEC vzw Contact formation in Ge-containing semiconductor devices
US20170200786A1 (en) * 2016-01-12 2017-07-13 Globalfoundries Inc. Fabrication of transistor-based semiconductor device using closed-loop fins
JP7387377B2 (ja) 2019-10-18 2023-11-28 キオクシア株式会社 プラズマエッチング方法及びプラズマエッチング装置

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4180432A (en) * 1977-12-19 1979-12-25 International Business Machines Corporation Process for etching SiO2 layers to silicon in a moderate vacuum gas plasma
US4162185A (en) * 1978-03-21 1979-07-24 International Business Machines Corporation Utilizing saturated and unsaturated halocarbon gases in plasma etching to increase etch of SiO2 relative to Si
US5286344A (en) * 1992-06-15 1994-02-15 Micron Technology, Inc. Process for selectively etching a layer of silicon dioxide on an underlying stop layer of silicon nitride

Also Published As

Publication number Publication date
US5366590A (en) 1994-11-22
KR100274080B1 (ko) 2000-12-15
KR940022724A (ko) 1994-10-21
JPH06275568A (ja) 1994-09-30

Similar Documents

Publication Publication Date Title
JP3252518B2 (ja) ドライエッチング方法
KR101111924B1 (ko) 이중층 레지스트 플라즈마 에칭 방법
KR101476435B1 (ko) 다중-레이어 레지스트 플라즈마 에치 방법
US6426300B2 (en) Method for fabricating semiconductor device by using etching polymer
JP3409313B2 (ja) 酸化物及びフォトレジスト層に対して高度の選択性を有する異方性窒化物エッチング法
US20050136682A1 (en) Method for plasma etching using periodic modulation of gas chemistry
TW200823998A (en) Self-aligned contact etch with high sensitivity to nitride shoulder
JPH0950986A (ja) 接続孔の形成方法
KR20050118267A (ko) 플라즈마 에칭 성능 강화를 위한 방법
JPH09148314A (ja) ケイ化チタンのエッチングプロセス
JP2000208488A (ja) エッチング方法
JPH10116824A (ja) 高いポリシリコン選択性を有するメタルシリサイドエッチング方法
JP4351806B2 (ja) フォトレジストマスクを使用してエッチングするための改良技術
JP3318801B2 (ja) ドライエッチング方法
US6227211B1 (en) Uniformity improvement of high aspect ratio contact by stop layer
KR100593769B1 (ko) 에칭 방법
JP2002075975A (ja) オクタフルオロブテンを含む蝕刻ガスを用いた半導体素子の製造方法及びその方法によって製造された半導体素子
US7067429B2 (en) Processing method of forming MRAM circuitry
JP3700231B2 (ja) 接続孔の形成方法
US7115518B2 (en) Method for fabricating semiconductor device comprising forming holes in a multi-layer insulating film
JP3259529B2 (ja) 選択エッチング方法
JPH0774147A (ja) ドライエッチング方法およびドライエッチング装置
US20020008083A1 (en) Dry etching method
US20030096504A1 (en) Method of dry etching for fabricating semiconductor device
JPH10144633A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20011023

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20071122

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20081122

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091122

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091122

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101122

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101122

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111122

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111122

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121122

Year of fee payment: 11

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121122

Year of fee payment: 11

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131122

Year of fee payment: 12