JP3245076B2 - 浅い分離溝を平坦化する方法 - Google Patents

浅い分離溝を平坦化する方法

Info

Publication number
JP3245076B2
JP3245076B2 JP29849496A JP29849496A JP3245076B2 JP 3245076 B2 JP3245076 B2 JP 3245076B2 JP 29849496 A JP29849496 A JP 29849496A JP 29849496 A JP29849496 A JP 29849496A JP 3245076 B2 JP3245076 B2 JP 3245076B2
Authority
JP
Japan
Prior art keywords
layer
teos
etching
sio
selectivity
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP29849496A
Other languages
English (en)
Other versions
JPH09181053A (ja
Inventor
フィリップ・コロネル
フレデリック・ルブラン
レンゾ・マッカナン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JPH09181053A publication Critical patent/JPH09181053A/ja
Application granted granted Critical
Publication of JP3245076B2 publication Critical patent/JP3245076B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Landscapes

  • Drying Of Semiconductors (AREA)
  • Element Separation (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Semiconductor Memories (AREA)

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、半導体集積回路の
製造、特に、半導体基板内に形成されたTEOSSiO
2 充填の浅い分離溝を平坦化する改良された方法に関す
るものである。
【0002】
【従来の技術】半導体集積回路(IC)の製造におい
て、深い分離溝および浅い分離溝は、広く用いられてお
り、特に、16MビットDRAMチップの製造に広く用
いられている。深い溝は、記憶キャパシタを画成するた
めにシリコン基板内に作製され、浅い溝は各キャパシタ
溝をその近辺から分離するのに用いられる。浅い分離溝
に関する限り、従来のプロセスは、以下の3つの基本的
な工程を含んでいる。すなわち、(1)パターニングさ
れたSi3 4 層(ITマスク)が設けられたシリコン
基板内に浅い溝を形成する工程と、(2)TEOS S
iO2 のような絶縁材料の層で前記溝を充填する工程
と、(3)絶縁層を平坦化する工程とである。すべての
これらの処理工程は、浅い溝分離(STI;Shall
ow Trench Isolation)モジュール
において、行われる。上述した平坦化の基本工程は、基
本的に、以下の2つの主工程よりなる。すなわち、
(1)ほぼ平坦な面を有する平坦化媒質の層を、TEO
S SiO2 層上に形成する工程と、(2)構造の化学
機械研磨が続いて行われるRIEエッチング(ABエッ
チング)工程とである(以降、平坦化主工程と言う)。
平坦化基本工程の目的は、全体として、なんらの欠陥な
しに、TEOS SiO2 の表面をできるだけ平坦にす
ることである。
【0003】図1は、構造10を示す。構造10は、上
部にパシベーション層12を有するシリコン基板11よ
りなるウェハの一部分である。典型的には、このパシベ
ーション層12は、14.5nm厚のSiO2 の下部層
と、175nm(公称)厚のSi3 4 上部層とからな
る。パシベーション層12は、以降、簡単のためにSi
3 4 層12と言うものとする。基本的には、基板11
は、それぞれ“アレイ(array)”領域および“カ
ーフ/サポート(kerf/support)”領域と
称される2つの領域13,14よりなる。複数のメモリ
素子(それぞれ活性デバイス(IGFET)よりなる)
と、1つのキャパシタとは、“アレイ”領域に集積され
る。“カーフ/サポート”領域は、すべてのテスト・デ
バイスと、複数のメモリ素子を動作させるのに必要な
“グルー(glue)”回路とを有している。深い分離
溝は、“アレイ”領域13内にのみ形成される。2つの
深い溝15A,15Bが、図1に示されている。各深い
溝(一般に15で示される)は、上部がドープされる真
性ポリシリコンで部分的に充填される。基礎ONO(酸
化物/窒化物/酸化物)層16は、ポリシリコン充填物
17を、シリコン基板11から分離する。ポリシリコン
充填物17の上部を取り囲んで、SiO2 カラー(co
llar)18が設けられ、ドープト・ポリシリコン
と、溝側壁上のONO層16との間の分離を増大させ
る。図1から明らかなように、Si3 4 層12の厚さ
は、“アレイ”領域13上の方が、“カーフ/サポー
ト”領域14上におけるよりもかなり薄い。例えば、約
150nmに対して約120nmである(主にカラー形
成中に、パシベーション層12を構成するSi3 4
料の部分的な消耗がある)。このように、構造10は、
16MビットDRAMチップの製造に用いられる通常の
深い分離溝プロセスの後の、シリコン・ウェハの一部分
の典型的な例である。浅い分離溝は、シリコン基板11
内に画成されなければならない。
【0004】まず第1に、図1の構造は、約1.1μm
の厚さを有する感光材料の層19で被覆される。適切な
材料は、TOKYO−OHKA,Tokyo,Japa
nによって販売されているIP3250と呼ばれるホト
レジストである。付着の後、ホトレジスト層19を露光
し、ベークしおよび現像して、パターニングされた層ま
たはマスクを残す。これらは図2において、19で示さ
れている。このマスク(ITマスクと呼ばれる)19の
目的は、シリコン基板11の表面に、浅い溝の位置を定
めることである。ITマスク19を画成した後、ITエ
ッチングによってプロセスが続けられる。ウェハが、A
ME5000(AppliedMaterials I
nc.,Santa Clara,Californi
a,USAによって製造されたMERIEプラズマ・エ
ッチング装置)に、次の処理条件で配置される。
【0005】 NF3 ・・・・・:8sccm N2 ・・・・・・:65sccm CHF3 ・・・・:4sccm 圧力・・・・・・:5.32Pa(40mTorr) 電力・・・・・・:600W 磁界・・・・・・:0Gauss カソード温度・・:20℃ 時間・・・・・・:275s このエッチング混合物は、選択的ではなく、種々の材料
(ポリシリコン,SiO2 ,Si3 4 ,単結晶シリコ
ン)を侵食し、約675nmの深さまで、ほぼ同じ速度
で、露出層を形成する。次に、ITマスク19はアッシ
ングによって除去される。プロセスのこの段階での構造
10は、図3に示される。図3においては、2つの異な
るサイズの浅い溝(狭い溝と比較的広い溝)が示されて
おり、参照番号20A,20Bによって示されている。
“アレイ”領域では、メモリ素子の活性デバイスをネス
ト(nest)するためには、浅い溝が必要であり、し
たがって集積密度を上げるには、浅い溝はできるだけ小
さくなければならない。これに反して、“カーフ/サポ
ート”領域では、テスト・デバイスの分離のために、溝
は比較的広い。図3から明らかなように、分離されたS
3 4 パッド12は残されたままである。
【0006】次の工程は、Si3 4 パッド12によっ
て保護されない水平面の部分上、およびシリコン基板1
1内に形成された浅い溝20A,20Bの側壁上に、薄
いSiO2 犠牲層を熱成長させることである。反応性イ
オン・エッチング(RIE)工程(ITエッチング)の
実行による表面損傷を避けるためには、シリコン表面の
酸化が行われる。図4から明らかなように、プロセスの
この段階では、浅い溝20A,20Bの底壁および側壁
は、約22.5nmの厚さを有する薄いSiO2 犠牲層
21で被覆される(層21は、以降の図では、示されな
い)。
【0007】次に、浅い溝20A,20Bは、TEOS
SiO2 材料で充填される。このためには、TEOS
SiO2 層22が、約845nmの厚さで構造10上
にコンフォーマルに付着されて、溝を過充填する。17
5nmの過充填が、目標である。このTEOS SiO
2 層22は、一般に、テトラエチルオルトシリケート
(TEOS)および酸素を用いて、LPCVD(減圧C
VD)またはPECVD(プラズマ励起CVD)法によ
って形成される。その結果得られた構造を、図5に示
す。狭い窪み23Aおよび広い窪み(または凹部)23
Bが、各浅い溝20A,20B上に見い出される。他の
狭い窪み23Cが、また、図5に示されている。窪みの
間に、構造10の表面は、典型的な盛上り部を与える。
製造のこの工程で、構造10は、平坦化されなければな
らず、TEOS SiO2 層22の最初の厚さは、製品
仕様によって定まる一定の値にまで減らされなければな
らない。
【0008】次に、平坦化の基本工程が行われる。基本
工程は、実際には、次のような2つの主な処理工程より
なる。すなわち、最初に、図5の構造10の表面に平坦
化媒質を形成し、次に、Si3 4 パッド12のほぼ表
面まで、構造を平坦化する。要するに、平坦化媒質を形
成する主工程は、2つのホトレジスト層の連続付着であ
り、平坦化の主工程は、次のような2つの工程で行われ
る。すなわち、最初に、構造のエッチバック(ABエッ
チングと称される)が同じプラズマ・エッチング装置で
行われ、次に、構造は化学機械研磨される。基本的に
は、ABエッチングの目的は、凹部23Bの底部に達す
ることである。他方、化学機械研磨の目的は、TEOS
SiO2 層22の最終表面を、完全に平坦にし、Si
3 4 パッド12の表面に対して約−60nmに位置す
るようにすることである。
【0009】好ましくは、平坦化媒質を形成する主工程
は、2つの連続するホトレジスト層を付着することによ
り行われる。米国特許第5273856号明細書に開示
されているようなホトレジストの第1の層24(AB
1)が、830nmの厚さで、構造10上に付着され、
露光され、ベークされ、現像されて、AB1マスクと称
されるパターニングされた層24を残す。基本的には、
このマスク24の目的は、図6から明らかなように、2
3Bのような広い窪みと、23A(23Cではない)の
ような所定数の狭い窪みを充填することである。この構
成は、後に説明する“シリコン研磨”に関係した欠陥を
減少させる、均一性プロセス問題に関連している。最後
に、構造10は、AB1層24のホトレジスト材料を、
流動させて窪みを完全に充填するのに十分な温度(約1
40℃)に上昇される。
【0010】次に、同じホトレジストよりなる830n
m厚さの第2の層25が、層24上に設けられ、ベーク
される。この第2の工程の後では、ウェハ表面は粗い平
坦であるとみなすことができる。実際には、レジスト・
バブルが発生し、層24,25が重なる箇所に、わずか
なレリーフ・トポグラフィが存在する。この工程の段階
での構造10は、図7に示されている。
【0011】図7の構造の粗く平坦化された表面は、T
EOS SiO2 層22に転写され、シリコン基板11
上に、薄いがほぼ平坦な層を与える。実際には、上述し
たABエッチング工程は、3つの異なったサブ工程で構
成され、“アレイ”領域と“カーフ/サポート”領域と
の間に存在するパターン・ファクタ差とは関係なく、T
EOS SiO2 層22の厚さを調整する。最終的な目
的は、広い窪み23Bに達するまで、盛上り部の箇所
で、TEOS SiO2 層22の表面をエッチングする
ことである。すべてのこれらのサブ工程は、前述したA
ME5000プラズマ・エッチング装置で行われ、化学
作用のみが異なる。
【0012】第1のサブ工程によれば、ウェハはAME
5000プラズマ・エッチング装置内に配置され、TE
OS SiO2 層22の表面(盛上り部の箇所での)に
達するまで、上部レジストAB2層25がエッチングさ
れる。処理条件は、次のとおりである。
【0013】 CHF3 ・・・・・・・・・・・:70sccm NF3 ・・・・・・・・・・・・:60sccm 圧力・・・・・・・・・・・・・:18.25Pa(137mTorr) 磁界・・・・・・・・・・・・・:0Gauss RF電力・・・・・・・・・・・:562W 光学的終点検出・・・・・・・・:Yes オーバエッチング・・・・・・・:12s 選択性 レジスト/TEOS・・:1.5/1(ブランケット) 均一性・・・・・・・・・・・・:<2% 適切なアルゴリズムによって、干渉光学的終点が用いら
れて、AB2層25/TEOS SiO2 層22の界面
が検出される。エッチング終点の検出後、一定のオーバ
・エッチングが行われる。約40nmのTEOS Si
2 層22(盛上り部の箇所での)および下部AB1レ
ジスト層24が、オーバエッチングの間に除去される。
その結果得られる構造は、図8に示されている。
【0014】次に、第2のエッチング・サブ工程は、次
の処理条件で行われる。
【0015】 CHF3 ・・・・・・・・・・・:80sccm NF3 ・・・・・・・・・・・・:50sccm 圧力・・・・・・・・・・・・・:30Pa(220mTorr) 磁界・・・・・・・・・・・・・:20Gauss RF電力・・・・・・・・・・・:700W エッチング時間・・・・・・・・:30sec 選択性 レジスト/TEOS・・:1/1(ブランケット) 均一性・・・・・・・・・・・・:<2% このサブ工程の間、AB1レジスト層24およびTEO
S SiO2 層22は、同一速度でエッチングされるよ
うに思われる。これは、“アレイ”領域と“カーフ/サ
ポート”領域との間のパターン・ファクタの故に、事実
ではない。レジスト層24およびTEOS SiO2
22の両方に対して、約160nmエッチングされる。
製造のこの工程での構造は、図9に示されている。小さ
な窪み(例えば、23A,23C)の位置でのAB1ホ
トレジスト層24のわずかな残りが、および広い窪み2
3Bの位置でのAB1ホトレジスト層24のかなりの残
りが存在することがわかる。これらの残りは、以下に説
明される次の処理工程のためのマスクとして働く。
【0016】第3の最終のサブ工程では、TEOS S
iO2 層22は、次の処理条件でエッチングされる。
【0017】 CHF3 ・・・・・・・・・・・:95sccm CO2 ・・・・・・・・・・・・:40sccm 圧力・・・・・・・・・・・・・:20Pa(150mTorr) 磁界・・・・・・・・・・・・・:25Gauss RF電力・・・・・・・・・・・:900W 光学的終点検出・・・・・・・・:Yes オーバエッチング・・・・・・・:No 選択性 レジスト/TEOS・・:6/1(ブランケット) 均一性・・・・・・・・・・・・:<3% 製造のこの工程での構造は、図10に示される。このエ
ッチング混合物は、次のように選ばれている。すなわ
ち、このサブ工程の間に、TEOS SiO2 層22
が、AB1ホトレジスト層24を形成する材料よりも速
くエッチングされて、浅い分離溝20A,20B上のT
EOS SiO2 材料が必ず保護されるようにする。図
10に示されるように、“アレイ”領域13において、
所望のレベルよりも深くエッチングが行われる(前述し
たように、最適レベルは、凹部23Bの底部である)。
異なるエッチング速度および異方性条件によって、ピー
ク状のTEOS SiO2 が残り、“フェンス(fen
ce)”(通常、このように呼ばれる)が、構造10の
表面に残される。これらフェンスは、図10に22′で
示される。
【0018】ABエッチングの終りに、Si3 4 パッ
ド12上の残りのTEOS SiO2 層22の厚さが測
定される。
【0019】最後に、AB1ホトレジスト層24の残り
の部分が、AME5000プラズマ・エッチング装置の
専用チャンバ内で、除去される。ホトレジストを除去し
た後の構造は、図11に示される。
【0020】次に、化学機械研磨の工程が行われる。こ
の工程の間に、Si3 4 パッド12上に残るTEOS
SiO2 層22が除去される。構造10は、Si3
4 パッド表面に達するまで、化学機械研磨され、研磨
は、Si3 4 パッドの上側レベルの下約60nmにま
で続けられる。この工程は、市販されている研磨スラリ
ーによって行われる。例えば適切なスラリーは、CAB
OT−SPERCE,Eldorado Hills,
California,USAによりSCIの名称で販
売されている。製造のこの段階での構造は、図12に示
されている。
【0021】浅い溝の形成プロセスは、TEOS Si
2 層22のアニールおよびSi34 パッド12の除
去によって、終了する。アニールの目的は、TEOS
SiO2 を高密度化して、最終的な厚さが、約5%だけ
減少した図12の段階でのTEOS SiO2 層22の
厚さとなるようにすることである。製造のこの段階で
は、下側の単結晶シリコンを保護するのに、Si3 4
パッド12が必ず必要とされる。Si3 4 パッドが無
ければ、下側の単結晶シリコンは、損傷を受けるであろ
う。Si3 4 パッド12の除去は、ウェット・エッチ
ングによって行われる。このウェット・プロセスは、非
常に高いSi3 4 /TEOS SiO2選択性を有す
るので、Si3 4 パッドの上に残っている未研磨のT
EOS SiO2 材料の膜が存在すれば、この膜が非常
に薄い(例えば、1.5nm)としても、Si3 4
ッド12をエッチングすることができない。Si3 4
パッドの残留は、この除去工程の後でのみ、明らかにす
ることができる。
【0022】ABエッチング・シーケンスについてのウ
ェハ・ロードマップ(waferroad−map)
は、図13に要約されている。図13には、4つのチャ
ンバ27A〜27Dと、中央負荷/無負荷モジュール2
8と、モジュールに連結された待合せステーション29
と、真空封止部30とからなるAME5000プラズマ
・エッチング装置26が示されている。図13は、ま
た、厚さ測定装置31(例えば、PROMETRIX)
を示している。矢印は、プラズマ・エッチング装置26
内でのウェハの移動を示す。図13から明らかなよう
に、ただ2つの反応チャンバが必要とされる。上述した
3つのサブ工程は、チャンバ27A内で行われ、AB1
ホトレジスト24の残りは、チャンバ27B内で除去さ
れる。TEOS SiO2 厚さの測定は、測定装置31
内で行われる(“カーフ/サポート”領域のSi3 4
パッド上で)。
【0023】この製造プロセスでは、化学機械研磨は、
所要時間が長く且つ高価な処理工程である。残念なこと
には、プロセスのモニタリングの困難性の故に、エッチ
ング速度は、研磨されるウェハの数の関数として変化
し、“アレイ”領域と“カーフ/サポート”領域との間
でエッチング速度が異なる(技術用語で“ディッシング
(dishing)効果”と呼ばれる)ので、化学機械
研磨工程は、1回の工程ではなく、2または3回の工程
で行われる。最初の工程の前、および工程の間、すべて
のウェハが測定され、(TEOS SiO2 層22の厚
さは、23Bのような広い窪みの中心で測定される)、
プロセス・パラメータは調整されなければならない。図
14は、厚さ測定装置32(例えば、PROMETRI
X)と、WESTECH,Tempe,Arizon
a,USAによって販売されているモデル372Mのよ
うな化学機械研磨機33との間のウェハ・ロードマップ
を示している。当業者には周知のように、化学機械研磨
工程は、非常に小さいプロセス・ウィンドウを有してい
る。というのは、化学機械研磨工程は、すべての前の処
理工程に依存し、さらにはいかなるプロセス変動をも治
すことができないからである。しかし、ABエッチング
の際に形成されたフェンス22′の存在の故に、化学機
械研磨工程は、実際には必須である。
【0024】図15は、図6〜図13によって説明され
た平坦化主工程の終りにおける理想的な構造を示す。平
坦化主工程の後、図15の拡大図において明瞭に示され
ているように、約−60nmの“ラップ・アラウンド
(wrap−around)”ターゲットが存在する。
残念なことに、前述したすべてのパラメータ調整および
未解決の問題にかかわらず、得られるラップ・アラウン
ドは、或るロットの最初のウェハと最後のウェハとの間
で、および同一ウェハの“アレイ”領域と“カーフ/サ
ポート”領域との間でさえも、かなり変化する。
【0025】前述の平坦化主工程シーケンス(シーケン
スに対し主に寄与する化学機械研磨工程を含む)が用い
られる場合には、2種類の問題が発生する。これらの問
題は、“シリコン研磨”および“Si3 4 パッド残
留”である。これらの問題は、“アレイ”領域および
“カーフ/サポート”領域上のSi3 4 層12の厚さ
変動、化学機械研磨工程を適切に制御する困難性、およ
び異なるパターン・ファクタによる影響を含む、種々の
原因を有している。
【0026】化学機械研磨が“非常に重要”ならば、或
るSi3 4 パッド12は、完全に除去され、基板11
のシリコンは、アニールの間、もはや保護されない。最
終的に、IGFETのゲート酸化物の品質は悪くなる。
このケースは、図16(A)に示されており、矢印は、
露出したシリコン表面を示している。これは、前述した
Si3 4 層12の厚さ変動に直接に起因する。
【0027】これに反して、化学機械研磨工程が、“所
要時間がかなり長くなければ”、TEOS SiO2
料を、Si3 4 パッド12上に残すことができ、前述
したSi3 4 パッド除去工程の際に、ハードマスク保
護膜として働き、パッドの完全な除去を防止する。この
場合、或るSi3 4 パッドが、当業者に既知のゲート
導体(GC)の下に残留し、これがIGFETを不作動
にする。このケースは、図16(B)に示されており、
矢印が除去されなかったSi3 4 パッドを示してい
る。
【0028】これら両方のケースにおいて、厚さ測定装
置32内で行われた測定により行われたプロセス調整が
適切であっても、これらの欠陥は満足するように修正す
ることはできない。というのは、化学機械研磨は、本質
的に機械的技術であり、この技術はすべての起こり得る
変動、あるいは前の処理工程により生じる非均一性を統
合することができないからである。実際には、化学機械
研磨工程のプロセス・ウィンドウは、非常に小さく、製
品群に強く依存している。これらの欠陥をこうむるチッ
プに対し、最終テストでゼロ歩留りが予測される。前記
平坦化工程シーケンスは、ウェハが連続して供せられる
処理工程の成功裡の実行に強く望まれる優れた再現性を
可能にしない。
【0029】
【発明が解決しようとする課題】したがって本発明の主
な目的は、TEOS SiO2 が充填された浅い分離溝
を平坦化する方法であって、従来技術の主な平坦化工程
を改善し、特に化学機械研磨工程の必要性を排除する方
法を提供することにある。
【0030】本発明の他の目的は、TEOS SiO2
が充填された浅い分離溝を平坦化する方法であって、
“シリコン研磨”および“Si3 4 パッド残留”の欠
陥を抑制する方法を提供することにある。
【0031】本発明のさらに他の目的は、TEOS S
iO2 が充填された浅い分離溝を平坦化する方法であっ
て、従来の平坦化主工程のプロセス・ウィンドウによる
問題を排除する方法を提供することにある。
【0032】本発明のさらに他の目的は、TEOS S
iO2 が充填された浅い分離溝を平坦化する方法であっ
て、再現性があり、特に最終テスト(FT)で高い製造
歩留りを有する方法を提供することにある。
【0033】本発明のさらに他の目的は、TEOS S
iO2 が充填された浅い分離溝を平坦化する方法であっ
て、かなりのコスト低減のために、従来の技術よりも、
より簡単で、より高いスループットを有する方法を提供
することにある。
【0034】
【課題を解決するための手段】本発明によれば、3つの
処理工程よりなる、新規かつ改善された平坦化主工程
(ATエッチングと言う)により、TEOS SiO2
充填の浅い分離溝を平坦化する方法が開示されている。
基本的には、プロセス・ウィンドウの概念は捨てられて
いる。というのは、“シリコン研磨”および“Si3
4 残留”タイプの欠陥は排除されるからである。本発明
の方法は、また、最終的なテスト歩留り、コスト低減、
再現性に関して、重要な利点を与える。
【0035】出発構造は、パターニングされたSi3
4 パシベーション層が設けられたシリコン基板である。
次に、深い溝および浅い溝を、基板内に形成する。次
に、深い溝および浅い溝を、TEOS SiO2 でコン
フォーマルに充填する。次に、平坦化媒質(それぞれ下
部層および上部層に対しAT1およびAT2と呼ばれる
2つの積層されたホトレジスト層によって典型的に作ら
れた)を、構造上に形成して、ほぼ平坦な面を与える。
この製造段階では、構造は、従来の製造プロセスによっ
て作製される。
【0036】基本的に本発明方法によれば、この平坦面
は、初めに、TEOS SiO2 層における非選択性の
2工程プラズマ・エッチングによって、転写される。こ
れら2工程プラズマ・エッチングには、任意に、ホトレ
ジスト材料の残り(もしあれば)を除去するための除去
工程が続く。最後に、TEOS SiO2 /Si3 4
選択性RIEエッチング工程を行う。このエッチング工
程は、Si3 4 層上で停止する。
【0037】第1の処理工程によれば、TEOS Si
2 材料よりもわずかに速くAT2ホトレジストをエッ
チングするCHF3 /NF3 化学物質を用いて、AME
5000プラズマ・エッチング装置のようなマルチチャ
ンバRIE装置の1つの反応チャンバ内で、AT2ホト
レジスト層を普通にエッチングする。次に、以前の選択
性を変え、かつ、AT1ホトレジストおよびTEOS
SiO2 材料の両方に対して同じエッチング速度を有す
るためには、同じチャンバ内で、同じではあるが異なる
パラメータ(例えば、異なるガス比)を有する化学物質
を用いる。このエッチング工程の終点は、AT1ホトレ
ジスト/TEOS SiO2 界面で光学干渉計法によっ
て制御する。この工程は、オーバ・エッチングを含んで
いる。
【0038】この2工程RIEエッチングが終了した
後、構造10の面にAT1ホトレジストが残れば、この
残留物は、同じ反応チャンバ内で(あるいは特定のホト
レジスト除去装置内で)O2 /N2 プラズマで除去され
る。
【0039】最後に、同じ反応チャンバ内において、非
常に高いSi3 4 /TEOS SiO2 選択性を有す
るC4 8 /Ar(またはC4 8 /CO/Ar)化学
物質(すなわち、Si3 4 よりも少なくとも8倍速く
TEOS SiO2 をエッチングする)で、第3工程す
なわち最終工程を行う。エッチングは、干渉法終点検出
装置が、Si3 4 層の面に達したことを検出すると、
停止する。この工程は、オーバ・エッチングを含んでお
り、その期間は、典型的に次の処理モジュールによって
要求されるラップ・アラウンド値の製品仕様に依存して
いる。
【0040】構造は、Si3 4 パッドを除去するため
に、従来の製造プロセスで説明したように処理される状
態にある。しかし、本発明によれば、新しいATエッチ
ングの前に(すなわち、TEOS SiO2 充填工程が
終わった直後に)、TEOSSiO2 アニールが好適に
行われる。
【0041】図1〜図16を参照して前述した従来技術
の従来の平坦化方法において、化学機械研磨は、全く排
除されている。その結果、この製造段階でのプロセス・
ウィンドウの問題はもはや存在せず、製造歩留りが増大
する。さらに、異なるエッチング終点制御により、ウェ
ハ対ウェハ再現性がかなり改善される。また、2つの反
応チャンバの代りに、ただ1つの反応チャンバが用いら
れ、種々のTEOSSiO2 層の厚さ測定がもはや行な
われないことに留意すべきである。
【0042】本発明の改善された新しい平坦化主工程
(ATエッチング)は、半導体産業において、および特
に16MビットDRAMおよびロジック製品の製造にお
いて、広く応用される。また、本発明の平坦化主工程
は、将来の技術(例えば、64Mビットおよび256M
ビットDRAMチップ)に完全に適応される。
【0043】
【発明の実施の形態】図1〜図7によって説明した工程
は、新しい平坦化プロセス(以降、ATエッチングと言
う)についても有効である。これらの工程は、必要なら
いくつかの調整を最適化に対して行うことができること
を除いて、だいたい同じである。区別するために、2
4,25でそれぞれ示される2つのAB1層,AB2層
を、AT1,AT2とする。
【0044】好ましくは、TEOS SiO2 アニール
を、図12の化学機械研磨後に行う代わりに、図5で説
明されるTEOS SiO2 充填の工程後に行う。TE
OSSiO2 材料の高密化を目的とするこのアニール工
程は、最終のTEOS SiO2 層22の厚さおよび
“ラップ・アラウンド”深さの良好な制御を可能にす
る。
【0045】新しい平坦化工程(ATエッチング)は、
典型的には、3つの工程で行われる(前述した16Mビ
ットDRAMチップに対して)。
【0046】工程1では、構造10を、以下に与えられ
る処理条件を用いてエッチングする。CHF3 /NF3
の化学物質を用いる目的は、AT2ホトレジスト/TE
OSSiO2 選択性を、TEOS SiO2 よりわずか
に速く、ホトレジストをエッチングするように適合させ
ることである。しかし、この工程は、実際には重要では
ない。
【0047】 CHF3 ・・・・・・・・・・・:70sccm NF3 ・・・・・・・・・・・・:60sccm 圧力・・・・・・・・・・・・・:24Pa(180mTorr) 磁界・・・・・・・・・・・・・:0Gauss RF電力・・・・・・・・・・・:560W 干渉法終点検出・・・・・・・・:Yes 選択性 AT2/TEOS・・・:1.5/1(ブランケット) 均一性・・・・・・・・・・・・:<2% この工程の際、AT2ホトレジスト25は、AT2/T
EOS SiO2 界面に達するまで、エッチングされ
る。適切なアルゴリズムによって、干渉法終点検出を用
いて、TEOS SiO2 盛上り部の表面が露出する時
を検出する。上記したパラメータは、最良のエッチング
均一性(中心−対−縁部)、代表的には2%より小さい
値を得るために設定される。その結果得られた構造を、
図17に示す。
【0048】次に工程2において、構造10には、同じ
化学物質が、異なる温度で与えられて、低いAT1ホト
レジスト/TEOS SiO2 選択性(または、全く選
択性なし)を与える。処理条件を、以下に示す。
【0049】 CHF3 ・・・・・・・・・・・:95sccm NF3 ・・・・・・・・・・・・:35sccm 圧力・・・・・・・・・・・・・:40Pa(300mTorr) 磁界・・・・・・・・・・・・・:8Gauss RF電力・・・・・・・・・・・:700W 干渉法終点検出・・・・・・・・:Yes オーバエッチング・・・・・・・:6s 選択性 AT2/TEOS・・・:0.95〜1.05/11(ブランケット ) 均一性・・・・・・・・・・・・:<1% この工程は、さらに重要である。“アレイ”領域と“カ
ーフ/サポート”領域との間のパターン・ファクタ効果
を補償するためには、TEOS SiO2 材料および層
24のAT1ホトレジストは、ほぼ同じエッチング速度
でエッチングされなければならない。同様に、これらパ
ラメータは、最良の可能なエッチング均一性(中心−対
−縁部)、例えば1%より小さい値を得るために設定さ
れている。干渉法終点検出を用いて、凹部23Bの底部
のTEOS SiO2 に達するのを検出する。AT1ホ
トレジスト材料の全部を除去するために、オーバエッチ
ングを行う。この工程の後、図18から明らかなよう
に、ウェハ表面上に、もはやフェンス22′は存在しな
い。
【0050】しかし、AT1ホトレジスト24の或る部
分が、構造10の表面に残るならば、それらはアッシン
グによって除去する。
【0051】工程3では、高選択性の化学物質を用い
て、層22のTEOS SiO2 材料を除去する。この
ためには、C4 8 /Ar化学物質を用いる。この化学
物質のSi3 4 /TEOS SiO2 選択性は、パラ
メータの設定によって、10/1のように高くすること
ができる。
【0052】 Ar ・・・・・・・・・・・・:150sccm C4 8 ・・・・・・・・・・・:5sccm 圧力・・・・・・・・・・・・・:40Pa(150mTorr) 磁界・・・・・・・・・・・・・:50Gauss RF電力・・・・・・・・・・・:800W 干渉法終点検出・・・・・・・・:Yes オーバエッチング・・・・・・・:15s 選択性 Si3 4 /TEOS・:9/1(ブランケット) 均一性・・・・・・・・・・・・:<4% 10/1より大きい選択性が要求されるならば、酸化炭
素CO(60sccm)を加えなければならない。TE
OS SiO2 材料は、Si3 4 パッド表面に達する
まで(干渉計によって自動的に検出される)、エッチン
グされる。オーバエッチングが行われて、Si3 4
ッド表面以下にエッチングして、−60nmの所望のラ
ップ・アラウンドを形成する。その結果得られた構造
を、図19に示す。この工程の結果、ラップ・アラウン
ド深さは、前のプロセスの変動とは無関係であり、この
ことは優れた再現性を保証する。オーバエッチングは、
仕様を満足するための製品要件の関数である。このオー
バエッチングの際、前述したTEOS SiO2 膜が残
るならば、それは除去され、これにより“Si3 4
ッド残留”タイプの欠陥(Si3 4 パッドの除去工程
後に観察できるのみである)が除去される。或る製品が
そのようなオーバエッチングを必要としないならば、A
T1ホトレジスト層24を、種々の厚さで付着すること
が常に可能である。
【0053】浅い溝の形成プロセスは、従来技術の方法
による前述したウェット・エッチングによってSi3
4 パッドを除去する工程によって終了する。
【0054】図20は、ATエッチング工程の際のウェ
ハ・ロードマップを示す。ウェハは、マルチチャンバ・
プラズマ・エッチング装置26のただ1つの反応チャン
バを出入りする。他のチャンバ(27B)内でのホトレ
ジスト除去は、前述したように任意である。図20から
明らかなように、測定装置31(図13参照)は、もは
や必要でない。
【0055】いくつかのプロセス変更を行って、前述し
た本発明の方法をさらに改良することができる。例え
ば、等方性エッチング工程を、完全にフェンスを除去す
るための工程2の後に、行うことができる。工程2の化
学物質が適切で、圧力を減少させる(例えば、20m
T)ことが必要ならば、工程1および2を、1つの工程
に併合することができる。さらに、本発明の方法は、前
述した従来のプロセスに比べて、多数のパラメータの良
好な最適化を可能とする。例えば、より薄いSi34
層12(例えば140nm)を、175nmの代わりに
用いることができる。凹部20Bの深さを、例えば67
5nmの代わりに550nmに減じることができる。と
いうのは、Si3 4 パッドをエッチングするなんらの
危険性ももはや存在しないからである。その結果、より
薄いTEOS SiO2 層22が可能となり(例えば8
50nmの代わりに700nm)、およびTEOS S
iO2の過充填を、175nmから50nmへ減少させ
ることができる(これは、ロジック製品について有用で
あることがわかる)。AT2ホトレジスト層25の厚さ
を減少させ、これにより大きなTEOS SiO2 領域
にわたって、重要なTEOSの均一性を改善することが
できる。AT1のホトレジスト層の厚さも、減少させる
ことができる。工程3のオーバエッチングを、現在の利
点を損うことなく増大することができる(例えば、60
nmの代わりに100nm)。というのは、TEOS
SiO2 のアニールが、ABエッチングの後ではなく前
に好適になされており、およびSi3 4 パッドは、オ
ーバエッチングの際にエッチングされないからである。
したがって、シリコン侵食は、従来の方法の化学機械研
磨工程とは異なり、かつ、“アレイ”領域と“カーフ/
サポート”領域との間のSi3 4 層12の厚さの差と
は関係なく、生じない。最後に、AT1マスクの構成
は、実際に簡単になる(AB1マスクに比べて)。
【0056】本発明の方法による新規かつ改良された平
坦化主工程は、製造歩留り,コスト低減,容易な処理,
再現性に関して、優れた効果を有している。“シリコン
研磨”および“Si3 4 パッド残留”による欠陥は除
去されるので、歩留りは改善され、その結果、プロセス
・ウィンドウはもはやSTIモジュールについての問題
ではない。コストの改善は、処理工程の低減により実現
される。種々のTEOS SiO2 厚さ測定(TEOS
SiO2 充填とABエッチングの後、および化学機械
研磨の際)は、不必要である。ATマスクは、ABマス
クよりも複雑ではなく、すべての新しい製品に対して再
設計を必要としない。所要時間が長くかつ高価な化学機
械研磨工程は、もはや不必要である。全ATエッチング
の際に、ウェハ処理を行うことのない“フル・クラスタ
ライズト(full clusterized)”プロ
セスを有することができる。最後に、改良された平坦化
制御(ウェハ対ウェハ,ロット対ロット)の故に、良好
なウェハ対ウェハ再現性が得られる。ラップ・アラウン
ドは、自動的なエッチング停止および調整されたオーバ
エッチングについての、干渉法終点検出によって、全体
的に制御できる。本発明の教示に従って製造されたウェ
ハは、良好な平坦性(中心−対−縁部,“アレイ”領域
−対−“カーフ/サポート”領域)を有し、パターン・
ファクタ効果の影響は、かなり減少する。
【0057】本発明の改良された平坦化方法(ATエッ
チング)は、半導体産業において、特に、16Mビット
DRAMおよびロジック製品の製品において、広く応用
できる。本発明の方法は、将来の技術(例えば、64M
ビットおよび256MビットDRAMチップ)に完全に
適用できる。
【0058】まとめとして、本発明の構成に関して以下
の事項を開示する。 (1)シリコン基板(11)内に形成され、上部に形成
されたTEOS SiO2 のコンフォーマル層(22)
で充填された浅い溝(20A,20B)を画成するパタ
ーニングされたSi3 4 パシベーション層(12)に
よって被覆されたシリコン基板よりなる半導体構造(1
0)内に形成された、TEOS SiO2充填の浅い分
離溝を平坦化する方法であって、 a)構造上に平坦化媒質(24,25)を形成して、ほ
ぼ平坦な面を与えるステップと、 b)前記ほぼ平坦な面を、低い選択性を有するかまたは
選択性はない(約1/1)が、高い均一性(約3%より
小さい)を有するドライ・エッチングによって、前記T
EOS SiO2 層へ転写するステップと、 c)Si3 4 よりも少なくとも8倍速くTEOS S
iO2 をエッチングするTEOS SiO2 /Si3
4 高選択性の化学物質で前記構造をドライ・エッチング
し、前記Si3 4 パシベーション層の上面に達する
と、エッチングを停止するステップと、を含む方法。 (2)前記高選択性の化学物質は、C4 8 /Ar混合
物よりなる、上記(1)に記載の方法。 (3)前記ステップc)のドライ・エッチングを、プラ
ズマ・エッチング装置の反応チャンバ内で、以下の処理
条件 Ar・・・・・・・・・・・・・:150sccm C4 8 ・・・・・・・・・・・:5sccm 圧力・・・・・・・・・・・・・:40Pa(150mTorr) 磁界・・・・・・・・・・・・・:50Gauss RF電力・・・・・・・・・・・:800W 選択性 Si3 4 /TEOS・:9/1(ブランケット) 均一性・・・・・・・・・・・・:<4% で行う、上記(1)または(2)に記載の方法。 (4)前記高選択性の化学物質は、C4 8 /CO/A
r混合物よりなる、上記(1)に記載の方法。 (5)前記ステップc)のドライ・エッチングを、プラ
ズマ・エッチング装置の反応チャンバ内で、以下の処理
条件 Ar・・・・・・・・・・・・・:150sccm CO・・・・・・・・・・・・・:60sccm C4 8 ・・・・・・・・・・・:5sccm 圧力・・・・・・・・・・・・・:40Pa(150mTorr) 磁界・・・・・・・・・・・・・:50Gauss RF電力・・・・・・・・・・・:800W 選択性 Si3 4 /TEOS・:15/1(ブランケット) 均一性・・・・・・・・・・・・:<4% で行う、上記(4)に記載の方法。 (6)前記ステップc)は、所望のラップ・アラウンド
を形成するオーバ・エッチングを含む、上記(1)〜
(5)のいずれかに記載の方法。 (7)平坦化媒質を形成する前記ステップa)は、 a1)前記TEOS SiO2 層の厚さよりもわずかに
大きい厚さで、ホトレジスト材料の第1の層(24)を
付着するサブステップと、 a2)前記ほぼ平坦な面を与えるために、前記第1の層
上にホトレジスト材料の第2の層(25)を付着するサ
ブステップと、を含む上記(1)に記載の方法。 (8)前記第1および第2の層に、同じホトレジスト材
料を用いる、上記(7)に記載の方法。 (9)前記転写ステップb)を、プラズマ・エッチング
装置の1つのチャンバ内で、且つ化学物質を変えない
で、ドライ・エッチングによって単一の工程で行う、上
記(8)に記載の方法。 (10)前記化学物質は、CHF3 /NF3 よりなる、
上記(9)に記載の方法。 (11)前記転写ステップb)を、プラズマ・エッチン
グ装置において、同一の化学物質ではあるが異なるエッ
チング・パラメータで、前記プラズマ・エッチング装置
の同一のチャンバ内で、ドライ・エッチングによって、
2つの工程(工程1および2)で行う、上記(8)に記
載の方法。 (12)前記化学物質は、CHF3 /NF3 よりなる、
上記(11)に記載の方法。 (13)前記工程1および2に対する処理条件は、 CHF3 ・・・・・・・・・・・:70sccm NF3 ・・・・・・・・・・・・:60sccm 圧力・・・・・・・・・・・・・:24Pa(180mTorr) 磁界・・・・・・・・・・・・・:0Gauss RF電力・・・・・・・・・・・:560W 選択性 AT2/TEOS・・・:1.5/1(ブランケット) 均一性・・・・・・・・・・・・:<2% 工程2 CHF3 ・・・・・・・・・・・:95sccm NF3 ・・・・・・・・・・・・:35sccm 圧力・・・・・・・・・・・・・:40Pa(300mTorr) 磁界・・・・・・・・・・・・・:8Gauss RF電力・・・・・・・・・・・:700W 選択性 AT2/TEOS・・・:0.95〜1.05/11(ブランケット ) 均一性・・・・・・・・・・・・:<1% (14)d)残りのパシベーションSi3 4 層を除去
するステップをさらに含む、上記(1)〜(13)のい
ずれかに記載の方法。 (15)TEOS SiO2 層を、ステップa)の前に
アニールする、上記(1)〜(14)のいずれかに記載
の方法。 (16)前記Si3 4 層の上面を、干渉法で検出す
る、上記(1)〜(15)のいずれかに記載の方法。
【図面の簡単な説明】
【図1】浅い分離溝を作製する従来のプロセスの工程シ
ーケンスであって、浅い分離溝を充填するTEOS S
iO2 層の平坦化を終了させる最終の化学機械研磨工程
を含む工程シーケンスにおける、深い溝を有する半導体
構造の断面図である。
【図2】浅い分離溝を作製する従来のプロセスの工程シ
ーケンスであって、浅い分離溝を充填するTEOS S
iO2 層の平坦化を終了させる最終の化学機械研磨工程
を含む工程シーケンスにおける、深い溝を有する半導体
構造の断面図である。
【図3】浅い分離溝を作製する従来のプロセスの工程シ
ーケンスであって、浅い分離溝を充填するTEOS S
iO2 層の平坦化を終了させる最終の化学機械研磨工程
を含む工程シーケンスにおける、深い溝を有する半導体
構造の断面図である。
【図4】浅い分離溝を作製する従来のプロセスの工程シ
ーケンスであって、浅い分離溝を充填するTEOS S
iO2 層の平坦化を終了させる最終の化学機械研磨工程
を含む工程シーケンスにおける、深い溝を有する半導体
構造の断面図である。
【図5】浅い分離溝を作製する従来のプロセスの工程シ
ーケンスであって、浅い分離溝を充填するTEOS S
iO2 層の平坦化を終了させる最終の化学機械研磨工程
を含む工程シーケンスにおける、深い溝を有する半導体
構造の断面図である。
【図6】浅い分離溝を作製する従来のプロセスの工程シ
ーケンスであって、浅い分離溝を充填するTEOS S
iO2 層の平坦化を終了させる最終の化学機械研磨工程
を含む工程シーケンスにおける、深い溝を有する半導体
構造の断面図である。
【図7】浅い分離溝を作製する従来のプロセスの工程シ
ーケンスであって、浅い分離溝を充填するTEOS S
iO2 層の平坦化を終了させる最終の化学機械研磨工程
を含む工程シーケンスにおける、深い溝を有する半導体
構造の断面図である。
【図8】浅い分離溝を作製する従来のプロセスの工程シ
ーケンスであって、浅い分離溝を充填するTEOS S
iO2 層の平坦化を終了させる最終の化学機械研磨工程
を含む工程シーケンスにおける、深い溝を有する半導体
構造の断面図である。
【図9】浅い分離溝を作製する従来のプロセスの工程シ
ーケンスであって、浅い分離溝を充填するTEOS S
iO2 層の平坦化を終了させる最終の化学機械研磨工程
を含む工程シーケンスにおける、深い溝を有する半導体
構造の断面図である。
【図10】浅い分離溝を作製する従来のプロセスの工程
シーケンスであって、浅い分離溝を充填するTEOS
SiO2 層の平坦化を終了させる最終の化学機械研磨工
程を含む工程シーケンスにおける、深い溝を有する半導
体構造の断面図である。
【図11】浅い分離溝を作製する従来のプロセスの工程
シーケンスであって、浅い分離溝を充填するTEOS
SiO2 層の平坦化を終了させる最終の化学機械研磨工
程を含む工程シーケンスにおける、深い溝を有する半導
体構造の断面図である。
【図12】浅い分離溝を作製する従来のプロセスの工程
シーケンスであって、浅い分離溝を充填するTEOS
SiO2 層の平坦化を終了させる最終の化学機械研磨工
程を含む工程シーケンスにおける、深い溝を有する半導
体構造の断面図である。
【図13】マルチチャンバ・プラズマ・エッチング装置
の2つの反応チャンバと、厚さ測定装置との間でウェハ
が運ばれるABエッチング工程の際のウェハ・ロードマ
ップを示す図である。
【図14】研磨装置と厚さ測定装置との間でウェハが運
ばれる化学機械研磨工程の際のウェハ・ロードマップを
示す図である。
【図15】浅い溝の作製プロセスの終りに得られる理想
的な構造の断面を示す図である。
【図16】“シリコン研磨”および“Si3 4 パッド
残留”タイプの欠陥をそれぞれ示すための図15の断面
図である。
【図17】図7に示す工程の終了後の、本発明の方法に
よる新しい改善された主工程(ABエッチング)の新規
なシーケンスを示す図である。
【図18】図7に示す工程の終了後の、本発明の方法に
よる新しい改善された主工程(ABエッチング)の新規
なシーケンスを示す図である。
【図19】図7に示す工程の終了後の、本発明の方法に
よる新しい改善された主工程(ABエッチング)の新規
なシーケンスを示す図である。
【図20】マルチチャンバ・プラズマ・エッチング装置
の1つの反応チャンバにウェハが出入りするATエッチ
ング工程の際のウェハ・ロードマップを示す図である。
【符号の説明】
10 構造 11 シリコン基板 12 パシベーション層 13 “アレイ”領域 14 “カーフ/サポート”領域 15A,15B 深い溝 16 ONO層 17 ポリシリコン充填物 18 SiO2 カラー 19 感光材料の層 20A,20B 浅い溝 21 SiO2 犠牲層 22 TEOS SiO2 材料 22′ フェンス 23A,23B,23C 窪み 24,25 ホトレジスト層 26 プラズマ・エッチング装置 27A〜27D チャンバ 28 中央負荷/無負荷モジュール 29 待合わせステーション 30 真空封止部 31,32 厚さ測定装置
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI H01L 27/108 (72)発明者 フレデリック・ルブラン フランス エヴリー 91000 ルー ピ ー ピカソ5 (72)発明者 レンゾ・マッカナン フランス ヴィラブ 91100 シェミン デ ヴィンス 11 (56)参考文献 特開 平5−206261(JP,A) 特開 平6−112192(JP,A) 特開 平4−370934(JP,A) 特開 平7−86239(JP,A) 特開 昭62−274082(JP,A) 特開 平5−74737(JP,A) (58)調査した分野(Int.Cl.7,DB名) H01L 21/3065 H01L 21/76 H01L 21/822 H01L 21/8242

Claims (14)

    (57)【特許請求の範囲】
  1. 【請求項1】シリコン基板内に形成され、上部に形成さ
    れたTEOS SiO2 のコンフォーマル層で充填され
    た浅い溝を画成するパターニングされたSi3 4 層に
    よって被覆されたシリコン基板よりなる半導体構造内に
    形成された、TEOS SiO2 充填の浅い分離溝を平
    坦化する方法であって、 a)前記TEOS SiO2 層をアニールした後、前記
    半導体 構造上に平坦化媒質を形成して、ほぼ平坦な面
    を与えるステップと、 b)前記ほぼ平坦な面を、低い選択性を有するかまたは
    選択性はない(約1/1)が、高い均一性(約3%より
    小さい)を有するドライ・エッチングによって、前記T
    EOS SiO2 層へ転写するステップと、 c)Si3 4 よりも少なくとも8倍速くTEOS S
    iO2 をエッチングするTEOS SiO2 /Si3
    4 高選択性の化学物質で前記構造をドライ・エッチング
    し、前記パターニングされたSi3 4 層の上面に達す
    ると、エッチングを停止するステップとを備え、 前記転写ステップb)を、プラズマ・エッチング装置に
    おいて、同一の化学物質ではあるが異なるエッチング・
    パラメータで、前記プラズマ・エッチング装置の同一の
    チャンバ内で、ドライ・エッチングによって、2つの工
    程(工程1および2)で行うことを特徴とする 方法。
  2. 【請求項2】前記高選択性の化学物質は、C4 8 /A
    r混合物よりなる、請求項1記載の方法。
  3. 【請求項3】前記ステップc)のドライ・エッチング
    を、プラズマ・エッチング装置の反応チャンバ内で、以
    下の処理条件 Ar・・・・・・・・・・・・・:150sccm C4 8 ・・・・・・・・・・・:5sccm 圧力・・・・・・・・・・・・・:40Pa(150mTorr) 磁界・・・・・・・・・・・・・:50Gauss RF電力・・・・・・・・・・・:800W 選択性 Si3 4 /TEOS・:9/1(ブランケット) 均一性・・・・・・・・・・・・:<4% で行う、請求項1または2記載の方法。
  4. 【請求項4】前記高選択性の化学物質は、C4 8 /C
    O/Ar混合物よりなる、請求項1記載の方法。
  5. 【請求項5】前記ステップc)のドライ・エッチング
    を、プラズマ・エッチング装置の反応チャンバ内で、以
    下の処理条件 Ar・・・・・・・・・・・・・:150sccm CO・・・・・・・・・・・・・:60sccm C4 8 ・・・・・・・・・・・:5sccm 圧力・・・・・・・・・・・・・:40Pa(150mTorr) 磁界・・・・・・・・・・・・・:50Gauss RF電力・・・・・・・・・・・:800W 選択性 Si3 4 /TEOS・:15/1(ブランケット) 均一性・・・・・・・・・・・・:<4% で行う、請求項4記載の方法。
  6. 【請求項6】前記ステップc)は、所望のラップ・アラ
    ウンドを形成するオーバ・エッチングを含む、請求項1
    〜5のいずれかに記載の方法。
  7. 【請求項7】平坦化媒質を形成する前記ステップa)
    は、 a1)前記TEOS SiO2 層の厚さよりもわずかに
    大きい厚さで、ホトレジスト材料の第1の層を付着する
    サブステップと、 a2)前記ほぼ平坦な面を与えるために、前記第1の層
    上にホトレジスト材料の第2の層を付着するサブステッ
    プと、 を含む請求項1記載の方法。
  8. 【請求項8】前記第1および第2の層に、同じホトレジ
    スト材料を用いる、請求項7記載の方法。
  9. 【請求項9】前記転写ステップb)を、プラズマ・エッ
    チング装置の1つのチャンバ内で、且つ化学物質を変え
    ないで、ドライ・エッチングによって単一の工程で行
    う、請求項8記載の方法。
  10. 【請求項10】前記化学物質は、CHF3 /NF3 より
    なる、請求項9記載の方法。
  11. 【請求項11】前記化学物質は、CHF3 /NF3 より
    なる、請求項記載の方法。
  12. 【請求項12】前記工程1に対する処理条件は、 CHF3 ・・・・・・・・・・・:70sccm NF3 ・・・・・・・・・・・・:60sccm 圧力・・・・・・・・・・・・・:24Pa(180mTorr) 磁界・・・・・・・・・・・・・:0Gauss RF電力・・・・・・・・・・・:560W 選択性 AT2/TEOS・・・:1.5/1(ブランケット) 均一性・・・・・・・・・・・・:<2% あり、工程2に対する処理条件は、 CHF3 ・・・・・・・・・・・:95sccm NF3 ・・・・・・・・・・・・:35sccm 圧力・・・・・・・・・・・・・:40Pa(300mTorr) 磁界・・・・・・・・・・・・・:8Gauss RF電力・・・・・・・・・・・:700W 選択性 AT2/TEOS・・・:0.95〜1.05/11(ブランケット ) 均一性・・・・・・・・・・・・:<1% である請求項11記載の方法
  13. 【請求項13】d)残りのパターニングされたSi3
    4 層を除去するステップをさらに含む、請求項1〜12
    のいずれかに記載の方法。
  14. 【請求項14】前記Si3 4 層の上面を、干渉法で検
    出する、請求項1〜13のいずれかに記載の方法。
JP29849496A 1995-12-06 1996-11-11 浅い分離溝を平坦化する方法 Expired - Fee Related JP3245076B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
EP95480172 1995-12-06
FR95480172.6 1995-12-06

Publications (2)

Publication Number Publication Date
JPH09181053A JPH09181053A (ja) 1997-07-11
JP3245076B2 true JP3245076B2 (ja) 2002-01-07

Family

ID=8221623

Family Applications (1)

Application Number Title Priority Date Filing Date
JP29849496A Expired - Fee Related JP3245076B2 (ja) 1995-12-06 1996-11-11 浅い分離溝を平坦化する方法

Country Status (1)

Country Link
JP (1) JP3245076B2 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9551582B2 (en) 1998-01-27 2017-01-24 Blanding Hovenweep, Llc Mobile communication device
US10361802B1 (en) 1999-02-01 2019-07-23 Blanding Hovenweep, Llc Adaptive pattern recognition based control system and method

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100889553B1 (ko) * 2007-07-23 2009-03-23 주식회사 동부하이텍 시스템 인 패키지 및 그 제조 방법

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9551582B2 (en) 1998-01-27 2017-01-24 Blanding Hovenweep, Llc Mobile communication device
US10127816B2 (en) 1998-01-27 2018-11-13 Blanding Hovenweep, Llc Detection and alert of automobile braking event
US10361802B1 (en) 1999-02-01 2019-07-23 Blanding Hovenweep, Llc Adaptive pattern recognition based control system and method

Also Published As

Publication number Publication date
JPH09181053A (ja) 1997-07-11

Similar Documents

Publication Publication Date Title
US5064683A (en) Method for polish planarizing a semiconductor substrate by using a boron nitride polish stop
US5923993A (en) Method for fabricating dishing free shallow isolation trenches
US6342452B1 (en) Method of fabricating a Si3N4/polycide structure using a dielectric sacrificial layer as a mask
US5874345A (en) Method for planarizing TEOS SiO2 filled shallow isolation trenches
JP2003045957A (ja) 半導体装置の素子分離方法
JP2001057386A (ja) エッチバックを用いた多結晶シリコンコンタクトプラグ形成方法およびこれを用いた半導体素子の製造方法
US6159822A (en) Self-planarized shallow trench isolation
JP2000208609A (ja) 半導体素子のトレンチ素子分離方法及びこれを用いた半導体素子
JP2001015460A (ja) 半導体装置の製造方法
JP4711658B2 (ja) 微細なパターンを有する半導体装置の製造方法
US7087528B2 (en) Chemical-mechanical polishing (CMP) process for shallow trench isolation
US6171929B1 (en) Shallow trench isolator via non-critical chemical mechanical polishing
JP3163719B2 (ja) ポリッシュ工程を備えた半導体装置の製造方法
US5930585A (en) Collar etch method to improve polysilicon strap integrity in DRAM chips
JP4123961B2 (ja) 半導体装置の製造方法
US6723655B2 (en) Methods for fabricating a semiconductor device
JP4064732B2 (ja) 半導体装置
WO2003001593A2 (en) Sti process for dram
JP3245076B2 (ja) 浅い分離溝を平坦化する方法
US6342450B1 (en) Method of forming insulating spacers in DRAM chips
US6180489B1 (en) Formation of finely controlled shallow trench isolation for ULSI process
EP0932191A1 (en) Method of plasma etching doped polysilicon layers with uniform etch rates
KR20050001891A (ko) 반도체 소자 형성방법
EP0821409A2 (en) Collar etch method for DRAM cell
US6593228B2 (en) Method of fabricating a patterned metal-containing layer on a semiconductor wafer

Legal Events

Date Code Title Description
LAPS Cancellation because of no payment of annual fees