JP3180048U - Heat treatment equipment - Google Patents

Heat treatment equipment Download PDF

Info

Publication number
JP3180048U
JP3180048U JP2012005723U JP2012005723U JP3180048U JP 3180048 U JP3180048 U JP 3180048U JP 2012005723 U JP2012005723 U JP 2012005723U JP 2012005723 U JP2012005723 U JP 2012005723U JP 3180048 U JP3180048 U JP 3180048U
Authority
JP
Japan
Prior art keywords
heat treatment
wafer
plate
treatment apparatus
cooling gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2012005723U
Other languages
Japanese (ja)
Inventor
耕市 水永
和彦 大島
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2012005723U priority Critical patent/JP3180048U/en
Application granted granted Critical
Publication of JP3180048U publication Critical patent/JP3180048U/en
Priority to KR2020130007670U priority patent/KR200482870Y1/en
Priority to TW102217497U priority patent/TWM482837U/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

【課題】ウエハを均一に冷却し、かつ、基板処理装置全体のスループット低下を軽減する温度調整プレートを具備する熱処理装置を提供すること。
【解決手段】載置された基板を保持しつつ該基板を所定温度に熱処理する温度調整プレートを具備する熱処理装置において、温度調整プレート71は、冷却気体流路75と、温度調整プレートの周縁部に設けられた複数の切欠き部71aと、該複数の切欠き部71aには冷却気体を吐出する冷却気体吐出口75bと、を備える。
【選択図】 図13A
A heat treatment apparatus including a temperature adjustment plate that uniformly cools a wafer and reduces a decrease in throughput of the entire substrate processing apparatus.
In a heat treatment apparatus provided with a temperature adjustment plate that holds a placed substrate and heat-treats the substrate to a predetermined temperature, the temperature adjustment plate 71 includes a cooling gas flow path 75 and a peripheral portion of the temperature adjustment plate. The plurality of cutout portions 71a provided in the plurality of cutout portions 71a and the plurality of cutout portions 71a are provided with cooling gas discharge ports 75b for discharging a cooling gas.
[Selection] FIG. 13A

Description

本考案は、半導体製造及及びFPD(フラットパネルディスプレー)製造装置などのウエハにレジスト塗布処理や現像処理などの液処理を行い、その処理のウエハに対して施される熱処理に使用される熱処理装置に関する。   The present invention relates to a heat treatment apparatus used for heat treatment performed on a wafer of a semiconductor manufacturing and FPD (flat panel display) manufacturing apparatus and the like, which performs liquid processing such as resist coating processing and development processing on the wafer. About.

例えば半導体デバイスの製造におけるフォトレジスト処理工程においては、半導体ウエハ(以下、「ウエハ」と称する。)などの基板の表面にレジスト液を塗布してレジスト膜を形成し、次いでこのレジスト膜上に所定のパターンを露光した後、当該ウエハに現像液を塗布して現像処理することが行われる。このような一連の処理を行うに当たっては、従来からレジスト塗布現像処理装置及び露光装置が使用されている。   For example, in a photoresist processing step in the manufacture of semiconductor devices, a resist solution is applied to the surface of a substrate such as a semiconductor wafer (hereinafter referred to as “wafer”) to form a resist film, and then a predetermined film is formed on the resist film. After the pattern is exposed, a developing solution is applied to the wafer and developed. In performing such a series of processes, a resist coating and developing apparatus and an exposure apparatus have been conventionally used.

このレジスト塗布現像処理装置は、塗布現像処理に必要な一連の処理を個別に行う処理ユニットを備えている。塗布処理ユニットはレジスト液の塗布を行い、現像処理ユニットは露光後のウエハを現像する現像処理を行う。各処理ユニット間のウエハの搬送、ならびに各処理ユニットに対するウエハの搬入出には、ウエハを保持した状態で各処理ユニットに対して搬送可能に構成されている基板搬送装置が設けられている。この中にはウエハに熱処理を行う熱処理ユニットである例えば、レジスト液塗布後のウエハを加熱してレジスト膜の効果を行う場合や、別の熱処理ユニットは、露光後のウエハを所定の温度で加熱するための現像処理前後の熱処理ユニットを備えている。   The resist coating and developing apparatus includes a processing unit that individually performs a series of processes necessary for the coating and developing process. The coating processing unit applies a resist solution, and the development processing unit performs development processing for developing the exposed wafer. A substrate transfer apparatus configured to be able to transfer to each processing unit while holding the wafer is provided for transferring wafers between the processing units and loading / unloading wafers to / from each processing unit. Among these, a heat treatment unit that heat-treats the wafer, for example, when a resist film is applied by heating the resist-coated wafer, or another heat treatment unit heats the exposed wafer at a predetermined temperature. It includes a heat treatment unit before and after the development process.

これら熱処理ユニットには、基板搬送装置から熱処理ユニットにウエハを受け渡すときに熱処理ユニット内に設けられる冷却プレートに受け渡した後に、この冷却プレートが熱処理部にウエハを保持したまま移動して、熱処理部のプレートにウエハを受け渡して熱処理が行われる。すなわち、この冷却プレートは熱処理部との間で進退移動可能に構成されていることが知られている(例えば、特許文献1参照)。   In these heat treatment units, when the wafer is transferred from the substrate transfer apparatus to the heat treatment unit, the wafer is transferred to a cooling plate provided in the heat treatment unit, and then the cooling plate moves while holding the wafer in the heat treatment unit. The wafer is delivered to the plate and heat treatment is performed. That is, it is known that the cooling plate is configured to move forward and backward with respect to the heat treatment section (see, for example, Patent Document 1).

近年、半導体製造装置の生産性を向上する改良が行われている中で、リソグラフィー工程における露光装置のスループットも毎時300枚にもなりつつあり、レジスト塗布現像装置もこのスループットに対応させる要求が出てきている。その中で、この要求に対してレジスト塗布現像装置では各種処理ユニットのプロセス時間を除く動作時間の短縮を考慮する必要が求められてきている。   In recent years, with improvements to improve the productivity of semiconductor manufacturing equipment, the throughput of the exposure apparatus in the lithography process is becoming 300 sheets per hour, and there is a demand for the resist coating and developing apparatus to cope with this throughput. It is coming. In response to this requirement, the resist coating and developing apparatus has been required to consider the reduction of the operation time except the process time of various processing units.

熱処理装置もその対象となる一つであり、特許文献1に記載のものは、ウエハを保持した基板搬送装置が専用の受渡し機構等を介さず、かつ、基板搬送装置に設けられた基板支持部に干渉せず直接冷却プレートにウエハを載置できるように、冷却プレートの周縁に例えば4箇所の切欠き部を設けている。   The heat treatment apparatus is one of the objects, and the one described in Patent Document 1 is a substrate support unit provided in the substrate transfer apparatus, in which the substrate transfer apparatus holding the wafer does not pass through a dedicated delivery mechanism or the like. For example, four notches are provided on the periphery of the cooling plate so that the wafer can be directly placed on the cooling plate without interfering with the cooling plate.

特開2006−313863号公報(図2,図4,図6)JP 2006-313863 A (FIGS. 2, 4 and 6)

しかしながら、前記特許文献1に記載の熱処理ユニットの構成では、熱板にて加熱処理されたウエハを冷却するために当該ウエハを冷却プレート上に保持した際、切欠き部上部に位置するウエハの領域は他の領域に比べ温度が降下しにくく、その結果、ウエハ温度の面内均一性が悪化し、ウエハ上に形成されるパターンの線幅や形状などに悪影響を及ぼしたり、基板処理装置全体のスループット低下を招く。   However, in the configuration of the heat treatment unit described in Patent Document 1, when the wafer is held on the cooling plate in order to cool the wafer heated by the hot plate, the region of the wafer located above the notch Compared to other regions, the temperature is less likely to drop, resulting in in-plane uniformity of the wafer temperature, adversely affecting the line width and shape of the pattern formed on the wafer, and the overall substrate processing apparatus. Reduces throughput.

本考案は、前記事情に鑑みてなされたもので、基板を均一に冷却し、かつ、基板処理装置全体のスループット低下を軽減する温度調整プレートを具備する熱処理装置を提供することを目的とする。   The present invention has been made in view of the above circumstances, and an object of the present invention is to provide a heat treatment apparatus including a temperature adjustment plate that uniformly cools a substrate and reduces a reduction in throughput of the entire substrate processing apparatus.

上記課題を解決するために、本考案は、載置された基板を保持しつつ該基板を所定温度に熱処理する温度調整プレートを具備する熱処理装置であって、前記温度調整プレートは、冷却気体を流通させるための冷却気体流路と、温度調整プレートの周縁部に設けられた複数の切欠き部と、当該複数の切欠き部に設けられ、上記冷却気体流路から冷却気体を吐出する冷却気体吐出口と、を備えることを特徴とする(請求項1)。   In order to solve the above-mentioned problems, the present invention is a heat treatment apparatus including a temperature adjustment plate that holds a placed substrate and heat-treats the substrate to a predetermined temperature, and the temperature adjustment plate contains a cooling gas. A cooling gas channel for circulation, a plurality of notches provided at the peripheral edge of the temperature adjustment plate, and a cooling gas provided in the plurality of notches and discharging the cooling gas from the cooling gas channel And a discharge port (claim 1).

請求項2記載の考案は、請求項1記載の熱処理装置において、前記冷却気体流路は一部が前記切欠き部近傍に形成される、ことを特徴とする。   The invention according to claim 2 is the heat treatment apparatus according to claim 1, wherein a part of the cooling gas passage is formed in the vicinity of the notch.

請求項3記載の考案は、請求項1ないし2のいずれかに記載の熱処理装置において、前記温度調整プレートは、ステンレス,アルミニウム,チタン,銅,カーボンまたはニッケルで形成される、ことを特徴とする。   The invention according to claim 3 is the heat treatment apparatus according to claim 1, wherein the temperature adjustment plate is made of stainless steel, aluminum, titanium, copper, carbon or nickel. .

請求項4記載の考案は、請求項1ないし3のいずれかに記載の熱処理装置において、前記切欠き部は、前記温度調整プレートに載置される基板に対して水平か基板裏面に向けて冷却気体が吐出されるように形成される、ことを特徴とする。   According to a fourth aspect of the present invention, in the heat treatment apparatus according to any one of the first to third aspects, the notch is cooled horizontally or toward the back surface of the substrate with respect to the substrate placed on the temperature adjustment plate. It is formed so that gas is discharged.

また、請求項5記載の考案は、請求項1ないし4のいずれかに記載の熱処理装置において、前記基板を保持しつつ該基板を過熱する加熱プレートと、前記温度調整プレートに載置された基板を前記加熱プレートに対して搬入及び搬出する駆動手段と、を備えることを特徴とする。   The invention according to claim 5 is the heat treatment apparatus according to any one of claims 1 to 4, wherein the substrate is placed on the temperature adjustment plate and a heating plate that heats the substrate while holding the substrate. Drive means for carrying in and out the heating plate.

また、請求項6記載の考案は、請求項1ないし5のいずれかに記載の熱処理装置において、前記冷却気体吐出口はドライエア,N2またはHeを吐出すること、を特徴とする。   The invention according to claim 6 is the heat treatment apparatus according to any one of claims 1 to 5, characterized in that the cooling gas discharge port discharges dry air, N2 or He.

また、請求項7記載の考案は、請求項1ないし6のいずれかに記載の熱処理装置において、前記基板の温度を検知する検知部と、当該検知部が検知した基板の温度に従って、吐出された冷却気体の吐出停止タイミングを制御する制御部と、を備えることを特徴とする。   The invention according to claim 7 is the heat treatment apparatus according to any one of claims 1 to 6, wherein the detection unit detects the temperature of the substrate, and the substrate is discharged according to the temperature of the substrate detected by the detection unit. And a control unit for controlling the cooling gas discharge stop timing.

本考案によれば、保持した基板を所定温度に熱処理する温度調節プレートは、冷却気体流路を流通する冷却気体によって基板を冷却すると共に、温度調節プレートの周縁部に設けられた複数の切り欠き部に設けられた冷却気吐出口から吐出する冷却気体によって冷却するので、基板を均一に冷却し、かつ、基板処理装置全体のスループット低下を軽減することができる。   According to the present invention, the temperature control plate that heat-treats the held substrate to a predetermined temperature cools the substrate with the cooling gas flowing through the cooling gas flow path, and includes a plurality of notches provided at the peripheral edge of the temperature control plate. Since cooling is performed by the cooling gas discharged from the cooling air discharge port provided in the section, the substrate can be uniformly cooled and the throughput reduction of the entire substrate processing apparatus can be reduced.

本考案に係る熱処理装置を適用したレジスト塗布・現像処理装置の一例を示す概略平面図である。1 is a schematic plan view showing an example of a resist coating / development processing apparatus to which a heat treatment apparatus according to the present invention is applied. 前記レジスト塗布・現像処理装置の概略斜視図である。It is a schematic perspective view of the resist coating / developing apparatus. 前記レジスト塗布・現像処理装置の概略図であって、処理部の単位ブロックのみを平面状態で重ねて示す概略構成図である。It is the schematic of the said resist application | coating / development processing apparatus, Comprising: It is a schematic block diagram which overlaps and shows only the unit block of a process part in a planar state. 本考案における処理ブロックの単位ブロック(DEV層)を示す概略斜視図である。It is a schematic perspective view which shows the unit block (DEV layer) of the processing block in this invention. 本考案における基板収納部を示す概略側面図である。It is a schematic side view which shows the board | substrate accommodating part in this invention. 本考案における処理ブロックの単位ブロック(COT層)を示す概略平面図である。It is a schematic plan view which shows the unit block (COT layer) of the processing block in this invention. 本考案における処理ブロックの処理ユニットの一例を示す概略断面図である。It is a schematic sectional drawing which shows an example of the processing unit of the processing block in this invention. 本考案における温度調整プレートを適用した熱処理ユニットの一例を示す概略縦断面図である。It is a schematic longitudinal cross-sectional view which shows an example of the heat processing unit to which the temperature control plate in this invention is applied. 本考案における温度調整プレートを適用した熱処理ユニットの一例を示す概略平面図である。It is a schematic plan view which shows an example of the heat processing unit to which the temperature control plate in this invention is applied. 本考案に係る温度調整プレートを適用した熱処理ユニットの一例を示す拡大断面図である。It is an expanded sectional view which shows an example of the heat processing unit to which the temperature control plate which concerns on this invention is applied. 本考案に係る温度調整プレートにウエハを受け渡すメインアームA1の平面図である。It is a top view of main arm A1 which delivers a wafer to the temperature control plate which concerns on this invention. 前記メインアームとウエハを示す斜視図である。It is a perspective view which shows the said main arm and a wafer. 熱処理ユニットを構成する加熱プレート及び天板の周辺の構造を示した縦断面図である。It is the longitudinal cross-sectional view which showed the structure of the periphery of the heating plate and top plate which comprise a heat processing unit. 本考案における温度調整プレートの一部を断面で示した平面図である。It is the top view which showed a part of temperature control plate in this invention in the cross section. 本考案における温度調整プレートの周縁部を示す拡大断面図である。It is an expanded sectional view which shows the peripheral part of the temperature control plate in this invention. 本考案における温度調整プレートの他の実施例の周縁部を示す拡大断面図である。It is an expanded sectional view which shows the peripheral part of the other Example of the temperature control plate in this invention.

以下に、本考案に係る熱処理装置の実施形態について図面を参照して説明する。ここでは、本考案に係る熱処理装置をレジスト塗布・現像処理装置に適用した場合について説明する。   Embodiments of a heat treatment apparatus according to the present invention will be described below with reference to the drawings. Here, a case where the heat treatment apparatus according to the present invention is applied to a resist coating / developing apparatus will be described.

前記レジスト塗布・現像処理装置は、ウエハWが例えば13枚密閉収容されたキャリア20を搬入出するためのキャリアブロックS1と、複数個例えば5個の単位ブロックB1〜B5を縦に配列して構成された処理ブロックS2と、インターフェイスブロックS3と、第2の処理ブロックである露光装置S4と、を備えている。   The resist coating / developing apparatus is configured by vertically arranging a carrier block S1 for carrying in / out a carrier 20 in which, for example, 13 wafers W are hermetically contained, and a plurality of, for example, five unit blocks B1 to B5. The processing block S2, the interface block S3, and the exposure apparatus S4 that is the second processing block are provided.

前記キャリアブロックS1には、複数個(例えば4個)のキャリア20を載置可能な載置台21と、この載置台21から見て前方の壁面に設けられる開閉部22と、開閉部22を介してキャリア20からウエハWを取り出すためのトランスファーアームCとが設けられている。このトランスファーアームCは、後述する棚ユニットU5に設けられた受渡しステージTRS1,TRS2との間でウエハWの受け渡しを行うように、水平のX,Y方向及び鉛直のZ方向に移動自在、ならびに鉛直軸周りに回転自在に移動自在に構成されている。   The carrier block S <b> 1 includes a mounting table 21 on which a plurality of (for example, four) carriers 20 can be mounted, an opening / closing unit 22 provided on a wall surface in front of the mounting table 21, and an opening / closing unit 22. And a transfer arm C for taking out the wafer W from the carrier 20. The transfer arm C is movable in the horizontal X, Y and vertical Z directions so as to transfer the wafer W to and from transfer stages TRS1 and TRS2 provided in a shelf unit U5 described later. It is configured to be freely rotatable about an axis.

キャリアブロックS1の奥側には筐体24にて周囲を囲まれる処理ブロックS2が接続されている。処理ブロックS2は、この例では、下方側から、下段側の2段が現像処理を行うための第1及び第2の単位ブロック(DEV層)B1,B2、レジスト膜の下層側に形成される反射防止膜(以下、「第1の反射防止膜」と称する。)の形成処理を行うための第1の反射防止膜形成用単位ブロックである第3の単位ブロック(BCT層)B3、レジスト液の塗布処理を行うための塗布膜形成用単位ブロックである第4の単位ブロック(COT層)B4、レジスト膜の上層側に形成される反射防止膜(以下、「第2の反射防止膜」と称する。)の形成処理を行うための第2の反射防止膜形成用単位ブロックである単位ブロック(TCT層)B5として割り当てられている。ここで前記DEV層B1,B2が現像処理用の単位ブロック、BCT層B3,COT層B4,TCT層B5が塗布膜形成用の単位ブロックに相当する。   A processing block S2 surrounded by a casing 24 is connected to the back side of the carrier block S1. In this example, the processing block S2 is formed on the lower side of the resist film from the lower side to the first and second unit blocks (DEV layers) B1 and B2 for performing development processing on the lower two stages. A third unit block (BCT layer) B3, which is a first antireflection film forming unit block for forming an antireflection film (hereinafter referred to as “first antireflection film”), a resist solution A fourth unit block (COT layer) B4, which is a unit block for forming a coating film for performing the coating process, and an antireflection film (hereinafter referred to as “second antireflection film”) formed on the upper layer side of the resist film. This is assigned as a unit block (TCT layer) B5 which is a second unit block for forming an antireflection film for performing the forming process. Here, the DEV layers B1 and B2 correspond to unit blocks for development processing, and the BCT layer B3, COT layer B4, and TCT layer B5 correspond to unit blocks for coating film formation.

次に、第1〜第5の単位ブロックB(B1〜B5)の構成について説明する。これら各単位ブロックB1〜B5は、前面側に配設され、ウエハWに対して薬液を塗布するための液処理ユニットと、背面側に配設され、前記液処理ユニットにて行われる処理の前処理及び後処理を行うための各種の熱処理ユニット等の処理ユニットと、前面側に配設される前記液処理ユニットと背面側に配設される熱処理ユニット等の処理ユニットとの間でウエハWの受け渡しを行うための専用の基板搬送手段であるメインアームA1〜A5と、を備えている。   Next, the configuration of the first to fifth unit blocks B (B1 to B5) will be described. Each of these unit blocks B1 to B5 is disposed on the front surface side, and a liquid processing unit for applying a chemical solution to the wafer W, and disposed on the back surface side, before the processing performed in the liquid processing unit. Between the processing unit such as various heat treatment units for performing processing and post-processing, and the processing unit such as the liquid processing unit disposed on the front side and the heat treatment unit disposed on the back side, the wafer W Main arms A1 to A5, which are dedicated substrate transfer means for performing delivery.

これら単位ブロックB1〜B5は、この例では、各単位ブロックB1〜B5の間で、前記液処理ユニットと、熱処理ユニット等の処理ユニットと、搬送手段との配置レイアウトが同じに形成されている。ここで、配置レイアウトが同じであるとは、各処理ユニットにおけるウエハWを載置する中心つまり液処理ユニットにおけるウエハWの保持手段であるスピンチャックの中心や、熱処理ユニットにおける加熱プレートや冷却プレートの中心が同じという意味である。   In this example, the unit blocks B1 to B5 are formed in the same arrangement layout of the liquid processing unit, a processing unit such as a heat treatment unit, and a transport unit among the unit blocks B1 to B5. Here, the same arrangement layout means that the center of the wafer W in each processing unit, that is, the center of the spin chuck that is a holding means of the wafer W in the liquid processing unit, the heating plate and the cooling plate in the heat processing unit, and so on. It means that the center is the same.

前記DEV層B1,B2は同様に構成されており、この場合、共通に構成されている。このDEV層B1,B2は、図1に示すように、DEV層B1,B2のほぼ中央には、DEV層B1,B2の長さ方向(図中Y方向)に、キャリアブロックS1とインターフェイスS3とを接続するためのウエハWの搬送領域R1(メインアームA1の水平移動領域)が形成されている。   The DEV layers B1 and B2 are similarly configured, and in this case, are configured in common. As shown in FIG. 1, the DEV layers B1 and B2 are arranged in the center of the DEV layers B1 and B2 in the length direction (Y direction in the figure) of the DEV layers B1 and B2, and the carrier block S1 and the interface S3. A transfer area R1 (horizontal movement area of the main arm A1) for the wafer W for connecting the two is formed.

この搬送領域R1のキャリアブロックS1側から見た両側には、手前側(キャリアブロックS1側)から奥側に向かって右側に、前記液処理ユニットとして、現像処理を行うための複数個の現像処理部を備えた現像ユニット31が例えば2段設けられている。各単位ブロックB1〜B5は、手前側から奥側に向かって左側に、順に熱処理系のユニットを多段化した例えば4個の棚ユニットU1,U2,U3,U4が設けられており、この図では現像ユニット31にて行われる処理の前処理及び後処理を行うための各種ユニットを複数段、例えば3段ずつに積層した構成とされている。このようにして搬送領域R1によって現像ユニット31と棚ユニットU1〜U4が区画されており、搬送領域R1に清浄エアを噴出させて排気することにより、当該領域内のパーティクルの浮遊を抑制するようになっている。   On both sides of the transport region R1 viewed from the carrier block S1 side, a plurality of development processes for performing the development process as the liquid processing unit on the right side from the near side (carrier block S1 side) to the back side. For example, two stages of developing units 31 having a section are provided. Each of the unit blocks B1 to B5 is provided with, for example, four shelf units U1, U2, U3, U4 in which heat treatment units are multi-staged in order from the front side to the left side. Various units for performing pre-processing and post-processing of processing performed in the developing unit 31 are stacked in a plurality of stages, for example, three stages. In this way, the developing unit 31 and the shelf units U1 to U4 are partitioned by the transport region R1, and the floating of particles in the region is suppressed by ejecting clean air to the transport region R1 and exhausting it. It has become.

上述の前処理及び後処理を行うための各種ユニットの中には、例えば図4に示すように、露光後のウエハWを加熱・冷却処理するポストエクスポージャーベーキングユニットなどと呼ばれている熱処理ユニット(PEB1)や、現像処理後のウエハWの水分を飛ばすために加熱処理するポストベーキングユニット等と呼ばれている熱処理ユニット(POST1)等が含まれている。これら熱処理ユニット(PEB1,POST1)等の各処理ユニットは、それぞれ処理容器51内に収容されており棚ユニットU1〜U4は、処理容器51が3段ずつ積層されて構成され、各処理容器51の搬送領域R1に臨む面にはウエハ搬出入口52が形成されている。なお、熱処理ユニット(PEB1)に関する詳細な構成は後述する。   Among various units for performing the above pre-processing and post-processing, as shown in FIG. 4, for example, a heat treatment unit called a post-exposure baking unit for heating / cooling the wafer W after exposure ( PEB1), a heat treatment unit (POST1) referred to as a post-baking unit or the like for performing heat treatment to remove moisture of the wafer W after development processing, and the like are included. Each processing unit such as the heat treatment units (PEB1, POST1) is accommodated in the processing container 51, and the shelf units U1 to U4 are configured by stacking the processing containers 51 in three stages. A wafer carry-in / out port 52 is formed on the surface facing the transfer region R1. In addition, the detailed structure regarding heat processing unit (PEB1) is mentioned later.

前記搬送領域R1には前記メインアームA1が設けられている。このメインアームA1は、当該DEV層B1内の全てのモジュール(ウエハWが置かれる場所)、例えば棚ユニットU1〜U4の各処理ユニット、現像ユニット31、棚ユニットU5の各部との間でウエハの受渡しを行うように構成されており、このために水平のX,Y方向及び鉛直のZ方向に移動自在、鉛直軸周りに回転自在に構成されている。   The main arm A1 is provided in the transfer region R1. This main arm A1 is used for all the modules in the DEV layer B1 (where the wafer W is placed), for example, each processing unit of the shelf units U1 to U4, the developing unit 31, and each part of the shelf unit U5. For this purpose, it is configured to be movable in the horizontal X and Y directions and the vertical Z direction and to be rotatable about the vertical axis.

また、前記塗布膜形成用の単位ブロックB3〜B5は、いずれも同様に構成されており、上述の現像処理用の単位ブロックB1,B2と同様に構成されている。具体的には、COT層B4を例にして図3、図6及び図7を参照して説明すると、液処理ユニットとしてウエハWに対してレジスト液の塗布処理を行うための塗布ユニット32が設けられ、COT層B4の棚ユニットU1〜U4には、レジスト液塗布後のウエハWを加熱処理する熱処理ユニット(CLHP4)や、レジスト液とウエハWとの密着性を向上させるための疎水化処理ユニット(ADH)を備えており、DEV層B1,B2と同様に構成されている。すなわち、塗布ユニット32と熱処理ユニット(CLHP4)及び疎水化処理ユニット(ADH)とをメインアームA4の搬送領域R4(メインアームA4の水平移動領域)によって区画するように構成されている。そして、このCOT層B4では、メインアームA4により、棚ユニットU5の受渡しステージTRS1と、塗布ユニット32と、棚ユニットU1〜U4の各処理ユニットと、に対してウエハWの受け渡しが行われるようになっている。なお、前記疎水化処理ユニット(ADH)は、HMDS雰囲気内でガス処理を行うものであるが、塗布膜形成用の単位ブロックB3〜B5のいずれかに設けられればよい。   The unit blocks B3 to B5 for forming the coating film are all configured in the same manner, and are configured in the same manner as the unit blocks B1 and B2 for development processing described above. Specifically, the COT layer B4 will be described as an example with reference to FIGS. 3, 6 and 7. As a liquid processing unit, a coating unit 32 for performing a resist liquid coating process on the wafer W is provided. The shelf units U1 to U4 of the COT layer B4 include a heat treatment unit (CLHP4) for heat-treating the wafer W after application of the resist solution and a hydrophobic treatment unit for improving the adhesion between the resist solution and the wafer W. (ADH), and is configured in the same manner as the DEV layers B1 and B2. That is, the coating unit 32, the heat treatment unit (CLHP4), and the hydrophobic treatment unit (ADH) are configured to be partitioned by the transfer region R4 of the main arm A4 (horizontal movement region of the main arm A4). In the COT layer B4, the wafer W is delivered to the delivery stage TRS1 of the shelf unit U5, the coating unit 32, and the processing units of the shelf units U1 to U4 by the main arm A4. It has become. The hydrophobic treatment unit (ADH) performs gas treatment in an HMDS atmosphere, but may be provided in any one of the unit blocks B3 to B5 for forming a coating film.

また、BCT層B3は、液処理ユニットとして、ウエハWに対して第1の反射防止膜の形成処理を行うための第1の反射防止膜形成ユニット33が設けられ、棚ユニットU1〜U4には、反射防止膜形成処理後のウエハWを加熱処理する熱処理ユニット(CLHP3)を備えており、COT層B4と同様に構成されている。すなわち、第1の反射防止膜形成ユニット33と熱処理ユニット(CLHP3)とをメインアームA3の搬送領域R3(メインアームA3の水平移動領域)によって区画するように構成されている。そして、この第3の単位ブロックB3では、メインアームA3により、棚ユニットU5の受渡しステージTRS1と、第1の反射防止膜形成ユニット33と、棚ユニットU1〜U4の各処理ユニットと、に対してウエハWの受け渡しが行われるようになっている。   The BCT layer B3 is provided with a first antireflection film forming unit 33 for performing a first antireflection film forming process on the wafer W as a liquid processing unit. The heat treatment unit (CLHP3) for heat-treating the wafer W after the antireflection film formation treatment is provided, and is configured in the same manner as the COT layer B4. That is, the first antireflection film forming unit 33 and the heat treatment unit (CLHP3) are configured to be partitioned by the transfer region R3 of the main arm A3 (horizontal movement region of the main arm A3). And in this 3rd unit block B3, with respect to each delivery unit TRS1 of shelf unit U5, the 1st antireflection film formation unit 33, and each processing unit of shelf units U1-U4 by main arm A3, The delivery of the wafer W is performed.

また、TCT層B5は、液処理ユニットとして、ウエハWに対して第2の反射防止膜の形成処理を行うための第2の反射防止膜形成ユニット34が設けられ、棚ユニットU1〜U4には、反射防止膜形成処理後のウエハWを加熱処理する加熱ユニット(CLHP5)や、周縁露光装置(WEE)を備えている以外はCOT層B4と同様に構成されている。すなわち、第2の反射防止膜形成ユニット34と熱処理ユニット(CLHP5)及び周縁露光装置(WEE)とをメインアームA5の搬送領域R5(メインアームA5の水平移動領域)によって区画するように構成されている。そして、このTCT層B5では、メインアームA5により、棚ユニットU5受渡しステージTRS1と、第2の反射防止膜形成ユニット34と、棚ユニットU1〜U4の各処理ユニットと、に対してウエハWの受け渡しが行われるようになっている。   The TCT layer B5 is provided with a second antireflection film forming unit 34 for performing a second antireflection film forming process on the wafer W as a liquid processing unit. The configuration is the same as that of the COT layer B4 except that a heating unit (CLHP5) for heating the wafer W after the antireflection film formation processing and a peripheral exposure device (WEE) are provided. That is, the second antireflection film forming unit 34, the heat treatment unit (CLHP5), and the peripheral edge exposure device (WEE) are configured to be partitioned by the transfer area R5 of the main arm A5 (horizontal movement area of the main arm A5). Yes. In the TCT layer B5, the main arm A5 delivers the wafer W to the shelf unit U5 delivery stage TRS1, the second antireflection film forming unit 34, and the processing units of the shelf units U1 to U4. Is to be done.

また、処理ブロックS2には、棚ユニットU5に設けられて受渡しステージTRS2とインターフェイスブロックS3側の棚ユニットU6との間でウエハWの受け渡しを行う基板搬送手段であるシャトルアームAが水平のY方向に移動自在及び鉛直のZ方向昇降自在に配設されている。   Further, in the processing block S2, a shuttle arm A, which is a substrate transfer means provided in the shelf unit U5 and delivering the wafer W between the delivery stage TRS2 and the shelf unit U6 on the interface block S3 side, is in the horizontal Y direction. Are vertically movable and vertically movable in the Z direction.

なお、シャトルアームAの搬送領域と前記メインアームA1,A3〜A5の搬送領域R1,R3〜R5は、夫々区画されている。   The transfer area of the shuttle arm A and the transfer areas R1, R3 to R5 of the main arms A1, A3 to A5 are partitioned.

また、処理ブロックS2とキャリアブロックS1との間の領域は、ウエハWの受渡し領域R2となっていて、この領域R2には、図1に示すように、トランスファーアームCとメインアームA1,A3〜A5、シャトルアームAがアクセスできる位置に基板収納部である棚ユニットU5が設けられると共に、この棚ユニットU5に対してウエハWの受け渡しを行うための基板受渡し手段をなす受渡しアームDを備えている。この場合、棚ユニットU5は、メインアームA1,A3〜A5、シャトルアームAの進退方向(Y方向)に第1の開口部11を設けると共に、受渡しアームDの進退方向(X方向)に第2の開口部12を設けている。   Further, the area between the processing block S2 and the carrier block S1 is a transfer area R2 for the wafer W. In this area R2, as shown in FIG. 1, the transfer arm C and the main arms A1, A3 to A3. A shelf unit U5 which is a substrate storage unit is provided at a position accessible by A5 and the shuttle arm A, and a delivery arm D which serves as a substrate delivery means for delivering the wafer W to the shelf unit U5 is provided. . In this case, the shelf unit U5 is provided with the first opening 11 in the main arm A1, A3 to A5, the forward / backward direction (Y direction) of the shuttle arm A, and the second in the forward / backward direction (X direction) of the delivery arm D. The opening 12 is provided.

また、前記棚ユニットU5は、図3、図5及び図6に示すように各単位ブロックB1〜B5のメインアームA1,A3〜A5及びシャトルアームAとの間でウエハWの受け渡しを行うように、例えば2個の受渡しステージTRS1,TRS2を備えており、また、単位ブロックB1〜B5に対応すべく複数に区画された収納ブロック10a〜10dを備えると共に、各収納ブロック10a〜10dに、複数の載置棚13、及びレジスト塗布前にウエハWを所定温度に調整するためや、反射防止膜形成処理前にウエハWを所定温度に調整するためや、露光処理後に加熱処理されたウエハWを所定温度に調整するための冷却プレート14(CPL1〜CPL6)を備えている。   Further, the shelf unit U5 delivers the wafer W between the main arms A1, A3 to A5 of each unit block B1 to B5 and the shuttle arm A as shown in FIGS. For example, two delivery stages TRS1 and TRS2 are provided, and storage blocks 10a to 10d divided into a plurality of units corresponding to the unit blocks B1 to B5 are provided, and a plurality of storage blocks 10a to 10d In order to adjust the mounting shelf 13 and the wafer W to a predetermined temperature before applying the resist, to adjust the wafer W to a predetermined temperature before the antireflection film forming process, or to set the wafer W that has been heat-treated after the exposure process to a predetermined temperature. The cooling plate 14 (CPL1-CPL6) for adjusting to temperature is provided.

この場合、第1収納ブロック10aは第1及び第2の単位ブロックB1,B2(DEV層)に対応し、第2収納ブロック10bは第3の単位ブロックB3(BCT層)に対応し、第3収納ブロック10cは第4の単位ブロックB4(COT層)に対応し、第4収納ブロック10dは第5の単位ブロックB5(TCT層)に対応している。   In this case, the first storage block 10a corresponds to the first and second unit blocks B1 and B2 (DEV layer), the second storage block 10b corresponds to the third unit block B3 (BCT layer), and the third The storage block 10c corresponds to the fourth unit block B4 (COT layer), and the fourth storage block 10d corresponds to the fifth unit block B5 (TCT layer).

第1収納ブロック10aに配設される冷却プレート14A(CPL7,CPL8)は、枠体16に架設された保持板17上に支持柱(図示せず)を介して横設されており、この冷却プレート14A(CPL7,CPL8)はメインアームA1または受渡しアームDとの間でウエハWの受け渡しの機能を有している。   The cooling plate 14A (CPL7, CPL8) disposed in the first storage block 10a is horizontally provided on a holding plate 17 installed on the frame body 16 via a support column (not shown). The plate 14A (CPL7, CPL8) has a function of transferring the wafer W between the main arm A1 and the transfer arm D.

以下に、前記熱処理ユニット(PEB1)の構成について図8及び図9を用いて説明する。前記熱処理ユニット(PEB1)は処理容器である筐体60を備えており、筐体60の側壁にはウエハWの搬送口61が開口されている。また、筐体60内には筐体60内を上方領域(ウエハWの移動領域)と下方領域(底部領域)とに区画する床板62が設けられている。また、搬送口61に向かう側を手前側とすると、床板62には手前側から奥側(図中X方向)へ向けて後述する温度調整機構70が移動するための開口部62bが設けられており、また床板62の奥側には後述する第1の中間排気ダクト63Aを介して床板62の上方領域の排気を行うための例えば複数の小孔からなる排気口62aが穿孔されている。   Below, the structure of the said heat processing unit (PEB1) is demonstrated using FIG.8 and FIG.9. The heat treatment unit (PEB1) includes a housing 60 that is a processing container, and a transfer port 61 for the wafer W is opened on a side wall of the housing 60. In addition, a floor plate 62 that divides the inside of the housing 60 into an upper region (a movement region of the wafer W) and a lower region (a bottom region) is provided in the housing 60. If the side toward the transport port 61 is the front side, the floor plate 62 is provided with an opening 62b for moving a temperature adjustment mechanism 70 described later from the front side to the back side (X direction in the figure). In addition, on the back side of the floor plate 62, an exhaust port 62a composed of, for example, a plurality of small holes for evacuating the upper region of the floor plate 62 is drilled through a first intermediate exhaust duct 63A described later.

ここで、熱処理ユニット(PEB1)に内設されている加熱機構80について説明する。図8に示すように床板62において前記温度調整機構70の奥側には例えば円形状の孔が設けられ、この孔には偏平な円筒状の断熱体である加熱プレートサポート部材81が埋め込まれているが、この例では前記孔の周壁と当該加熱プレートサポート部材81の側壁との間には上方領域の排気を行うための2mm程度の隙間が設けられている。図12に示すように、加熱プレートサポート部材81の底壁部分の内部及び側壁部分の内部には真空領域である真空層82が設けられた真空断熱構造となっているが、例えば中央部には円形状に真空層82が設けられており、その周囲には例えば後述するガス供給管83、ガス抜き孔84及び後述する孔85aを避けるように同心円状に真空層82が設けられた構造となっている。   Here, the heating mechanism 80 provided in the heat treatment unit (PEB1) will be described. As shown in FIG. 8, in the floor plate 62, for example, a circular hole is provided on the back side of the temperature adjusting mechanism 70, and a heating plate support member 81, which is a flat cylindrical heat insulator, is embedded in the hole. However, in this example, a gap of about 2 mm is provided between the peripheral wall of the hole and the side wall of the heating plate support member 81 for exhausting the upper region. As shown in FIG. 12, the inside of the bottom wall portion and the side wall portion of the heating plate support member 81 has a vacuum heat insulating structure provided with a vacuum layer 82 as a vacuum region. A vacuum layer 82 is provided in a circular shape, and a concentric vacuum layer 82 is provided around the vacuum layer 82 so as to avoid, for example, a gas supply pipe 83, a gas vent hole 84, and a hole 85a described later. ing.

図中86は加熱プレートサポート部材81を筐体60の底面にて支持する支柱であり、図中87は加熱プレートサポート部材81の内周に設けられたリング状の支持部材である。支持部材87は例えば耐熱樹脂やセラミックにより構成される断熱リング87aを介して円板状の加熱プレート88を支持している。加熱プレート88はウエハWの表面全体をカバーする大きさを有しており、また加熱プレートサポート部材81の中に納まるように配置されている。このように加熱プレート88及び加熱プレートサポート部材81を構成するのは加熱プレート88の放熱を抑え、加熱プレート88を加熱するための消費電力を抑えるためである。   In the figure, 86 is a support for supporting the heating plate support member 81 on the bottom surface of the housing 60, and 87 in the figure is a ring-shaped support member provided on the inner periphery of the heating plate support member 81. The support member 87 supports a disc-shaped heating plate 88 via a heat insulating ring 87a made of, for example, heat resistant resin or ceramic. The heating plate 88 has a size that covers the entire surface of the wafer W, and is disposed so as to be accommodated in the heating plate support member 81. The reason why the heating plate 88 and the heating plate support member 81 are configured in this way is to suppress heat dissipation from the heating plate 88 and to reduce power consumption for heating the heating plate 88.

図12に示すように、電力供給部90が加熱プレート88の例えば下面に設けられた図示しない複数のヒータに接続されており、また、制御部91が加熱プレート88の例えば下面に複数設けられた図示しない感温センサに接続されている。これら電力供給部90及び制御部91は互いに電気的に接続されており、加熱プレート88の温度を当該感温センサが検知し、温度データとして制御部91へ出力する。制御部91は当該温度データを基に電力供給部90を介して加熱プレート88の発熱量を制御する。   As shown in FIG. 12, the power supply unit 90 is connected to a plurality of heaters (not shown) provided on, for example, the lower surface of the heating plate 88, and the plurality of control units 91 are provided on, for example, the lower surface of the heating plate 88. It is connected to a temperature sensor (not shown). The power supply unit 90 and the control unit 91 are electrically connected to each other, and the temperature sensor detects the temperature of the heating plate 88 and outputs it to the control unit 91 as temperature data. The control unit 91 controls the amount of heat generated by the heating plate 88 via the power supply unit 90 based on the temperature data.

図12中88aは加熱プレート88上に設けられたウエハWの裏面を支持する突起部であり、この例では加熱プレート88の周方向に沿って4つの突起部8aが設けられている。図12中85a、85bは加熱プレートサポート部材81、加熱プレート88の夫々の中央部に周方向に穿孔された孔であり、これらの孔85a、85bを介して加熱プレートサポート部材81の下方に設けられた昇降機構89に接続されている支持ピン89aが鉛直方向に昇降し、加熱プレート88上に突没できるようになっている。なお図12中85cは支持ピン89aが垂直に突没するための筒状ガイドである。   In FIG. 12, 88 a is a protrusion that supports the back surface of the wafer W provided on the heating plate 88. In this example, four protrusions 8 a are provided along the circumferential direction of the heating plate 88. In FIG. 12, 85a and 85b are holes which are perforated in the circumferential direction in the central portions of the heating plate support member 81 and the heating plate 88, and are provided below the heating plate support member 81 via these holes 85a and 85b. A support pin 89 a connected to the lift mechanism 89 is moved up and down in the vertical direction so that it can protrude and retract on the heating plate 88. In FIG. 12, reference numeral 85c denotes a cylindrical guide for the support pin 89a to project vertically.

ところで、断熱リング87a、加熱プレート88及び加熱プレートサポート部材81により囲まれる領域をガス流通部8Aとすると、加熱プレートサポート部材81には例えば複数個所に複数のガス供給管83の一端が貫通して前記ガス流通部8Aに開口している。ガス供給管83の他端は加熱プレート88の冷却用基体であるパージ用ガス例えばN2ガスなどの不活性ガスが貯留されているガス供給源92aに接続されている。また、ガス流通部8Aに連通するガス抜き孔84が例えば加熱プレートサポート部材81の複数個所に穿孔されており、ガス供給管83を介してガス供給源92aからガス流通部8Aにパージ用ガスが供給されると、当該パージ用ガスは図示しない複数のヒータ及び当該ヒータにより加温された加熱プレート88の熱を奪い取り、ガス抜き孔84を介してガス流通部8Aの外部へと流通するようになっている。このパージ用ガスの流通は加熱プレート88の温度を下げるために行われる。   By the way, if the region surrounded by the heat insulating ring 87a, the heating plate 88, and the heating plate support member 81 is a gas flow part 8A, one end of a plurality of gas supply pipes 83 penetrates the heating plate support member 81 at a plurality of locations, for example. It opens to the gas circulation part 8A. The other end of the gas supply pipe 83 is connected to a gas supply source 92 a in which an inert gas such as a purge gas, for example, N 2 gas, which is a cooling base of the heating plate 88 is stored. Further, gas vent holes 84 communicating with the gas circulation part 8A are formed in, for example, a plurality of locations of the heating plate support member 81, and the purge gas is supplied from the gas supply source 92a to the gas circulation part 8A via the gas supply pipe 83. When supplied, the purge gas takes heat from a plurality of heaters (not shown) and the heating plate 88 heated by the heaters, and circulates to the outside of the gas circulation part 8A through the gas vent holes 84. It has become. The purge gas is circulated in order to lower the temperature of the heating plate 88.

加熱プレートサポート部材81の上端部には例えば4本の支柱93が間隔を置いて設けられ、支柱93の上部には例えば円形状に形成された整流板である天板94の周縁部が接続されている。天板94はウエハWの被加熱処理領域(半導体デバイス等の有効領域)をカバーする大きさ、この例では前記加熱プレート88をカバーする大きさを有しており、加熱プレート88と対向するように設けられている。天板94の中央下部には吸引排気口94aが下方へ向かうほど拡径するように開口されており、この吸引排気口94aは天板94の上部に接続された気流形成用の排気路である排気ダクト94bと連通し、排気ダクト94bの下流側の端部は後述する第2の中間排気ダクト63Bに接続されている。後述するように吸引排気口94aを介して天板94の周囲の排気が行われると、加熱プレート88に載置されたウエハWの外周から中央に向かう気流を形成できるように天板94は構成されている。   For example, four support columns 93 are provided at intervals on the upper end portion of the heating plate support member 81, and a peripheral portion of a top plate 94, which is a circular plate, for example, is connected to the upper portion of the support column 93. ing. The top plate 94 has a size that covers the area to be heated of the wafer W (an effective area such as a semiconductor device), and in this example, has a size that covers the heating plate 88, and faces the heating plate 88. Is provided. The suction exhaust port 94a is opened at the center lower portion of the top plate 94 so that the diameter of the suction exhaust port 94a increases toward the lower side. The suction exhaust port 94a is an exhaust path for airflow formation connected to the upper portion of the top plate 94. The downstream end of the exhaust duct 94b communicates with the exhaust duct 94b and is connected to a second intermediate exhaust duct 63B described later. As will be described later, the top plate 94 is configured so that an air flow from the outer periphery of the wafer W placed on the heating plate 88 toward the center can be formed when the periphery of the top plate 94 is exhausted through the suction exhaust port 94a. Has been.

また、天板94の内部には前記吸引排気口94aの周囲から天板94の端部へ向けて広がる真空層95が形成され、天板94は真空断熱構造となっており、天板94の下面はウエハWの加熱時に加熱プレート88の熱輻射を受けることによってその温度がウエハWの加熱温度に近い温度に追従するようになっている。天板94がこのように構成されることで、後述する加熱時にウエハWの上面を通過する気流が冷却されて乱流となることが抑えられている。なお「ウエハWの加熱温度」とは、ウエハWの加熱処理時のウエハの温度である。加熱プレート88と天板94との間隔は例えば12〜13mmとすることが好ましい。前記間隔がこの範囲よりも小さいと後述する温度調整プレート71が移動する際に天板94または加熱プレート88に干渉する虞があり、この範囲よりも大きいとウエハWの加熱時に天板94の下面が十分に加熱されない虞がある。   In addition, a vacuum layer 95 is formed in the top plate 94 so as to spread from the periphery of the suction exhaust port 94a toward the end of the top plate 94. The top plate 94 has a vacuum heat insulating structure. The lower surface receives the heat radiation of the heating plate 88 when the wafer W is heated, so that the temperature follows a temperature close to the heating temperature of the wafer W. By configuring the top plate 94 in this way, it is possible to suppress the airflow passing through the upper surface of the wafer W from being cooled and becoming a turbulent flow during heating, which will be described later. The “heating temperature of the wafer W” is the temperature of the wafer during the heat treatment of the wafer W. The distance between the heating plate 88 and the top plate 94 is preferably 12 to 13 mm, for example. If the distance is smaller than this range, there is a risk of interference with the top plate 94 or the heating plate 88 when the temperature adjusting plate 71 described later moves. If the distance is larger than this range, the lower surface of the top plate 94 is heated when the wafer W is heated. May not be heated sufficiently.

加熱プレート88のさらに奥側の床板62の下方領域には、例えば図中Y方向に沿って筐体60の側壁を貫くように第1の中間排気ダクト63Aが設けられている。この第1の中間排気ダクト63Aの内部にはこの第1の中間排気ダクト63Aの伸長方向に沿って排気空間が形成されており、また床板62の下方領域に面するように吸引口63aが設けられている。さらに図9に示すように例えば第1の中間排気ダクト63Aと排気ダクト94bが接続された第2の中間排気ダクト63Bは第1の中間排気ダクト63Aと平行に配設されており、また、その端部は工場排気路に接続されており、例えば工場排気用の用力により筐体60内の排気が行われるようになっている。   A first intermediate exhaust duct 63A is provided in a lower region of the floor plate 62 on the further back side of the heating plate 88, for example, so as to penetrate the side wall of the housing 60 along the Y direction in the figure. An exhaust space is formed in the first intermediate exhaust duct 63A along the extending direction of the first intermediate exhaust duct 63A, and a suction port 63a is provided so as to face the lower region of the floor plate 62. It has been. Further, as shown in FIG. 9, for example, the second intermediate exhaust duct 63B, to which the first intermediate exhaust duct 63A and the exhaust duct 94b are connected, is disposed in parallel with the first intermediate exhaust duct 63A. The end portion is connected to the factory exhaust path, and the housing 60 is exhausted by, for example, factory exhaust power.

次に、前記温度調整機構70の概略について図10をも用いて説明すると、温度調整機構70は加熱プレート88と前記熱処理ユニット(PEB1)の外の搬送機構・メインアームA1との間でウエハWを受け渡す役割及びウエハWの温度を調整する役割を有しており、連結ブラケット72及び温度調整プレート71により構成されている。連結ブラケット72は例えば熱伝導性のよい銅やアルミによって構成され、連結ブラケット72が前記開口部62b内を移動するように設けられており、例えばその下端にはレールブラケット73aが接続されている。連結ブラケット72はこのレールブラケット73aを介して図中X方向に伸長したガイドレール73bに沿って移動できるように構成されている。また、連結ブラケット72の側部は床板62の下方領域に設けられた例えばボールねじ機構あるいはエアシリンダなどからなる駆動機構73cに接続されており、この駆動機構73cによって温度調整機構70は前記ガイドレール73bに沿ってX軸方向に移動自在に構成されている。   Next, the outline of the temperature adjustment mechanism 70 will be described with reference to FIG. 10 as well. The temperature adjustment mechanism 70 is formed between the heating plate 88 and the transfer mechanism / main arm A1 outside the heat treatment unit (PEB1). It has the role of delivering and adjusting the temperature of the wafer W, and is constituted by the connection bracket 72 and the temperature adjustment plate 71. The connection bracket 72 is made of, for example, copper or aluminum having good heat conductivity, and is provided so that the connection bracket 72 moves in the opening 62b. For example, a rail bracket 73a is connected to the lower end of the connection bracket 72. The connecting bracket 72 is configured to be movable along the guide rail 73b extending in the X direction in the drawing via the rail bracket 73a. Further, the side portion of the connecting bracket 72 is connected to a drive mechanism 73c formed of a ball screw mechanism or an air cylinder, for example, provided in a lower region of the floor plate 62, and the temperature adjustment mechanism 70 is connected to the guide rail by the drive mechanism 73c. It is configured to be movable in the X-axis direction along 73b.

次に、温度調整プレート71にウエハWを受け渡す搬送機構について説明する。このメインアームA1は、例えば図11Aに示すような水平な馬蹄形状のフォークA1aと、フォークA1aを支持する基体A1bとを有している。フォークA1aの内周の大きさは温度調整プレート71の直径よりも若干大きく形成されており、この内周における下部には内方へ向かう4つの突片A1cが設けられ、図11Bに示すようにこれらの突片A1c上にウエハWが保持される。フォークA1aは例えば図示しないモータにより基体A1bを介して昇降自在かつ進退自在に構成され、温度調整機構70にウエハWを受け渡す際にはウエハWを保持したフォークA1aが前記搬送口61を介して筐体60内に進入する。ここで温度調整プレート71周縁の切欠き部71aは、夫々フォークA1aの突片A1cと対応する位置に設けられていることから、フォークが図11Aに示すように温度調整プレート71に対し上方から覆いかぶさるように下降することで、フォークA1aが温度調整プレート71の下方側に通過し、フォークA1a上のウエハWが温度調整プレート71に受け渡される。ウエハWを受け渡したフォークA1aは、前方の切欠き部71aが連結ブラケット72を通り抜けるように手前側に後退して筐体60内から退去する。   Next, a transfer mechanism that delivers the wafer W to the temperature adjustment plate 71 will be described. The main arm A1 includes, for example, a horizontal horseshoe-shaped fork A1a as shown in FIG. 11A and a base A1b that supports the fork A1a. The size of the inner periphery of the fork A1a is slightly larger than the diameter of the temperature adjustment plate 71, and four projecting pieces A1c directed inward are provided at the lower portion of the inner periphery, as shown in FIG. 11B. The wafer W is held on these protrusions A1c. The fork A1a is configured to be movable up and down and back and forth through a base A1b by a motor (not shown), for example. Enter the case 60. Here, the notches 71a on the periphery of the temperature adjustment plate 71 are provided at positions corresponding to the protrusions A1c of the fork A1a, so that the fork covers the temperature adjustment plate 71 from above as shown in FIG. 11A. By descending so as to be covered, the fork A1a passes below the temperature adjustment plate 71, and the wafer W on the fork A1a is transferred to the temperature adjustment plate 71. The fork A1a that has transferred the wafer W retracts from the front side so that the front notch 71a passes through the connecting bracket 72 and then moves out of the housing 60.

ここで温度調整プレート71の詳細な構成について、図13A,図13Bを用いて説明する。図13Bに示すように温度調整プレート71は、上部プレート71b及び下部プレート71cで構成されており、上部プレート71bと下部プレート71cは例えば接着材,ろうまたはねじ等で接合されている。また、図13Aに示すように周縁部には切欠き部71aが形成され、内部には冷却水を流通させるための冷却水流路74及び冷却気体を流通させるための冷却気体流路75が形成されている。冷却水流路74の一端は冷却水供給口74aを介して図示しない冷却水供給源に接続されており、他端は冷却水排出孔74bを介して図示しない冷却水排出管に接続されている。冷却気体流路75は図示しない冷却気体供給源から冷却気体供給口75aを介して冷却気体が供給される。また、冷却気体流路75内を流れる冷却気体が温度調整プレート71外へ吐出されるよう温度調整プレート71側部かつ切欠き部71aに対応する箇所には冷却気体吐出口75bが形成されている(図13B参照)。当該冷却気体吐出口75bの角度は図13Bに示すように冷却効果を考慮して、温度調整プレート71上に載置されるウエハWに対して水平かウエハ裏面に向けて冷却気体が吐出されるように形成されることが好ましい。また、上部プレート71bと下部プレート71cは例えばステンレス,アルミニウム,チタン,銅,カーボンまたはニッケルで形成され、熱による変形を考慮して同一の素材で構成されることが好ましい。なお、図13A中71dは支持ピン89aが通過するためのガイド溝である。   Here, a detailed configuration of the temperature adjustment plate 71 will be described with reference to FIGS. 13A and 13B. As shown in FIG. 13B, the temperature adjustment plate 71 is composed of an upper plate 71b and a lower plate 71c, and the upper plate 71b and the lower plate 71c are joined together by, for example, an adhesive, a wax, or a screw. Further, as shown in FIG. 13A, a notch 71a is formed in the peripheral portion, and a cooling water channel 74 for circulating cooling water and a cooling gas channel 75 for circulating cooling gas are formed inside. ing. One end of the cooling water passage 74 is connected to a cooling water supply source (not shown) via a cooling water supply port 74a, and the other end is connected to a cooling water discharge pipe (not shown) via a cooling water discharge hole 74b. The cooling gas channel 75 is supplied with cooling gas from a cooling gas supply source (not shown) via a cooling gas supply port 75a. Further, a cooling gas discharge port 75b is formed at a position corresponding to the side of the temperature adjustment plate 71 and the notch portion 71a so that the cooling gas flowing in the cooling gas channel 75 is discharged out of the temperature adjustment plate 71. (See FIG. 13B). As shown in FIG. 13B, the angle of the cooling gas discharge port 75b is such that the cooling gas is discharged horizontally or toward the back surface of the wafer W placed on the temperature adjustment plate 71 in consideration of the cooling effect. It is preferable to be formed as follows. Further, it is preferable that the upper plate 71b and the lower plate 71c are made of, for example, stainless steel, aluminum, titanium, copper, carbon, or nickel, and are made of the same material in consideration of deformation due to heat. In FIG. 13A, reference numeral 71d denotes a guide groove through which the support pin 89a passes.

なお、冷却気体流路75は一部が切欠き部71a近傍を通過するようにさえ形成されていればよく、切欠き部71aを除く温度調整プレート71の周縁部に沿うように形成する必要はない。また、温度調整プレート71は図14に示すように周縁部側面に溝76aが形成された1枚の板状部材76で構成してもよい。この場合、溝76aに例えば樹脂製のチューブ77を埋め込み、チューブ77において、切欠き部71aに位置する箇所に設けられた冷却気体吐出口75bから冷却気体を供給する構成としてもよい。   The cooling gas flow path 75 only needs to be formed so that a part thereof passes through the vicinity of the notch 71a, and needs to be formed along the peripheral edge of the temperature adjustment plate 71 excluding the notch 71a. Absent. Further, as shown in FIG. 14, the temperature adjustment plate 71 may be composed of a single plate-like member 76 in which a groove 76a is formed on the side surface of the peripheral portion. In this case, for example, a resin tube 77 may be embedded in the groove 76a, and the cooling gas may be supplied from the cooling gas discharge port 75b provided in the tube 77 at a position located in the notch 71a.

次に、熱処理ユニット(PEB1)の作用について説明する。既述のメインアームA1により、表面にレジスト液が塗布されたウエハWが搬送口61を介して筐体60内に搬入され、既述のようにウエハWは温度調整プレート71に受け渡されるとメインアームA1は筐体60内から退去する。一方、温度調整機構70が加熱プレート88に向けて移動するまでに加熱プレート88の表面は図示しない複数のヒータにより予め設定された温度例えば130℃に加熱されており、加熱プレート88の熱輻射により天板94の下面が加熱されている。   Next, the operation of the heat treatment unit (PEB1) will be described. When the wafer W having the resist solution coated on the surface is loaded into the housing 60 through the transfer port 61 by the main arm A1 described above, the wafer W is transferred to the temperature adjustment plate 71 as described above. The main arm A1 moves out of the housing 60. On the other hand, until the temperature adjusting mechanism 70 moves toward the heating plate 88, the surface of the heating plate 88 is heated to a preset temperature, for example, 130 ° C. by a plurality of heaters (not shown). The lower surface of the top plate 94 is heated.

ウエハWを保持した温度調整プレート71が加熱プレート88上に移動すると、支持ピン89aが上昇し、温度調整プレート71に載置されたウエハWの裏面を支持する。そして温度調整プレート71がホーム位置(図8の左端位置)に後退すると共に支持ピン89aが下降し、加熱プレート88の突起部88a上にウエハWが受け渡され加熱される。   When the temperature adjustment plate 71 holding the wafer W is moved onto the heating plate 88, the support pins 89a are raised to support the back surface of the wafer W placed on the temperature adjustment plate 71. Then, the temperature adjustment plate 71 moves back to the home position (left end position in FIG. 8), and the support pins 89a are lowered, and the wafer W is transferred onto the protrusions 88a of the heating plate 88 and heated.

またウエハWの加熱時には既述したように筐体60内の排気が行われているため天板94と加熱プレート88との間から外気が流入し、天板94と加熱プレート88とにより気流が規制整流されることでウエハWの外周から中央に向かう気流が形成される。このためウエハWに塗布されたレジスト液は加熱プレート88の熱により溶剤が蒸発すると共にレジスト成分の一部が昇華し、これら溶剤蒸気と昇華成分とが吸引排気口94aに吸い込まれる。また既述のように床板62の下方領域が上方領域に比べて負圧雰囲気となることで、排気口62aを介して上方領域から下方領域に流れ込む気流が形成され、ウエハWの周囲から飛散した溶剤蒸気と昇華成分とが当該気流に乗って下方領域に流れ込み第1の中間排気ダクト63Aの吸引口63aに吸い込まれる。このようにしてレジスト液の乾燥が行われて、ウエハWにレジスト膜が形成される。   Further, as described above, when the wafer W is heated, the inside of the housing 60 is exhausted, so that outside air flows from between the top plate 94 and the heating plate 88, and an air flow is generated by the top plate 94 and the heating plate 88. By regulating and rectifying, an air flow from the outer periphery of the wafer W toward the center is formed. For this reason, in the resist solution applied to the wafer W, the solvent is evaporated by the heat of the heating plate 88 and a part of the resist component is sublimated, and the solvent vapor and the sublimated component are sucked into the suction exhaust port 94a. Further, as described above, the lower region of the floor plate 62 becomes a negative pressure atmosphere as compared with the upper region, so that an airflow flowing from the upper region to the lower region through the exhaust port 62a is formed and scattered from the periphery of the wafer W. The solvent vapor and the sublimation component ride on the air flow and flow into the lower region and are sucked into the suction port 63a of the first intermediate exhaust duct 63A. In this way, the resist solution is dried, and a resist film is formed on the wafer W.

例えば予め設定された時間ウエハWの加熱が行われた後に、支持ピン89aが上昇してウエハWを支持する。温度調整プレート71がホーム位置から再び加熱プレート88へ移動して、ウエハWが温度調整プレート71上に受け渡される。ウエハWの熱は温度調整プレート71に伝熱され、温度調整プレート71は蓄熱されて昇温するが、既述のように温度調整プレート71内に形成された冷却水流路74内を流れる冷却水により温度調整プレート71は冷却される。ここで、切欠き部71aの上方に位置するウエハWは温度調整プレート71と接触しないため、ウエハWの他の領域より降温効果が得られにくい。そのため例えば温度調整プレート71上にウエハWが載置されると同時に、冷却気体吐出口75bから冷却気体が吐出される。なお、冷却気体は例えばN2もしくはHeを用いる。また、当該冷却気体の温度は例えば23℃〜30℃以下に設定されている。そしてメインアームA1が後述のように搬送スケジュールに従って当該ウエハWを取りにくるが、このときまでに温度調整プレート71によってウエハWの粗熱取りが行われる。   For example, after the wafer W is heated for a preset time, the support pins 89a rise to support the wafer W. The temperature adjustment plate 71 moves again from the home position to the heating plate 88, and the wafer W is transferred onto the temperature adjustment plate 71. The heat of the wafer W is transferred to the temperature adjustment plate 71, and the temperature adjustment plate 71 is stored and heated up. As described above, the cooling water flowing in the cooling water flow path 74 formed in the temperature adjustment plate 71. Thus, the temperature adjustment plate 71 is cooled. Here, since the wafer W located above the notch 71a does not come into contact with the temperature adjustment plate 71, the temperature lowering effect is less likely to be obtained than other regions of the wafer W. Therefore, for example, the cooling gas is discharged from the cooling gas discharge port 75b at the same time when the wafer W is placed on the temperature adjustment plate 71. For example, N2 or He is used as the cooling gas. Moreover, the temperature of the said cooling gas is set to 23 to 30 degrees C or less, for example. The main arm A1 then picks up the wafer W according to the transfer schedule as described later. By this time, the temperature adjustment plate 71 has removed the wafer W roughly.

メインアームA1は温度調整プレート71上のウエハWを下方からすくい上げるようにして受け取り、ウエハWを筐体60の外へ搬送する。然る後、メインアームA1によって後続のウエハWがこの熱処理ユニット(PEB1)に搬送されるがこの後続のウエハWにも同様に加熱処理が行われる。   The main arm A <b> 1 receives the wafer W on the temperature adjustment plate 71 so as to be scooped from below and transports the wafer W out of the housing 60. Thereafter, the subsequent wafer W is transferred to the heat treatment unit (PEB1) by the main arm A1, and the subsequent wafer W is similarly subjected to heat treatment.

上記実施の形態では、温度調整プレート71を熱処理ユニット(PEB1)の一部として構成した場合について説明したが、当該温度調整プレート71は図3に示す棚ユニットU5およびU6に備えられる冷却プレート(CPL1〜14)として用いてもよい。   Although the case where the temperature adjustment plate 71 is configured as a part of the heat treatment unit (PEB1) has been described in the above embodiment, the temperature adjustment plate 71 is a cooling plate (CPL1) provided in the shelf units U5 and U6 illustrated in FIG. ~ 14) may be used.

また、温度調整プレート71に図示しない感温センサを備え、温度調整プレート71上に載置されたウエハWの温度を検知し、ウエハWが所定の温度に達すると冷却気体の吐出が停止するように制御してもよい。   Further, the temperature adjustment plate 71 is provided with a temperature sensor (not shown), detects the temperature of the wafer W placed on the temperature adjustment plate 71, and stops discharging the cooling gas when the wafer W reaches a predetermined temperature. You may control to.

また、既述のとおり加熱プレート88に複数設けられた図示しない感温センサにより過熱されているウエハWもしくは加熱プレート88の温度を検知して取得した温度データを基に、冷却気体の吐出時間を制御してもよい。   Further, as described above, based on the temperature data obtained by detecting the temperature of the wafer W or the heating plate 88 overheated by a plurality of temperature sensors (not shown) provided on the heating plate 88, the discharge time of the cooling gas is determined. You may control.

なお、上記実施形態では本考案における温度調整プレート71をレジスト塗布・現像処理装置に適用した場合について説明したが、上記実施形態に限定されるものでなく、レジスト塗布・現像処理装置以外の基板処理装置にも適用できる。   In the above embodiment, the case where the temperature adjustment plate 71 in the present invention is applied to the resist coating / developing apparatus has been described. However, the present invention is not limited to the above embodiment, and substrate processing other than the resist coating / developing apparatus is possible. It can also be applied to devices.

W ウエハ(基板)
PEB1 熱処理ユニット
70 温度調整機構
71 温度調整プレート
71a 切欠き部
73c 駆動機構
74 冷却水流路
75 冷却気体流路
80 加熱機構
88 加熱プレート
W Wafer (Substrate)
PEB1 Heat treatment unit 70 Temperature adjustment mechanism 71 Temperature adjustment plate 71a Notch 73c Drive mechanism 74 Cooling water flow path 75 Cooling gas flow path 80 Heating mechanism 88 Heating plate

Claims (7)

載置された基板を保持しつつ該基板を所定温度に熱処理する温度調整プレートを具備する熱処理装置であって、
前記温度調整プレートは、冷却気体を流通するための冷却気体流路と、温度調整プレートの周縁部に設けられた複数の切欠き部と、該複数の切欠き部に設けられ、上記冷却気体流路から冷却気体を吐出する冷却気体吐出口と、
を備えることを特徴とする熱処理装置。
A heat treatment apparatus comprising a temperature adjustment plate that heats the substrate to a predetermined temperature while holding the placed substrate,
The temperature adjustment plate is provided with a cooling gas flow path for circulating the cooling gas, a plurality of notches provided at a peripheral portion of the temperature adjustment plate, and the cooling gas flow. A cooling gas discharge port for discharging cooling gas from the path;
A heat treatment apparatus comprising:
請求項1記載の熱処理装置において、
前記冷却気体流路は一部が前記切欠き部近傍に形成される、ことを特徴とする熱処理装置。
In the heat treatment apparatus according to claim 1,
A part of the cooling gas flow path is formed in the vicinity of the notch portion.
請求項1ないし2のいずれかに記載の熱処理装置において、
前記温度調整プレートは、ステンレス,アルミニウム,チタン,銅,カーボンまたはニッケルで形成される、ことを特徴とする熱処理装置。
The heat treatment apparatus according to any one of claims 1 to 2,
The temperature control plate is made of stainless steel, aluminum, titanium, copper, carbon or nickel, and is a heat treatment apparatus characterized in that
請求項1ないし3のいずれかに記載の熱処理装置において、
前記切欠き部は、前記温度調整プレートに載置される基板に対して水平か基板裏面に向けて冷却気体が吐出されるように形成される、ことを特徴とする熱処理装置。
The heat treatment apparatus according to any one of claims 1 to 3,
The heat treatment apparatus, wherein the notch is formed such that cooling gas is discharged horizontally or toward the back surface of the substrate placed on the temperature adjustment plate.
請求項1ないし4のいずれかに記載の熱処理装置において、
前記基板を保持しつつ該基板を加熱する加熱プレートと、前記温度調整プレートに載置された基板を前記加熱プレートに対して搬入及び搬出する駆動機構と、
を備えることを特徴とする熱処理装置。
The heat treatment apparatus according to any one of claims 1 to 4,
A heating plate that heats the substrate while holding the substrate; and a drive mechanism that carries the substrate placed on the temperature adjustment plate into and out of the heating plate;
A heat treatment apparatus comprising:
請求項1ないし5のいずれかに記載の熱処理装置において、
前記冷却気体吐出口はドライエア,N2またはHeを吐出する、ことを特徴とする熱処理装置。
In the heat treatment apparatus according to any one of claims 1 to 5,
The heat treatment apparatus characterized in that the cooling gas discharge port discharges dry air, N2 or He.
請求項1ないし6のいずれかに記載の熱処理装置において、
前記基板の温度を検知する検知部と、当該検知部が検知した基板の温度にしたがって、吐出された冷却気体の吐出停止タイミングを制御する制御部と、
を備えることを特徴とする熱処理装置。
The heat treatment apparatus according to any one of claims 1 to 6,
A detection unit that detects the temperature of the substrate, and a control unit that controls the discharge stop timing of the discharged cooling gas according to the temperature of the substrate detected by the detection unit;
A heat treatment apparatus comprising:
JP2012005723U 2012-09-20 2012-09-20 Heat treatment equipment Expired - Fee Related JP3180048U (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2012005723U JP3180048U (en) 2012-09-20 2012-09-20 Heat treatment equipment
KR2020130007670U KR200482870Y1 (en) 2012-09-20 2013-09-12 Thermal processing apparatus
TW102217497U TWM482837U (en) 2012-09-20 2013-09-17 Heat treatment apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2012005723U JP3180048U (en) 2012-09-20 2012-09-20 Heat treatment equipment

Publications (1)

Publication Number Publication Date
JP3180048U true JP3180048U (en) 2012-11-29

Family

ID=48006647

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012005723U Expired - Fee Related JP3180048U (en) 2012-09-20 2012-09-20 Heat treatment equipment

Country Status (3)

Country Link
JP (1) JP3180048U (en)
KR (1) KR200482870Y1 (en)
TW (1) TWM482837U (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018018860A (en) * 2016-07-25 2018-02-01 株式会社Screenホールディングス Heat treatment device, substrate processing device, and heat treatment method
JP2018195671A (en) * 2017-05-16 2018-12-06 東京エレクトロン株式会社 Substrate processing device, substrate processing method, and memory medium

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102000021B1 (en) 2016-11-30 2019-07-17 세메스 주식회사 Substrate supporting unit, heat treatment unit and substrate treating apparatus including the same
KR20210011395A (en) * 2018-05-21 2021-02-01 도쿄엘렉트론가부시키가이샤 Substrate processing equipment
KR102119686B1 (en) * 2018-11-14 2020-06-08 세메스 주식회사 Substrate supporting unit, heat treatment unit and substrate treating apparatus including the same
KR102263713B1 (en) 2019-06-27 2021-06-10 세메스 주식회사 Supporting unit, and a substrate processing apparatus including the same

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3908112B2 (en) 2002-07-29 2007-04-25 Sumco Techxiv株式会社 Susceptor, epitaxial wafer manufacturing apparatus and epitaxial wafer manufacturing method
JP4410147B2 (en) 2005-05-09 2010-02-03 東京エレクトロン株式会社 Heating device, coating, developing device and heating method
JP4670677B2 (en) * 2006-02-17 2011-04-13 東京エレクトロン株式会社 Heating device, heating method, coating device, and storage medium
JP2010182906A (en) * 2009-02-06 2010-08-19 Tokyo Electron Ltd Substrate treatment apparatus
JP5195711B2 (en) * 2009-10-13 2013-05-15 東京エレクトロン株式会社 Substrate cooling device, substrate cooling method, and storage medium

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018018860A (en) * 2016-07-25 2018-02-01 株式会社Screenホールディングス Heat treatment device, substrate processing device, and heat treatment method
JP2018195671A (en) * 2017-05-16 2018-12-06 東京エレクトロン株式会社 Substrate processing device, substrate processing method, and memory medium

Also Published As

Publication number Publication date
KR200482870Y1 (en) 2017-03-09
KR20140001829U (en) 2014-03-28
TWM482837U (en) 2014-07-21

Similar Documents

Publication Publication Date Title
JP4535499B2 (en) Heating device, coating, developing device and heating method
JP4450784B2 (en) Coating and developing apparatus and method thereof
JP3180048U (en) Heat treatment equipment
KR101059309B1 (en) Heating device, coating, developing device and heating method
US8748780B2 (en) Substrate processing apparatus, substrate processing method, and computer-readable storage medium
KR102503838B1 (en) Substrate heating device
JP4930495B2 (en) Substrate heating apparatus and substrate heating method
JP2009010239A (en) Substrate treatment device
US10586719B2 (en) Substrates support apparatus, substrate treating system including the same, and substrate treating method
US20170372926A1 (en) Substrate treating unit, baking apparatus including the same, and substrate treating method using baking apparatus
US11670527B2 (en) Substrate processing apparatus
JP4765750B2 (en) Heat treatment apparatus, heat treatment method, storage medium
WO2018056039A1 (en) Substrate processing device and substrate processing method
JP5158066B2 (en) Coating and developing equipment
JP5314461B2 (en) Substrate processing method, program, computer storage medium, and substrate processing system
JP2010074185A5 (en)
JP2001237157A (en) Heat treatment device
KR102403200B1 (en) Unit for supporting substrate, Apparatus for treating substrate, and Method for treating substrate
JP4869952B2 (en) Heat treatment equipment
JP5216713B2 (en) Coating processing apparatus, coating processing method, program, and computer storage medium
JP7432770B2 (en) Heat treatment equipment, heat treatment method and storage medium
JP4800226B2 (en) Heat treatment equipment
JP7377916B2 (en) Substrate processing equipment
JP2023177658A (en) Heat treatment device, heat treatment method, and storage medium

Legal Events

Date Code Title Description
R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20151107

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees