JP3155513B2 - 高密度プラズマ中での高アスペクト比フィーチャ用の異方性選択的窒化物エッチング方法 - Google Patents

高密度プラズマ中での高アスペクト比フィーチャ用の異方性選択的窒化物エッチング方法

Info

Publication number
JP3155513B2
JP3155513B2 JP21048298A JP21048298A JP3155513B2 JP 3155513 B2 JP3155513 B2 JP 3155513B2 JP 21048298 A JP21048298 A JP 21048298A JP 21048298 A JP21048298 A JP 21048298A JP 3155513 B2 JP3155513 B2 JP 3155513B2
Authority
JP
Japan
Prior art keywords
silicon nitride
plasma
etching
layer
nitride layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP21048298A
Other languages
English (en)
Other versions
JPH11102896A (ja
Inventor
マイケル・デイビッド・アーマコスト
リチャード・ステファン・ワイズ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JPH11102896A publication Critical patent/JPH11102896A/ja
Application granted granted Critical
Publication of JP3155513B2 publication Critical patent/JP3155513B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、一般に集積回路お
よび他の電子デバイスを製造する方法に関する。特に、
本発明は、半導体ウエハまたは他の多層構造体上の窒化
ケイ素をエッチングする方法を記述する。
【0002】
【従来の技術】半導体製造では、高アスペクト比フィー
チャ(例えば、4:1またはそれ以上)を有する厚い誘
電体膜を付随するフォトレジストの過度の浸食なしに異
方性エッチングすることがしばしば望ましい。低圧、高
イオン・フラックス方法(例えば、高密度プラズマ放射
源)の適用は、アスペクト比依存エッチング(ARD
E)効果およびチップ線間変動(ACLV)効果を回避
するために有利である。誘導性結合放射源などからの高
密度プラズマは、チャンバ境界に対して直角な電子加速
のためにより低い圧力で作用し、高いイオン密度および
イオン・フラックスを与える。
【0003】ソレノイド・コイル構造を使用してプラズ
マを励起することにより、電子の平均自由行程をチャン
バ寸法よりも大きくすることができ、したがって従来の
容量性(または平行プレート)エッチング・チャンバの
場合よりも動作圧力を低くすることができ、また分別イ
オン化を高くすることができる。これらの性質はともに
小さいARDEおよびACLVをもたらす。
【0004】
【発明が解決しようとする課題】これらの利点は、0.
175μmほどの小さいフィーチャ・サイズ用の酸化ケ
イ素の高密度プラズマ・エッチングについて実証されて
いる。しかし、現代の半導体デバイス中で使用される多
数のレベルは、酸化ケイ素層ならびに窒化ケイ素層、ま
たは窒化ケイ素層のみから構成される。ハードマスク用
途では、厚い窒化物層は、単独か、または厚い酸化物層
とともに存在し、不十分なフォトレジスト選択度および
それに続く像完全性の損失のために現代の最新技術を使
用して高密度プラズマ中でエッチングすることができな
い。高密度プラズマ反応器中の解離レベルが高いと、し
ばしばフルオロカーボン・ガス中のフッ素原子によって
等方性エッチングが起こる。
【0005】これらの欠点をなくすために、容量性結合
ツールを使用して、ハードマスクなど厚い窒化物層また
は窒化物/酸化物層をエッチングする。これらのツール
は、フィーチャ・サイズが小さくなるにつれて大きい反
応性イオン・エッチング(RIE)ラグを生じ、粗処理
時間(RPT)が大幅に増加する。さらに、これらのツ
ール中で低いポリマー濃度を使用すると、フォトレジス
トに対する選択度が損なわれ、またポリマー濃度を増大
させると、フィーチャ・サイズがさらに小さくなるにつ
れてエッチング停止が早く起こりすぎてしまう。
【0006】とは言え、高密度プラズマを使用するいく
つかの利点がある。例えば、ウエハ・バイアスを独立に
制御し、それによりレジスト損失の制御を改善すること
ができるので、イオン・エネルギーを高密度プラズマ中
で高度に調整することができる。このため、高密度プラ
ズマ中での高アスペクト比フィーチャの選択的窒化物エ
ッチングが望ましい。現在まで、高アスペクト比窒化ケ
イ素レベル用の選択的高密度プラズマ・エッチングはよ
くわかっていない。
【0007】高アスペクト比ホール中の高密度プラズマ
窒化物エッチングの現代の最新技術は、CH3F/CO
(SRDC)またはC26/O2(BTV)の混合物を
含む。これらのシステムはどちらも、厚さ500Å以上
の窒化物層をエッチングするために十分な選択度を与え
ない。2:1(0.35μmグランドルール)以上のア
スペクト比では、CH3F/COケミストリによりエッ
チング停止が起こる。C26/O2ケミストリは、浅い
フィーチャに対しても1:1未満の選択度を有する。
【0008】図1に、酸化物またはフォトレジスト20
中のブランケット窒化物層10上で3500Å分-1の窒
化物エッチング速度を示す無酸素重合方法(C26/C
3F)の使用を示す。ブランケット窒化物層10はシ
リコン基板15上に形成される。しかしながら、エッチ
ング・プロファイルは等方性であり、窒化物と酸化物ま
たは窒化物とフォトレジストとの境界35のところに望
ましくないアンダーカット30が生じる。
【0009】窒化ケイ素をエッチングする技術はよく開
発されているが、この技術に固有のいくつかの問題がま
だ存在する。1つの特定の問題は、高アスペクト比窒化
ケイ素レベル用のエッチングである。したがって、フォ
トレジスト選択度を維持し、かつそれに続く像完全性の
損失を回避しながら高いアスペクト比で窒化ケイ素をエ
ッチングする方法が必要である。
【0010】
【課題を解決するための手段】本発明では、多層構造か
ら窒化ケイ素層を異方性エッチングする方法は、励起さ
れたエッチャント・ガスを含む高密度プラズマを形成す
るためにフルオロカーボン・ガス、水素源、および弱い
オキシダントを含むエッチャント・ガスを励起するステ
ップと、構造上の高密度プラズマの方向性を制御するた
めに構造に電源を適用するステップと、窒化ケイ素層を
エッチングするために高密度プラズマを窒化ケイ素層に
導入するステップとを含む。
【0011】本発明では、フルオロカーボン・ガスはC
4、C26、およびC38からなるグループから選択
され、水素源はCH22、CH3F、およびH2からなる
グループから選択され、また弱いオキシダントはCO、
CO2、およびO2からなるグループから選択される。
【0012】本発明の範囲内のエッチャント・ガスの他
の実施形態は、約4容積%〜20容積%の量のフルオロ
カーボン・ガスを加えるステップ、約10容積%〜30
容積%の量の水素源を加えるステップ、および約40容
積%〜70容積%の量の弱いオキシダントを加えるステ
ップを含む。
【0013】本発明の他の実施形態によれば、RF電源
など、プラズマの方向性の制御に使用される電源は、コ
イルなど、エッチャント・ガスを励起するために使用さ
れる電源から分離される。RF電源は、エッチングされ
る窒化ケイ素層を有する側に対向する側に適用されるこ
とが好ましい。
【0014】本発明の他の実施形態によれば、エッチャ
ント・ガスをチャンバに導入する。チャンバの圧力は、
1ないし20ミリトルに維持することが好ましい。次い
でエッチャント・ガスを第1の電源に当てることによっ
てガスを励起して、高密度プラズマを形成する。この実
施形態は、第1の電源から分離された第2の電源を構造
に適用することによって構造上のプラズマのバイアスを
制御するステップを含む。プラズマを窒化ケイ素層に導
入して、窒化ケイ素層中に少なくとも2:1、好ましく
は少なくとも6:1のアスペクト比を有するフィーチャ
をエッチングする。
【0015】
【発明の実施の形態】本発明は、フォトレジストに対す
る高い選択度を有し、かつ調節可能な異方性を与える窒
化物エッチングを提供する。より効率的な窒化物エッチ
ングを可能にするドライ・エッチング方法について説明
する。好ましいエッチャント・ガスは、C26、CH3
F、およびCOの混合物である。本発明は、ダイナミッ
ク・ランダム・アクセス・メモリ(DRAM)など半導
体デバイス用の高アスペクト比フィーチャの異方性かつ
高速のエッチングを可能にする。本発明の混合ガスは、
フォトレジストに対する高い選択度を有する。窒化物エ
ッチングのテーパ角度の微妙な制御が達成される。放射
源/バイアス電力の独立した制御によって追加の制御が
実施される。
【0016】本発明は、パッシベーション層の形成をも
たらすフルオロカーボン・ポリマー前駆体種を使用し、
したがってエッチングは異方性になる。本発明はまた、
酸素含有種を使用して、垂直方向におけるイオン強化エ
ッチングによるパッシベーション層の除去を援助する。
フォトレジストは、フィーチャ中の窒化物の場合のよう
にマイクロローディングを受けないので、酸素を加える
とフォトレジストの選択度が低下する。レジストを保存
するためにポリマー含有量を増加させれば、高アスペク
ト比フィーチャ中でエッチング停止がより起こり易くな
る。
【0017】本発明によれば、プラズマの方向性を制御
するために使用される電源は、高密度プラズマを形成す
るエッチャント・ガスを励起するために使用される電源
から分離される。したがって、分離された電源は、プラ
ズマ発生機構からのウエハ上のバイアスの独立した制御
を組み込む。イオン衝撃エネルギーは主としてウエハに
対するバイアスによって制御されるが、イオン・フラッ
クス(および密度)は主として発生構造(例えば、誘導
プラズマ放射源中のコイル)に加えられた電力によって
制御されるために「分離」なる語を使用する。この構造
を図2に示す。エッチャント・ガスは(破線で示され
る)チャンバ40中に導入され、エッチャント・ガス
は、第1の電源45によって励起されて、イオン55を
有する高密度プラズマを形成する。RF電源50など第
2の電源は、第1の電源45から分離される。チャンバ
40の圧力は、チャンバ40に結合された真空ポンプ4
8を使用して、約1〜20ミリトルに維持することが好
ましい。
【0018】分離されたプラズマ放射源によって使用さ
れるものなどバイアスされた基板は、ウエハ・プラテン
の電位を一般に数千ボルトだけ振動させる。この電位の
ある部分は、プラズマ電子(シース・キャパシタンス)
およびウエハ/チャック・キャパシタンスによって遮蔽
されるが、ウエハに当たるイオンの加速度ははるかに大
きくなり、一般に50Vから500Vに対応する加速度
である。この追加のエネルギーは、ウエハ表面に対して
直角な方向におけるエッチングを加速し、本発明におけ
る異方性エッチングの原因である。図2に示されるバイ
アスされた基板中で、RF電源50は、基板60の裏
面、すなわち基板60の(窒化ケイ素層など)エッチン
グされる層に対向する側に適用される。図2において、
基板60は、シリコン基板上に形成される窒化ケイ素層
を代表することができる。RF電源50は、イオン55
を基板60の方に加速し、それによりその方向における
エッチング速度が速くなる。フォトレジスト65上のパ
ターンは、下地の層に直接転写され、したがってパッキ
ング密度が最大になる。図3に示されるバイアスしてい
ない基板70中で、イオン75は、基板70の方に加速
されず、したがってエッチングがあらゆる方向に進行
し、フォトレジスト80中にアンダーカットが生じ、デ
バイスのパッキング密度が制限される。基板70は、シ
リコン基板上に形成される窒化ケイ素層を代表すること
ができる。
【0019】高密度プラズマは、プラズマ中の荷電粒子
密度を示す。通常のまたは代表的な密度のプラズマ中で
は、イオン密度は一般に約1011cm-3よりも低いが、
高密度放射源中では、分別イオン化は1011cm-3より
も大きい。高密度プラズマ放射源はプラズマ電子を反応
器境界に対して直角な方向に加速し、したがって電子の
平均自由行程はプラズマ寸法と比較して長くなる。この
ため動作圧力を低くすることができるが、またより高い
イオン壁フラックスを有するプラズマを維持するために
より高い程度のイオン化が必要になる。
【0020】本発明の方法は、(所要の選択度によって
決定された)100Å秒-1またはそれ以上の速度で、
6:1よりも高いアスペクト比の高密度プラズマ中での
選択的異方性窒化物エッチングを提供する。従来の容量
性結合ツール中での窒化物の同様のエッチングは、上述
のように反応性イオン・エッチング(RIE)ラグを示
し、約12.5Å秒-1程度の窒化物エッチング速度が得
られる。
【0021】本発明の例示的な実施形態では、図1に関
して上記で説明した重合システムC 26/CH3Fに弱
いオキシダント、好ましくはCOまたはCO2を加え
る。本明細書で使用する「弱いオキシダント」とは、フ
ルオロカーボンと容易に反応して、元のフルオロカーボ
ンよりも揮発性の高い(COFxなど)生成物を形成す
る化合物を示す。弱いオキシダントは、200℃よりも
低い温度、1〜20ミリトルなどの動作条件において元
のフルオロカーボンよりも揮発性が高いCOFx生成物
を形成する化合物がより好ましい。オキシダントの添加
は、パッシベーション層の除去を助け、気相プラズマ・
ケミストリのC/F比をより小さい値に切り替え、それ
によりエッチング速度がさらに速くなり、パッシベーシ
ョン・ポリマーが減少する。結果を図4に示す。
【0022】図4は、エッチング速度が約100Å秒-1
である理想に近いエッチング・プロファイルを示す。窒
化物層110は、側壁がほぼ垂直になるようにエッチン
グされ、酸化物またはフォトレジスト上層120はアン
ダーカットされていない。窒化物層110はシリコン基
板105上に形成される。図示のフィーチャは窒化物層
と基板との境界までエッチングされているが、このフィ
ーチャは、特定の必要に応じて、この境界のわずかに上
または下までエッチングすることができる。CH3
は、水素源の働きをし、(等方性の増大によって示唆さ
れる)窒化物の化学エッチングを増進し、(重合ならび
にフッ素除去によって)フォトレジスト選択度を改善す
る。C26は、CFxポリマー前駆体のダウンホール供
給体の役目を果たすためにアンダーカットを少なくし、
窒化物のテーパを制御する。図4に示されるプロファイ
ルを得るために使用される好ましいチャンバは、App
lied Materials Omega Cham
ber(ASTC Hex248)であり、好ましい混
合ガスは、約4容積%〜20容積%のフルオロカーボン
・ガス、例えばC 2 6 、約10容積%〜30容積%の水
素源、例えばCH 3 F、約40容積%〜70容積%の弱
いオキシダント、例えばCO、を含有する。26、C
3F、COの混合ガスを有する同様のチャンバでも所
望の結果が得られることは発明の範囲内に入る。チャン
バの圧力は、約1ミリトルから約20ミリトルまでが好
ましい。
【0023】上記の条件の下でCH3FならびにCO
含有量を減少した場合、パッシベーション剤(CH
3F)の減少が酸化剤(CO)の減少によって補償され
るので、同様のプロファイル(図示せず)が得られる。
例えば、10標準立方センチメートル(sccm)のC
26、20sccmのCH3F、および60sccmの
COの混合物から所望の結果が得られる。
【0024】例えば、他の成分を一定にして重合剤(C
26)を10sccmから20sccmに増加させる
と、図5に示すように、窒化物層130中のテーパ13
5の程度が増大する。窒化物層130は、酸化物層また
はフォトレジスト層140の下に位置する。窒化物層1
30は、シリコン基板145上に形成される。高アスペ
クト比窒化物フィーチャ中でテーパ角度を慎重に制御す
ることができるので、後の処理中にホールをより容易に
充填することができる。
【0025】この機構に一致して、重合剤(C26)が
少なすぎるとエッチングがより等方性になるが、また低
いエッチング速度(80Å秒-1)に基づいて重合剤に対
して追加のイオン強化成分があるように見える。本発明
の方法は、0.2μmグランドルールにおいて6:1よ
りも大きいアスペクト比で適用できるが、さらに拡大す
ることができる。
【0026】本発明の範囲内の例示的な実施形態は、4
%〜20%のC26、10%〜30%のCH3F、およ
び40%〜70%のCOの混合ガスを含む。本発明によ
る他の実施形態は、ダウンホール・ポリマーを生成する
ためのフルオロカーボン・ガス(例えば、CF4、C2
6、C38)、窒化物エッチング速度およびレジスト選
択度を向上させるための水素源(例えば、CH22、C
3F、希釈H2混合物)、および垂直表面上のポリマー
を除去するための弱いオキシダント(例えば、CO、C
2、希釈O2)の適用を含む。
【0027】ここで説明した方法はApplied M
aterials High Density Pla
smaドライ・エッチング・チャンバに関して実証され
たが、他の高密度放射源(例えば、Lam 9100)
も使用できる。
【0028】まとめとして、本発明の構成に関して以下
の事項を開示する。
【0029】(1)高密度プラズマを形成するためにフ
ルオロカーボン・ガス、水素源、および弱いオキシダン
トを含むエッチャント・ガスを励起するステップと、前
記多層構造上の前記高密度プラズマの方向性を制御する
ために前記多層構造に電源を適用するステップと、窒化
ケイ素層をエッチングするために前記高密度プラズマを
前記窒化ケイ素層に導入するステップとを含む、多層構
造から窒化ケイ素層を異方性エッチングする方法。 (2)前記フルオロカーボン・ガスがCF4、C26
およびC38からなるグループから選択される、上記
(1)に記載の方法。 (3)前記水素源がCH22、CH3F、およびH2から
なるグループから選択される、上記(1)に記載の方
法。 (4)前記弱いオキシダントがCO、CO2、およびO2
からなるグループから選択される、上記(1)に記載の
方法。 (5)前記フルオロカーボン・ガスが約4容積%〜20
容積%の量で加えられ、前記水素源が約10容積%〜3
0容積%の量で加えられ、前記弱いオキシダントが約4
0容積%〜70容積%の量で加えられる、上記(1)に
記載の方法。 (6)前記フルオロカーボン・ガスがC26であり、前
記水素源がCH3Fであり、前記弱いオキシダントがC
Oである、上記(1)に記載の方法。 (7)前記エッチャント・ガスを励起するステップでコ
イルを使用し、かつ前記電源が前記コイルから分離され
る、上記(1)に記載の方法。 (8)前記エッチャント・ガスを励起するステップが、
少なくとも1011cm-3の密度を有する前記高密度プラ
ズマを形成するステップを含む、上記(1)に記載の方
法。 (9)前記エッチャント・ガスをチャンバ中に導入する
ステップと、真空ポンプを使用し、かつ前記真空ポンプ
を前記チャンバに結合することによって前記チャンバの
圧力を1ないし20ミリトルに維持するステップとをさ
らに含む、上記(1)に記載の方法。 (10)電源を適用するステップが、前記窒化ケイ素層
に対向する前記多層構造の側面にRF電源を適用するス
テップを含む、上記(1)に記載の方法。 (11)高密度プラズマを形成するために前記エッチャ
ント・ガスを第1の電源に当てることによってフルオロ
カーボン・ガス、水素源、および弱いオキシダントを含
むエッチャント・ガスを励起するステップと、前記多層
構造上の前記高密度プラズマの方向性を制御するために
前記第1の電源から分離された第2の電源を前記多層構
造に適用するステップと、前記窒化ケイ素層をエッチン
グするために前記高密度プラズマを前記窒化ケイ素層に
導入するステップとを含む、多層構造から窒化ケイ素層
を異方性エッチングする方法。 (12)前記フルオロカーボン・ガスがC26であり、
前記水素源がCH3Fであり、前記弱いオキシダントが
COである、上記(11)に記載の方法。 (13)(a)CF4、C26、およびC38からなる
グループから選択されるフルオロカーボン・ガスと、
(b)CH22、CH3F、およびH2からなるグループ
から選択される水素源と、(c)CO、CO2、および
2からなるグループから選択される弱いオキシダント
とを含むエッチャント・ガスをチャンバ中に導入するス
テップと、高密度プラズマを形成するために前記エッチ
ャント・ガスを第1の電源に当てることによって前記エ
ッチャント・ガスを励起するステップと、前記第1の電
源から分離された第2の電源を前記多層構造に適用する
ことによって前記多層構造上の前記高密度プラズマのバ
イアスを制御するステップと、少なくとも2:1のアス
ペクト比を有するフィーチャを前記窒化ケイ素層中にエ
ッチングするために前記高密度プラズマを前記窒化ケイ
素層に導入するステップとを含む、窒化ケイ素層、基
板、酸化ケイ素層、およびレジスト層を含む多層構造か
ら窒化ケイ素層を異方性エッチングする方法。 (14)前記フルオロカーボン・ガスがC26であり、
前記水素源がCH3Fであり、前記弱いオキシダントが
COである、上記(13)に記載の方法。 (15)前記フィーチャが少なくとも6:1のアスペク
ト比を有する、上記(13)に記載の方法。
【図面の簡単な説明】
【図1】従来の方法を使用してエッチングした窒化物の
プロファイルを示す図である。
【図2】本発明によるバイアスした基板を使用してエッ
チングした窒化物のプロファイルを示す図である。
【図3】バイアスしていない基板とともに従来の方法を
使用してエッチングした窒化物のプロファイルを示す図
である。
【図4】本発明による例示的な方法を使用してエッチン
グした窒化物のプロファイルを示す図である。
【図5】本発明による他の例示的な方法を使用してエッ
チングした窒化物のプロファイルを示す図である。
【符号の説明】
10 ブランケット窒化物層 15 シリコン基板 20 酸化物またはフォトレジスト 30 アンダーカット 35 界面 40 チャンバ 45 第1の電源 48 真空ポンプ 50 RF電源 55 イオン 60 基板 65 フォトレジスト 70 基板 75 イオン 80 フォトレジスト 105 シリコン基板 110 窒化物層 120 上層 130 窒化物層 135 テーパ 140 フォトレジスト層 145 シリコン基板
───────────────────────────────────────────────────── フロントページの続き (72)発明者 リチャード・ステファン・ワイズ アメリカ合衆国12508 ニューヨーク州 ビーコン ボイス・ストリート 29 (56)参考文献 特開 昭61−142744(JP,A) 特開 平8−264510(JP,A) 特開 平8−17796(JP,A) 特開 昭59−222933(JP,A) 特開 平6−267907(JP,A) 特開 平7−161702(JP,A) (58)調査した分野(Int.Cl.7,DB名) H01L 21/3065

Claims (13)

    (57)【特許請求の範囲】
  1. 【請求項1】窒化ケイ素層上の酸化ケイ素物マスク層を
    含む多層構造体を支持体上に配置した高密度プラズマ装
    置を準備し、該装置内において、フルオロカーボン・ガ
    ス、水素源、および、垂直方向におけるイオン強化エッ
    チング作用の下に堆積層の除去を助けるための、かつ、
    フルオロカーボンとの反応による元のフルオロカーボン
    よりも高い揮発性の反応生成物COFxの生成により気
    相プラズマ・ケミストリのC/F比を低い値に切り換え
    て窒化ケイ素のエッチング速度を増加する作用を達成す
    るための弱いオキシダント源の3成分から本質的に成る
    エッチャント・ガスを励起して高密度プラズマを生成す
    るステップと、 前記多層構造体に所定のバイアス電位を印加し前記窒化
    ケイ素層に到達する前記高密度プラズマの方向性を制御
    して前記マスク層を介して前記窒化ケイ素層内に高アス
    ベスト比フィーチャを高選択比、高速度で異方性プラズ
    マ・エッチングするステップと、 を含む高エッチング速度での窒化ケイ素層の異方性プラ
    ズマ・エッチング方法。
  2. 【請求項2】前記フルオロカーボン・ガスがCF4、C2
    6、およびC38からなるグループから選択される請
    求項1に記載の方法。
  3. 【請求項3】前記水素源がCH22、CH3F、および
    2からなるグループから選択される請求項1に記載の
    方法。
  4. 【請求項4】前記弱いオキシダントがCO、CO2、お
    よびO2からなるグループから選択される請求項1に記
    載の方法。
  5. 【請求項5】前記エッチャント・ガスを励起するステッ
    プでコイルを使用し、かつ前記電源が前記コイルから分
    離される請求項1に記載の方法。
  6. 【請求項6】前記エッチャント・ガスを励起するステッ
    プが、少なくとも1011cm-3の密度を有する前記高密
    度プラズマを形成するステップを含む請求項1に記載の
    方法。
  7. 【請求項7】前記エッチャント・ガスをチャンバ中に導
    入するステップと、 真空ポンプを使用し、かつ前記真空ポンプを前記チャン
    バに結合することによって前記チャンバの圧力を1ない
    し20ミリトルに維持するステップとをさらに含む請求
    項1に記載の方法。
  8. 【請求項8】前記バイアス電源の印加ステップが、前記
    窒化ケイ素層とは反対側の前記多層構造体表面にRF電
    源を印加するステップを含む請求項1に記載の方法。
  9. 【請求項9】窒化ケイ素層上の酸化ケイ素物マスク層を
    含む多層構造体を支持体上に配置した高密度プラズマ装
    置を準備し、フルオロカーボン・ガス、水素源、および
    垂直方向におけるイオン強化エッチング作用の下に堆積
    層の除去を助けるための、かつ、フルオロカーボンとの
    反応による元のフルオロカーボンよりも高い揮発性の反
    応生成物COFxの生成により気相プラズマ・ケミスト
    リのC/F比を低い値に切り換えて窒化ケイ素のエッチ
    ング速度を増加する作用を達成するための弱いオキシダ
    ント源の3成分から本質的に成るエッチャント・ガスを
    プラズマ発生機構に曝し励起させることにより高密度プ
    ラズマを生成するステップと、 前記多層構造体に所定のバイアス電位を印加し前記窒化
    ケイ素層に到達する前記高密度プラズマの方向性を制御
    して前記マスク層を介して前記窒化ケイ素層内に高アス
    ベスト比フィーチャを高選択比、高速度で異方性プラズ
    マ・エッチングするステップと、 を含む高アスベスト比フィーチャの窒化ケイ素層内への
    異方性プラズマ・エッチング方法。
  10. 【請求項10】(a)CF4、C26、およびC38
    らなるグループから選択されるフルオロカーボン・ガス
    と、 (b)CH22、CH3F、およびH2からなるグループ
    から選択される水素源と、 (c)CO、CO2、およびO2からなるグループから選
    択され、垂直方向におけるイオン強化エッチング作用の
    下に堆積層の除去を助けるための、かつ、フルオロカー
    ボンとの反応による元のフルオロカーボンよりも高い揮
    発性の反応生成物COFxの生成により気相プラズマ・
    ケミストリのC/F比を低い値に切り換えて窒化ケイ素
    のエッチング速度を増加する作用を達成するための弱い
    オキシダントと、 の3成分から本質的に成るエッチャント・ガスを窒化ケ
    イ素層上の酸化ケイ素物マスク層を含む多層構造体を配
    置した高高密度プラズマ・エッチング・チャンバ中に導
    入するステップと、 高密度プラズマを形成するために前記エッチャント・ガ
    スをプラズマ発生機構に曝すことによって前記エッチャ
    ント・ガスを励起するステップと、 前記多層構造体にバイアス電源を印加することによって
    前記多層構造体上の前記高密度プラズマのバイアスを制
    御するステップと、 少なくとも2:1のアスペクト比を有するフィーチャを
    前記窒化ケイ素層内にエッチングするために前記高密度
    プラズマを前記窒化ケイ素層に導入するステップと、 を含む窒化ケイ素層、基板、酸化ケイ素層、およびレジ
    スト層を含む多層構造体から窒化ケイ素層を異方性エッ
    チングする方法。
  11. 【請求項11】前記フィーチャが少なくとも6:1のア
    スペクト比を有する請求項10に記載の方法。
  12. 【請求項12】前記フルオロカーボン・ガスがC26
    あり、 前記水素源がCH3Fであり、 前記弱いオキシダントがCOである請求項1,9または
    10に記載の方法。
  13. 【請求項13】前記エッチャント・ガスが実質的に4容
    積%〜20容積%のフルオロカーボン・ガス、実質的に
    10容積%〜30容積%の水素源および実質的に40容
    積%〜70容積%の弱いオキシダントから成る請求項
    1,9または10に記載の方法。
JP21048298A 1997-08-15 1998-07-27 高密度プラズマ中での高アスペクト比フィーチャ用の異方性選択的窒化物エッチング方法 Expired - Fee Related JP3155513B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/912216 1997-08-15
US08/912,216 US6051504A (en) 1997-08-15 1997-08-15 Anisotropic and selective nitride etch process for high aspect ratio features in high density plasma

Publications (2)

Publication Number Publication Date
JPH11102896A JPH11102896A (ja) 1999-04-13
JP3155513B2 true JP3155513B2 (ja) 2001-04-09

Family

ID=25431538

Family Applications (1)

Application Number Title Priority Date Filing Date
JP21048298A Expired - Fee Related JP3155513B2 (ja) 1997-08-15 1998-07-27 高密度プラズマ中での高アスペクト比フィーチャ用の異方性選択的窒化物エッチング方法

Country Status (3)

Country Link
US (1) US6051504A (ja)
EP (1) EP0908940A3 (ja)
JP (1) JP3155513B2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6735020B2 (en) 2001-08-07 2004-05-11 Canon Kabushiki Kaisha Zoom lens and image pickup apparatus

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3808902B2 (ja) * 1996-10-11 2006-08-16 東京エレクトロン株式会社 プラズマエッチング方法
US6380096B2 (en) * 1998-07-09 2002-04-30 Applied Materials, Inc. In-situ integrated oxide etch process particularly useful for copper dual damascene
US6228279B1 (en) * 1998-09-17 2001-05-08 International Business Machines Corporation High-density plasma, organic anti-reflective coating etch system compatible with sensitive photoresist materials
US6297163B1 (en) 1998-09-30 2001-10-02 Lam Research Corporation Method of plasma etching dielectric materials
US6217786B1 (en) * 1998-12-31 2001-04-17 Lam Research Corporation Mechanism for bow reduction and critical dimension control in etching silicon dioxide using hydrogen-containing additive gases in fluorocarbon gas chemistry
US6461529B1 (en) 1999-04-26 2002-10-08 International Business Machines Corporation Anisotropic nitride etch process with high selectivity to oxide and photoresist layers in a damascene etch scheme
US6461962B1 (en) * 1999-09-01 2002-10-08 Tokyo Electron Limited Etching method
US6507155B1 (en) 2000-04-06 2003-01-14 Applied Materials Inc. Inductively coupled plasma source with controllable power deposition
US6362109B1 (en) * 2000-06-02 2002-03-26 Applied Materials, Inc. Oxide/nitride etching having high selectivity to photoresist
US6962879B2 (en) 2001-03-30 2005-11-08 Lam Research Corporation Method of plasma etching silicon nitride
US6670278B2 (en) 2001-03-30 2003-12-30 Lam Research Corporation Method of plasma etching of silicon carbide
JP2002319574A (ja) * 2001-04-23 2002-10-31 Nec Corp 窒化シリコン膜の除去方法
US6645848B2 (en) 2001-06-01 2003-11-11 Emcore Corporation Method of improving the fabrication of etched semiconductor devices
CN100559554C (zh) * 2001-08-31 2009-11-11 东京毅力科创株式会社 被处理体的蚀刻方法
US6977184B1 (en) * 2001-10-31 2005-12-20 Lam Research Corporation Method and apparatus for nitride spacer etch process implementing in situ interferometry endpoint detection and non-interferometry endpoint monitoring
US20030121888A1 (en) * 2001-11-30 2003-07-03 Kenji Adachi Etching method
US20030228768A1 (en) * 2002-06-05 2003-12-11 Applied Materials, Inc. Dielectric etching with reduced striation
US20040018741A1 (en) * 2002-07-26 2004-01-29 Applied Materials, Inc. Method For Enhancing Critical Dimension Uniformity After Etch
US20040084411A1 (en) * 2002-10-31 2004-05-06 Applied Materials, Inc. Method of etching a silicon-containing dielectric material
US20040087153A1 (en) * 2002-10-31 2004-05-06 Yan Du Method of etching a silicon-containing dielectric material
US7083903B2 (en) * 2003-06-17 2006-08-01 Lam Research Corporation Methods of etching photoresist on substrates
JP2006032801A (ja) * 2004-07-20 2006-02-02 Nec Electronics Corp 半導体装置の製造方法
US7338907B2 (en) * 2004-10-04 2008-03-04 Sharp Laboratories Of America, Inc. Selective etching processes of silicon nitride and indium oxide thin films for FeRAM device applications
US7605063B2 (en) * 2006-05-10 2009-10-20 Lam Research Corporation Photoresist stripping chamber and methods of etching photoresist on substrates
US8268184B2 (en) * 2010-06-29 2012-09-18 Tokyo Electron Limited Etch process for reducing silicon recess
US8501630B2 (en) 2010-09-28 2013-08-06 Tokyo Electron Limited Selective etch process for silicon nitride
CN103531464B (zh) * 2012-07-03 2017-03-22 中国科学院微电子研究所 氮化硅高深宽比孔的刻蚀方法
CN103578973B (zh) * 2012-07-29 2017-09-05 中国科学院微电子研究所 氮化硅高深宽比孔的循环刻蚀方法
JP6257638B2 (ja) 2012-10-30 2018-01-10 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 高アスペクト比酸化物エッチング用のフルオロカーボン分子
JP6295130B2 (ja) * 2014-04-22 2018-03-14 株式会社日立ハイテクノロジーズ ドライエッチング方法
US9520301B2 (en) 2014-10-21 2016-12-13 Samsung Electronics Co., Ltd. Etching method using plasma, and method of fabricating semiconductor device including the etching method
JP2016119344A (ja) * 2014-12-19 2016-06-30 株式会社日立ハイテクノロジーズ プラズマ処理方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4511430A (en) * 1984-01-30 1985-04-16 International Business Machines Corporation Control of etch rate ratio of SiO2 /photoresist for quartz planarization etch back process
US5102817A (en) * 1985-03-21 1992-04-07 Texas Instruments Incorporated Vertical DRAM cell and method
IT1204243B (it) * 1986-03-06 1989-03-01 Sgs Microelettronica Spa Procedimento autoallineato per la fabbricazione di celle dmos di piccole dimensioni e dispositivi mos ottenuti mediante detto procedimento
US4857140A (en) * 1987-07-16 1989-08-15 Texas Instruments Incorporated Method for etching silicon nitride
WO1990005994A1 (en) * 1988-11-18 1990-05-31 Kabushiki Kaisha Tokuda Seisakusho Dry-etching method
US5201993A (en) * 1989-07-20 1993-04-13 Micron Technology, Inc. Anisotropic etch method
US4978420A (en) * 1990-01-03 1990-12-18 Hewlett-Packard Company Single chamber via etch through a dual-layer dielectric
US5302535A (en) * 1991-09-20 1994-04-12 Nec Corporation Method of manufacturing high speed bipolar transistor
JP3215151B2 (ja) * 1992-03-04 2001-10-02 株式会社東芝 ドライエッチング方法
US5286344A (en) * 1992-06-15 1994-02-15 Micron Technology, Inc. Process for selectively etching a layer of silicon dioxide on an underlying stop layer of silicon nitride
JPH06267907A (ja) * 1993-03-10 1994-09-22 Sony Corp ドライエッチング方法
US5770098A (en) * 1993-03-19 1998-06-23 Tokyo Electron Kabushiki Kaisha Etching process
JP3253215B2 (ja) * 1993-03-31 2002-02-04 東京エレクトロン株式会社 エッチング方法及びエッチング装置
JPH07161702A (ja) * 1993-10-29 1995-06-23 Applied Materials Inc 酸化物のプラズマエッチング方法
US5610099A (en) * 1994-06-28 1997-03-11 Ramtron International Corporation Process for fabricating transistors using composite nitride structure
US5491099A (en) * 1994-08-29 1996-02-13 United Microelectronics Corporation Method of making silicided LDD with recess in semiconductor substrate
US5983828A (en) * 1995-10-13 1999-11-16 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
US5814563A (en) * 1996-04-29 1998-09-29 Applied Materials, Inc. Method for etching dielectric using fluorohydrocarbon gas, NH3 -generating gas, and carbon-oxygen gas

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6735020B2 (en) 2001-08-07 2004-05-11 Canon Kabushiki Kaisha Zoom lens and image pickup apparatus

Also Published As

Publication number Publication date
EP0908940A3 (en) 2000-09-20
US6051504A (en) 2000-04-18
JPH11102896A (ja) 1999-04-13
EP0908940A2 (en) 1999-04-14

Similar Documents

Publication Publication Date Title
JP3155513B2 (ja) 高密度プラズマ中での高アスペクト比フィーチャ用の異方性選択的窒化物エッチング方法
KR101029947B1 (ko) 플라즈마 에칭 성능 강화를 위한 방법
TW538476B (en) Magnetically enhanced plasma etch process using a heavy fluorocarbon etching gas
US6833325B2 (en) Method for plasma etching performance enhancement
KR101083623B1 (ko) 가스 화학물질의 주기적 조절을 사용하는 플라즈마 에칭방법
US6133156A (en) Anisotropic etch method
US6284149B1 (en) High-density plasma etching of carbon-based low-k materials in a integrated circuit
JP4657458B2 (ja) 低容量の誘電体層をエッチングするための技術
US6426300B2 (en) Method for fabricating semiconductor device by using etching polymer
KR101476435B1 (ko) 다중-레이어 레지스트 플라즈마 에치 방법
US4473435A (en) Plasma etchant mixture
JP2000340552A (ja) 酸化物及びフォトレジスト層に対して高度の選択性を有する異方性窒化物エッチング法
IL190716A (en) Method for plasma etching
US6136722A (en) Plasma etching method for forming hole in masked silicon dioxide
JPH10116823A (ja) メタルポリサイド構造体のエッチング方法
KR20100109832A (ko) 드라이 에칭방법
US20020003126A1 (en) Method of etching silicon nitride
US5271799A (en) Anisotropic etch method
US20090068767A1 (en) Tuning via facet with minimal rie lag
EP0246514A2 (en) Deep trench etching of single crystal silicon
JP2006517743A (ja) プラズマ処理システム内でエッチングしながらフォトレジスト歪みを低減する方法
US6653237B2 (en) High resist-selectivity etch for silicon trench etch applications
JPH11111680A (ja) エッチング方法
WO2006019849A1 (en) Low-k dielectric etch
JP3363782B2 (ja) 集積回路構造の選択性の高い酸化物エッチングプロセス

Legal Events

Date Code Title Description
LAPS Cancellation because of no payment of annual fees