JP2768910B2 - 半導体集積装置におけるスキャンテスト回路 - Google Patents

半導体集積装置におけるスキャンテスト回路

Info

Publication number
JP2768910B2
JP2768910B2 JP7038578A JP3857895A JP2768910B2 JP 2768910 B2 JP2768910 B2 JP 2768910B2 JP 7038578 A JP7038578 A JP 7038578A JP 3857895 A JP3857895 A JP 3857895A JP 2768910 B2 JP2768910 B2 JP 2768910B2
Authority
JP
Japan
Prior art keywords
scan
signal
message
mode
circuit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP7038578A
Other languages
English (en)
Other versions
JPH08235896A (ja
Inventor
賢一 藤崎
Original Assignee
日本モトローラ株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日本モトローラ株式会社 filed Critical 日本モトローラ株式会社
Priority to JP7038578A priority Critical patent/JP2768910B2/ja
Priority to US08/587,167 priority patent/US5734660A/en
Priority to KR1019960001849A priority patent/KR100289594B1/ko
Publication of JPH08235896A publication Critical patent/JPH08235896A/ja
Application granted granted Critical
Publication of JP2768910B2 publication Critical patent/JP2768910B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318572Input/Output interfaces
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/22Detection or location of defective computer hardware by testing during standby operation or during idle time, e.g. start-up testing

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Quality & Reliability (AREA)
  • Tests Of Electronic Circuits (AREA)
  • Test And Diagnosis Of Digital Computers (AREA)
  • For Increasing The Reliability Of Semiconductor Memories (AREA)
  • Semiconductor Integrated Circuits (AREA)

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は、故障診断を容易化する
ために半導体集積装置に採用されるスキャンテスト回路
に関する。
【0002】
【従来技術】現在、LSI(Large Scale Integrated C
ircuit)等の半導体集積装置においては、その製品出荷
前に実施される故障診断テストを容易にすべく、かかる
半導体集積装置の構成回路中にテスト容易化回路を付加
するようにした設計手法が採用されている。
【0003】スキャンパス設計は、かかる設計手法の一
つである。このスキャンパス設計においては、半導体集
積装置の構成回路中に存在する順序回路の全てのメモリ
素子(フリップフロップ等)をその故障診断テスト時に
限り、連結した一連のシフトレジスタとなるように設計
するものである。スキャンパス方式による故障診断テス
トでは、かかるシフトレジスタによるビットシフト動作
を利用して、シリアルにて所望の故障診断用テストデー
タを半導体集積装置の構成回路中に存在する全てのメモ
リ素子各々に供給する。更に、かかるテストデータに基
づいて実際に上記構成回路を動作させた際に、かかるメ
モリ素子各々に記憶保持された内容を、かかるシフトレ
ジスタによるビットシフト動作を利用して、シリアルに
て順次出力出来るようにしたのである。この際、かかる
シフトレジスタによるビットシフト系をスキャンパスと
称する。
【0004】図1は、図2に示されるが如き、Dラッチ
D1〜D3、組み合わせ回路網10及び20からなる回
路ブロックに、上記スキャンパス設計を適用した場合の
回路構成の一例を示す図である。図1の構成において
は、図2にて示されるDラッチD1〜D3の各々が、図
3に示されるが如き内部構成からなるスキャンラッチS
F1〜SF3に変更される。
【0005】この図3にて示されているスキャンラッチ
は、セレクタSL及びDラッチDから構成されており、
その入力端SEに、論理値「0」の信号が供給された場
合には、その入力端Dに供給された信号をクロック信号
の供給タイミングに応じて取り込んでこれを記憶保持し
つつ出力端Yから出力する一方、その入力端SEに、論
理値「1」の信号が供給された場合には、その入力端D
Sに供給された信号をクロック信号の供給タイミングに
応じて取り込んでこれを記憶保持しつつその出力端Yか
ら出力するものである。
【0006】従って、かかるスキャンラッチを備えた図
1にて示される構成においては、スキャンイネーブル信
号SENの論理値が「0」である場合には、上記図2に
て示される回路構成と同一機能となるが、このスキャン
イネーブル信号の論理値が「1」ある場合には、スキャ
ンラッチSF1、SF2及びSF3夫々が連結したシフ
トレジスタとして動作するようになる。この際、かかる
シフトレジスタは、スキャンインSINから、テストデ
ータのシリアル入力を行い、かつスキャンアウトSOU
Tから、スキャンラッチSF1、SF2及びSF3各々
に記憶されているデータをシリアル出力するというスキ
ャンパスを形成するのである。
【0007】次に、上述の如きスキャンパス設計が施さ
れた回路ブロックを備えたLSIに対して実施される故
障診断テストの手順について述べる。図4は、かかる故
障診断テストを実施する際の構成を示す図である。尚、
図4において、故障診断テスト対象となるLSI200
の内部には、上記図1に示されるが如きスキャンパス設
計が施された回路ブロックが形成されているものとす
る。この際、かかる回路ブロックにおける各入力及び出
力信号は、LSI200のパッケージピンを介して、L
SIテスタ250に接続されている。
【0008】かかる構成において、先ず、LSIテスタ
250は、論理値「1」のスキャンイネーブル信号と共
に、故障診断用テストデータをスキャンインデータとし
てLSI200に供給する。かかる動作に応じて、上記
故障診断用テストデータは、図1に示されるが如き回路
ブロック中のスキャンラッチSF1、SF2、SF3の
順にシフト伝送されつつ各々に供給される(スキャンイ
ンモード)。
【0009】次に、LSIテスタ250は、論理値
「0」のスキャンイネーブル信号をかかる回路ブロック
に供給する。かかる動作に応じて、上記スキャンインモ
ード動作にて設定されたスキャンラッチSF1、SF
2、SF3の記憶内容を初期値として、上記回路ブロッ
ク本来の回路動作が実施される(RUNモード)。次
に、LSIテスタ250は、再び論理値「1」のスキャ
ンイネーブル信号をかかる回路ブロックに供給する。か
かる動作に応じて、上記RUNモード動作による動作結
果として、スキャンラッチSF1〜SF3各々の記憶内
容が、スキャンラッチSF3、SF2、SF1の順にシ
フト伝送されつつスキャンアウト出力される(スキャン
アウトモード)。
【0010】次に、LSIテスタ250は、このスキャ
ンアウト出力されたデータ値と、予測期待値とを比較し
て、これらが互いに不一致となった場合に、この回路ブ
ロックが故障していると判定する。尚、上記故障診断用
テストデータ及びその予測期待値は、上記回路ブロック
の設計段階において、予め生成されているものとする。
【0011】以上の如く、図2にて示されるが如き回路
構成の回路ブロック中に、図1にて示されるが如きスキ
ャンパス設計を施すことにより、入力信号IN1及びI
2を用いることなく、かつ組合せ回路網10の論理構
成を考慮せずに、故障診断用テストデータを直接、順序
回路中の全てのDラッチに供給することが出来るように
なる。更に、かかるテストデータに基づいて実際に上記
回路ブロックを動作させた際に、各Dラッチに記憶保持
された内容を組合せ回路網20及び出力信号Oを介さず
とも、直接モニタすることが出来るのである。
【0012】よって、この際、上記順序回路を疑似的に
組み合せ回路と考えて故障テストすることが出来るの
で、故障診断用テストデータの生成及びかかるテストデ
ータに基づいた故障診断テストを容易に為されるように
なるのである。しかしながら、上述した如きスキャンパ
ス方式を採用すると、その故障診断テスト実施のためだ
けに、スキャンイン、スキャンイネーブル、及びスキャ
ンアウト用入力及び出力ピンをLSIに用意しなければ
ならない。この際、LSI自体が保有する総ピン数には
限度があるため、本来、LSIに形成されるべき構成回
路が使用するパッケージピン数が多いと、上記スキャン
テスト用ピンを設けることが出来なくなるという問題が
発生した。
【0013】特に、冗長的に同一機能の回路ブロックを
複数形成して、かつこれら複数の回路ブロック各々に対
して個別に故障判定を行えるようにスキャン設計を施し
ておき、その故障診断テスト時に故障無しと判定された
回路ブロックのみを選択的に使用できるような回路構成
とすることにより歩留まりを上げるように為された半導
体集積装置においては、上記スキャンイン及びスキャン
アウト用ピンの他に、かかる回路ブロックの数だけスキ
ャンイネーブル用の入力ピンを設ける必要があるので、
スキャンパス方式を適用することが出来なくなるのであ
る。
【0014】
【発明が解決しようとする課題】本発明は、かかる問題
を解決すべくなされたものであり、スキャンテスト用に
設けるパッケージピン数を少なくした半導体集積装置に
おけるスキャンテスト回路を提供することを目的とす
る。
【0015】
【課題を解決するための手段】本発明による半導体集積
装置におけるスキャンテスト回路は、イネーブル信号に
応じてスキャンイン信号をクロック信号毎に順次シフト
しつつ取り込んで記憶保持する一方、記憶保持された内
容を前記クロック信号毎に順次シフトしつつスキャンア
ウトするスキャンラッチを有する回路ブロックを備えた
半導体集積装置におけるスキャンテスト回路であって、
スキャンモードを指定するスキャンモードタイプ情報及
び故障診断用テストデータとしてのスキャンインデータ
各々がシリアルにて連結してなる信号をスキャンメッセ
ージ信号として入力する一方、出力制御信号に応じて前
記スキャンアウトされた信号を前記スキャンメッセージ
信号として出力するスキャンメッセージ入出力手段と、
前記スキャンメッセージ信号から前記スキャンモードタ
イプ情報を分離抽出すると共に、前記スキャンメッセー
ジ信号から前記スキャンインデータを分離抽出してこれ
を前記スキャンイン信号とするメッセージ分離手段と、
前記スキャンモードタイプ情報がスキャンインモードで
ある場合は前記回路ブロックに前記イネーブル信号を供
給する一方、前記スキャンモードタイプ情報がスキャン
アウトモードである場合には前記回路ブロックに前記イ
ネーブル信号を供給すると共に前記スキャンメッセージ
入出力手段に前記出力制御信号を供給するモードデコー
ダとを有する。
【0016】
【発明の作用】実施すべきスキャンモードを指定するス
キャンモードタイプ情報、及び故障診断用テストデータ
としてのスキャンインデータ各々がシリアルにて連結し
てなる信号をスキャンメッセージ信号として入力し、こ
のスキャンメッセージ信号から上記スキャンモードタイ
プ情報及びスキャンインデータ各々を分離抽出する。こ
の際、かかるスキャンモードタイプ情報がスキャンイン
モードである場合には、該当する回路ブロックにイネー
ブル信号を供給して上記スキャンインデータをこの回路
ブロック内部に形成されているスキャンラッチに記憶保
持せしめる。一方、上記スキャンモードタイプ情報がス
キャンアウトモードである場合には、該当する回路ブロ
ックにイネーブル信号を供給して、かかる回路ブロック
内部に形成されているスキャンラッチ各々に記憶保持さ
れている内容をスキャンアウトせしめてこれをスキャン
メッセージ信号として出力する。
【0017】
【実施例】図5は、本発明によるスキャンテスト回路を
備えたLSI200’、及びこのLSI200’に対し
て故障診断テストを実施するLSIテスタ250’を示
す図である。図5において、LSIテスタ250’は、
所定周波数のスキャンテスト用クロック信号をLSI2
00’に供給する。この際、かかるLSIテスタ25
0’及びLSI200’の各々は、1ビットシリアルで
ありかつ双方向のスキャンメッセージ信号により、故障
診断テストとしてのスキャンテストを遂行する。
【0018】図6は、かかるスキャンメッセージ信号の
信号フォーマットの一例を示す図である。図6におい
て、スキャンメッセージ信号aは、LSIテスタ25
0’からLSI200’に送出される信号である。かか
るスキャンメッセージ信号aにおけるスキャンメッセー
ジビットの先頭ビット0には、このスキャンメッセージ
信号aの開始位置を示す論理値「1」のスタートビット
STが割り当てられている。又、そのスキャンメッセー
ジビット1〜3には、実施すべきスキャンテストモード
がスキャンインモード、RUNモード、スキャンアウト
モードのいずれであるかを示す情報、及びスキャンイン
又はスキャンアウト動作対象となる回路ブロックを指定
する情報がスキャンモードタイプとして割り当てられて
いる。又、そのスキャンメッセージビット4〜11に
は、上記スキャンモードタイプにて指定された回路ブロ
ックに供給すべきテストクロック信号のクロック数を指
定する情報が割り当てられている。更に、そのスキャン
メッセージビット12〜267には、上記回路ブロック
に供給すべき故障診断用テストデータがスキャンインデ
ータとして割り当てられる。尚、かかるスキャンインデ
ータは、上記スキャンモードタイプにて指定されたスキ
ャンテストモードがスキャンインモードである場合のみ
に上記ビット12〜267内に割り当てられるものであ
り、そのデータ長は可変長である。
【0019】一方、図6におけるスキャンメッセージ信
号bは、LSI200’からLSIテスタ250’に送
出される信号である。この際、かかるスキャンメッセー
ジ信号bは、LSI200’の回路ブロックからシリア
ル送出されたスキャンアウトデータを示すものである。
このスキャンメッセージ信号bは、LSIテスタ25
0’から、スキャンモードタイプがスキャンアウトモー
ドとなっているスキャンメッセージ信号aが送出されて
きた場合に、これに応じてLSI200’からLSIテ
スタ250’へ送出されるものである。
【0020】図7は、本発明によるスキャンテスト回路
としてのスキャンテストコントローラ300を備えたL
SI200’の内部構成の一例を示す図である。尚、か
かる図7にて示されるLSI200’においては、その
構成回路として3つの回路ブロック101、102及び
103が存在するものとし、更に、これら回路ブロック
の各々には、図1に示されるが如きスキャンパス設計が
施されているものとする。又、この図7にて示される実
施例においては、スキャンテストを司るための信号のみ
が記載されており、この際、回路ブロック101、10
2及び103各々から入力及び出力される信号、及びこ
れらをLSI200’の外部にインターフェースするた
めのパッケージピンについては、その記載を省略してあ
る。尚、これら回路ブロックに記載されている入力端S
EN、入力端SIN、入力端SCLK、及び出力端SO
UTは、夫々、図1に示されているスキャンイネーブル
信号、スキャンイン、スキャンテスト用クロック信号、
及びスキャンアウトに相当するものである。
【0021】図7において、LSIテスタ250’から
供給された図6にて示されるが如きスキャンメッセージ
信号aは、LSI200’のスキャンテスト用双方向パ
ッケージピン201を介してシリアルにてスキャンテス
トコントローラ300に供給される。一方、スキャンテ
ストコントローラ300から出力された図6にて示され
るが如きスキャンメッセージ信号bは、上記スキャンテ
スト用双方向パッケージピン201を介してシリアルに
てLSIテスタ250’に送出される。LSIテスタ2
50’から供給された所定周波数のスキャンテスト用ク
ロック信号は、LSI200’のパッケージピン202
を介してスキャンテストコントローラ300に供給され
る。
【0022】図8は、かかるスキャンテストコントロー
ラ300の内部構成の一例を示す図である。スキャンテ
ストコントローラ300の双方向バッファ301は、上
記スキャンテスト用双方向パッケージピン201を介し
てシリアルにて供給されてくる図6に示されるが如きス
キャンメッセージ信号aをメッセージ分離回路302に
供給する。
【0023】メッセージ分離回路302は、かかるスキ
ャンメッセージ信号aから、図6に示されているスキャ
ンモードタイプ情報、指定クロック数情報、及びスキャ
ンインデータの各々を分離抽出する。この際、メッセー
ジ分離回路302は、この分離抽出したスキャンモード
タイプ情報に対応したスキャンモードタイプ情報信号を
モードデコーダ303に供給する。又、メッセージ分離
回路302は、この分離抽出したスキャンインデータに
対応したスキャンインデータ信号をシリアルのまま図7
に示されている回路ブロック101〜103各々の入力
端SINに供給する。更に、メッセージ分離回路302
は、この分離抽出した指定クロック数情報にて指定され
たクロック数の分だけテストクロック信号を発生してこ
れを回路ブロック101〜103各々の入力端SCLK
に供給する。
【0024】図9は、かかるメッセージ分離回路302
の内部構成の一例を示す図である。図9において、8ビ
ットシフト・ロードレジスタ31は、上記Dフリップフ
ロップ32から論理値「0」の信号が供給されている場
合には8ビットシフトレジスタとして機能し、この際、
上記スキャンメッセージ信号aをクロック信号のタイミ
ングにて順次取り込んで、これを8ビットシフトさせつ
つ次段の3ビットシフトレジスタ33にシリアル供給す
る。一方、かかる8ビットシフト・ロードレジスタ31
は、Dフリップフロップ32から論理値「1」の信号が
供給されている場合には8ビットロードレジスタとして
機能し、この際、後述するデクリメンタ34から供給さ
れた8ビット分のデクリメントデータ信号を上記クロッ
ク信号のタイミングにてパラレルに取り込みこれをデク
リメンタ34に供給する。
【0025】デクリメンタ34は、上記8ビットシフト
・ロードレジスタ31から供給された8ビットデータ信
号の値から1を減算してこの減算値に対応したデクリメ
ントデータ信号をかかる8ビットシフト・ロードレジス
タ31及び0判定回路35に供給する。つまり、8ビッ
トシフト・ロードレジスタ31及びデクリメンタ34か
らなる構成は、Dフリップフロップ32から論理値
「0」の信号が供給されている場合には8ビットのシフ
トレジスタとして動作する一方、かかるDフリップフロ
ップ32から論理値「1」の信号が供給されている場合
には、上記シフトレジスタ動作にて最終的に記憶された
8ビットの内容を初期値としたダウンカウンタとして動
作するのである。
【0026】0判定回路35は、Dフリップフロップ3
2から論理値「1」の信号が供給されている場合にの
み、上記デクリメンタ34から供給されてくるデクリメ
ントデータ信号の値が0であるか否かの判定を行い、か
かるデクリメントデータ信号の値が0であると判定され
た場合にのみリセットパルス信号を発生してこれをDフ
リップフロップ32及び3ビットシフトレジスタ33の
各々に供給する。つまり、0判定回路35は、8ビット
シフト・ロードレジスタ31及びデクリメンタ34によ
るダウンカウント動作により、そのダウンカウント値が
0になった場合にのみ上記リセットパルス信号を発生し
てこれをDフリップフロップ32及び3ビットシフトレ
ジスタ33の各々に供給するのである。
【0027】アンドゲート36は、上記Dフリップフロ
ップ32から論理値「0」の信号が供給されている場合
には、上記クロック信号をDフリップフロップ32及び
3ビットシフトレジスタ33の各々に供給する一方、か
かるDフリップフロップ32から論理値「1」の信号が
供給されている場合には、上記クロック信号の供給を停
止する。
【0028】3ビットシフトレジスタ33は、シフト接
続された3つのDフリップフロップから構成されてい
る。かかる3ビットシフトレジスタ33は、アンドゲー
ト36を介してクロック信号が供給されている場合に
は、上記8ビットシフト・ロードレジスタ31からシリ
アル供給されてくる信号をかかるクロック信号のタイミ
ングにて3ビットシフトさせつつこれをDフリップフロ
ップ32に供給する。尚、3ビットシフトレジスタ33
は、かかるアンドゲート36からクロック信号が供給さ
れてこない場合にはそのシフト動作を停止して、この
際、各Dフリップフロップに記憶保持されている3ビッ
ト分の信号を出力バッファ37に供給する。又、これら
Dフリップフロップに記憶保持されている内容は、0判
定回路35からリセットパルス信号が供給された場合に
オール「0」にリセットされる。
【0029】Dフリップフロップ32は、アンドゲート
36を介してクロック信号が供給されている場合には、
上記3ビットシフトレジスタ33からシリアル供給され
てくる信号をかかるクロック信号のタイミングにて取り
込みこれを上記8ビットシフト・ロードレジスタ31、
0判定回路35、アンドゲート36、出力バッファ37
〜39の各々に供給する。尚、かかるDフリップフロッ
プ32に記憶保持されている内容は、0判定回路35か
らリセットパルス信号が供給された場合に「0」にリセ
ットされる。
【0030】出力バッファ37は、かかるDフリップフ
ロップ32から論理値「1」の信号が供給されている期
間にのみ、上記3ビットシフトレジスタ33から供給さ
れた3ビットの信号をスキャンモードタイプ情報信号と
してモードデコーダ303に供給する。出力バッファ3
8は、かかるDフリップフロップ32から論理値「1」
の信号が供給されている期間にのみ、スキャンメッセー
ジ信号aをスキャンインデータ信号として図7に示され
ている回路ブロック101〜103各々の入力端SIN
に供給する。出力バッファ39は、かかるDフリップフ
ロップ32から論理値「1」の信号が供給されている期
間にのみ、上記クロック信号をテストクロック信号とし
て回路ブロック101〜103各々の入力端SCLKに
供給する。
【0031】以上の如く、かかる図9にて示されるが如
き構成からなるメッセージ分離回路302においては、
8ビットシフト・ロードレジスタ31、3ビットシフト
レジスタ33及びDフリップフロップ32なる構成によ
り、図6にて示されるスキャンメッセージ信号aのビッ
ト0〜11を順次シフトしつつ取り込む。この際、Dフ
リップフロップ32に論理値「1」のスタートビットS
Tが取り込まれた時点にて、アンドゲート36からのク
ロック信号の供給が停止して、3ビットシフトレジスタ
33及びDフリップフロップ32によるシフト動作が停
止する。よって、かかる時点において3ビットシフトレ
ジスタ33に記憶されている内容は、図6にて示される
スキャンメッセージ信号aのビット1〜3、すなわちス
キャンモードタイプ情報となり、一方、8ビットシフト
・ロードレジスタ31に記憶されている内容は、図6に
て示されるスキャンメッセージ信号aのビット4〜1
1、すなわち指定クロック数情報となるのである。又、
この際、8ビットシフト・ロードレジスタ31及びデク
リメンタ34は、8ビットシフトレジスタとしての機能
から、8ビットダウンカウンタとしての機能に切り替わ
り、上記指定クロック数情報が示すクロック数を初期値
としたダウンカウント動作を行う。このダウンカウント
動作によりカウント値が0になった場合に3ビットシフ
トレジスタ33及びDフリップフロップ32の記憶内容
がリセットされて0になる。
【0032】つまり、Dフリップフロップ32に論理値
「1」のスタートビットSTが取り込まれてから、上記
指定クロック数情報が示すクロック数の分だけ上記クロ
ック信号が供給されるまでの期間に亘り、Dフリップフ
ロップ32は、論理値「1」の信号を出力バッファ37
〜39の各々に供給しつづけるのである。よって、この
期間中においてのみ、上記3ビットシフトレジスタ33
に記憶されているスキャンモードタイプ情報信号が出力
バッファ37を介してモードデコーダ303に供給され
るのである。又、かかる期間中においてのみ出力バッフ
ァ38が出力イネーブル状態となるので、図6にて示さ
れるスキャンメッセージ信号a中のビット12以降の情
報、すなわちスキャンインデータが、この出力バッファ
38を介して図7に示されている回路ブロック101〜
103各々の入力端SINに供給されるのである。更
に、上記の期間中においてのみ出力バッファ39が出力
イネーブル状態となるので、上記指定クロック数情報が
示すクロック数の分だけテストクロック信号が、回路ブ
ロック101〜103各々の入力端SCLKに供給され
るのである。
【0033】モードデコーダ303は、かかるメッセー
ジ分離回路302から供給されたスキャンモードタイプ
情報信号、すなわち図6に示されているスキャンメッセ
ージビット1〜3に応じて、実施すべきスキャンテスト
モード及びスキャン動作対象となる回路ブロック各々を
解読し、この解読に応じた出力制御信号、及びイネーブ
ル信号1〜3を出力する。
【0034】図10は、かかるモードデコーダ303の
動作を示す真理値表の一例である。この際、モードデコ
ーダ303から出力された出力制御信号は、双方向バッ
ファ301の出力制御端に供給される。一方、かかるモ
ードデコーダ303から出力されたイネーブル信号1
は、図7にて示される回路ブロック101の入力端SE
Nに供給される。又、モードデコーダ303から出力さ
れたイネーブル信号2は、回路ブロック102の入力端
SENに供給される。又、モードデコーダ303から出
力されたイネーブル信号3は、回路ブロック103の入
力端SENに供給される。
【0035】ここで、かかる図7にて示される回路ブロ
ック101〜103各々の出力端SOUTから出力され
たスキャンアウト信号1〜3は、図8に示されるが如き
スキャンテストコントローラ300の論理和回路305
に供給される。論理和回路305は、かかるスキャンア
ウト信号1〜3の論理和出力を上述した如きスキャンメ
ッセージ信号bとして、双方向バッファ301の出力信
号入力端に供給する。
【0036】双方向バッファ301は、上記モードデコ
ーダ303から論理値「0」の出力制御信号が供給され
た場合は、図7にて示されるスキャンテスト用双方向パ
ッケージピン201を介して入力されてくるスキャンメ
ッセージ信号aを取り込んでこれをメッセージ分離回路
302に供給する一方、上記モードデコーダ303から
論理値「1」の出力制御信号が供給された場合は、上記
論理和回路305から供給されてくるスキャンメッセー
ジ信号bを図7にて示されるスキャンテスト用双方向パ
ッケージピン201を介して出力する。
【0037】次に、以上の如き図5〜図10にて示され
る構成にて実施されるスキャンテスト動作の一例につい
て述べる。尚、かかる実施例においては、図7にて示さ
れる回路ブロック101〜103の内、回路ブロック1
01のみに対してスキャンテストを行うものとし、この
際、かかる回路ブロック101の構成は、前述した図1
の回路構成であるものとする。
【0038】先ず、LSIテスタ250’は、LSI2
00’内の回路ブロック101に対してスキャンインモ
ードを実施するにあたり、図11にて示されるスキャン
メッセージ信号aをビット0〜14の順にシリアルにて
LSI200’に送出する。この際、かかるスキャンメ
ッセージ信号aは、LSI200’に設けられているス
キャンテスト用双方向パッケージピン201、及び双方
向バッファ301を介してメッセージ分離回路302に
供給される。メッセージ分離回路302は、かかるスキ
ャンメッセージ信号aにおける論理値「1」の先頭ビッ
ト0に応じて、かかる図11にて示されるスキャンメッ
セージ信号a中のメッセージビット1〜3における
「0、1、0」を抽出してこれをスキャンモードタイプ
情報信号としてモードデコーダ303に供給する。
【0039】モードデコーダ303は、この「0、1、
0」なるスキャンモードタイプ情報信号の供給に応じて
図10に示されるが如き出力を行う。つまり、モードデ
コーダ303は、論理値「1」のイネーブル信号1を回
路ブロック101の入力端SENに供給すると共に、論
理値「0」のイネーブル信号2及び3を回路ブロック1
02及び103夫々の入力端SENに供給するのであ
る。かかる動作に応じて、回路ブロック101〜103
の内、回路ブロック101のみが、その内部に形成され
ているスキャンラッチSF1〜SF3がシフトレジスタ
構成、すなわちスキャンパスを形成する。
【0040】又、上記メッセージ分離回路302は、か
かる図11にて示されるスキャンメッセージ信号a中の
メッセージビット4〜11における「0、0、0、0、
0、0、1、1」を抽出し、この分離抽出した指定クロ
ック数情報にて指定されたクロック数、すなわち3クロ
ック分だけテストクロック信号を発生してこれを回路ブ
ロック101〜103各々の入力端SCLKに供給す
る。この際、スキャンインモード時における上記指定ク
ロック数とは、構成回路中に存在するスキャンラッチの
段数に対応したものである。かかるテストクロック信号
の供給と同時に、メッセージ分離回路302は、図11
にて示されるスキャンメッセージ信号a中のメッセージ
ビット12〜14における「1、0、1」をスキャンイ
ンデータとして、これを順次シリアルにて回路ブロック
101の入力端SINに供給する。かかる動作に応じ
て、回路ブロック101の内部に形成されているスキャ
ンラッチSF1〜SF3各々は、上記「1、0、1」な
るスキャンインデータを供給クロック信号のタイミング
毎に順次シフトしつつこれを記憶保持して行く。この
際、かかる供給クロック信号のクロック数は上述の如く
3クロックであるので、最終的に、スキャンラッチSF
1には論理値「1」の信号、スキャンラッチSF2には
論理値「0」の信号、及びスキャンラッチSF3には論
理値「1」の信号が記憶保持されることになる(スキャ
ンインモード)。
【0041】次に、LSIテスタ250’は、LSI2
00’内の回路ブロック101に対してRUNモードを
実施するにあたり、図12にて示されているスキャンメ
ッセージ信号aをシリアルにてかかるLSI200’に
送出する。この際、メッセージ分離回路302は、かか
るスキャンメッセージ信号aにおける論理値「1」の先
頭ビット0に応じて、かかる図12にて示されるスキャ
ンメッセージ信号a中のメッセージビット1〜3、すな
わち「0、0、0」を抽出してこれをスキャンモードタ
イプ情報信号としてモードデコーダ303に供給する。
モードデコーダ303は、かかる「0、0、0」の如き
スキャンモードタイプ情報信号の供給に応じて図10に
示されるが如き出力を行う。つまり、モードデコーダ3
03は、論理値「0」のイネーブル信号1〜3を回路ブ
ロック101〜103夫々の入力端SENに供給するの
である。かかる動作に応じて、回路ブロック101〜1
03各々に含まれている全てのスキャンラッチは、本来
のDラッチ構成となる。つまり、上述したスキャンイン
モードにて、その内部に形成されているスキャンラッチ
SF1〜SF3がシフトレジスタ構成となっている回路
ブロック101は、そのシフトレジスタ構成が解除され
て、本来あるべき図2にて示されるが如き構成と同一機
能を有する回路になるのである。又、上記メッセージ分
離回路302は、かかる図12にて示されるスキャンメ
ッセージ信号a中のメッセージビット4〜11における
「0、0、0、0、0、0、0、1」を抽出し、この分
離抽出した指定クロック数情報にて指定されたクロック
数、すなわち1クロック分だけテストクロック信号を発
生してこれを回路ブロック101〜103各々の入力端
SCLKに供給する。この際、上述したスキャンインモ
ードの実施により、上記スキャンラッチSF1には論理
値「1」、スキャンラッチSF2には論理値「0」、及
びスキャンラッチSF3には論理値「1」の信号が夫々
記憶保持されている。すなわち、かかる動作に応じて、
回路ブロック101は、かかるスキャンラッチSF1〜
SF3の記憶保持状態を初期状態として1クロック分の
回路動作を実行するのである(RUNモード)。
【0042】次に、LSIテスタ250’は、LSI2
00’内の回路ブロック101に対してスキャンアウト
モードを実施するにあたり、図13にて示されているス
キャンメッセージ信号aをシリアルにてかかるLSI2
00’に送出する。この際、スキャンテスト回路300
内のメッセージ分離回路302は、かかる図13にて示
されるスキャンメッセージ信号a中のメッセージビット
1〜3、すなわち「0、1、1」を分離抽出してこれを
スキャンモードタイプ情報信号としてモードデコーダ3
03に供給する。モードデコーダ303は、かかる
「0、1、1」の如きスキャンモードタイプ情報信号の
供給に応じて図10に示されるが如き出力を行う。つま
り、モードデコーダ303は、論理値「1」のイネーブ
ル信号1を回路ブロック101の入力端SENに供給す
ると共に、論理値「0」のイネーブル信号2及び3を回
路ブロック102及び103夫々の入力端SENに供給
するのである。かかる動作に応じて、回路ブロック10
1〜103の内、回路ブロック101のみが、その内部
に形成されているスキャンラッチSF1〜SF3がシフ
トレジスタ構成、すなわちスキャンパスを形成する。
又、上記メッセージ分離回路302は、かかる図13に
示されているスキャンメッセージ信号a中のメッセージ
ビット4〜11における「0、0、0、0、0、0、
1、1」を分離抽出してこの指定クロック数情報にて指
定されたクロック数、すなわち3クロック分だけテスト
クロック信号を発生してこれを回路ブロック101〜1
03各々の入力端SCLKに供給する。かかる動作に応
じて、回路ブロック101の内部に形成されているスキ
ャンラッチSF1〜SF3各々は、上述したRUNモー
ドにて実行された回路動作に応じて記憶保持された記憶
内容を、順次シフトしつつこれをスキャンアウト信号1
としてスキャンテストコントローラ300に送出する。
【0043】これと同時に、かかるスキャンテストコン
トローラ300内のモードデコーダ303は、上記図1
3に示されている「0、1、1」の如きスキャンモード
タイプ情報信号の供給に応じて、論理値「1」の出力制
御信号を双方向バッファ301の出力制御端に供給す
る。かかる動作に応じて、上記回路ブロック101から
送出されたスキャンアウト信号1は、図13にて示され
るが如きスキャンメッセージ信号bとして、図8に示さ
れる論理和回路305、双方向バッファ301及びLS
I200’のスキャンテスト用双方向パッケージピン2
01を介してLSIテスタ250’に送出されるのであ
る(スキャンアウトモード)。
【0044】ここで、LSIテスタ250’は、このス
キャンメッセージ信号bとして供給されたスキャンアウ
ト信号と、所定の予測期待値とを比較して、これらが互
いに不一致となった場合のみに回路ブロック101が故
障していると判定するのである。
【0045】
【発明の効果】上記したことから明らかな如く、本発明
による半導体集積装置におけるスキャンテスト回路は、
実施すべきスキャンモードを指定するスキャンモードタ
イプ情報、及び故障診断用テストデータとしてのスキャ
ンインデータ各々がシリアルにて連結してなる信号をス
キャンメッセージ信号とし、このスキャンメッセージ信
号から上記スキャンモードタイプ情報及びスキャンイン
データ各々を分離抽出する。この際、かかるスキャンモ
ードタイプ情報がスキャンインモードである場合には、
該当する回路ブロックにイネーブル信号を供給して上記
スキャンインデータをこの回路ブロック内部に形成され
ているスキャンラッチに記憶保持せしめる。一方、上記
スキャンモードタイプ情報がスキャンアウトモードであ
る場合には、該当する回路ブロックにイネーブル信号を
供給して、かかる回路ブロック内部に形成されているス
キャンラッチ各々に記憶保持されている内容をスキャン
アウトせしめてこれを上記スキャンメッセージ信号とし
て出力する構成となっている。
【0046】すなわち、かかるスキャンテスト回路にお
いては、1ビットの双方向スキャンメッセージ信号によ
って、スキャンモード設定のみならずスキャンイン及び
スキャンアウトデータの入出力をも司るようにしている
のである。よって、本発明によれば、スキャンテストを
実施するために必要となる半導体集積装置に設けるパッ
ケージピンが1ピンで済むことになり、スキャンパス方
式を適用したことによる半導体集積装置のピンネックが
解消されて好ましいのである。
【図面の簡単な説明】
【図1】スキャンパス設計を適用した場合の回路構成の
一例を示す図である。
【図2】半導体集積装置に形成される回路ブロックの一
例を示す図である。
【図3】スキャンラッチSF1〜SF3の内部構成を示
す図である。
【図4】従来のスキャンテスト実施のための構成を示す
図である。
【図5】本発明によるスキャンテスト回路を備えたLS
I200’に対してスキャンテストを実施するための構
成を示す図である。
【図6】スキャンメッセージ信号の信号フォーマットを
示す図である。
【図7】本発明によるスキャンテスト回路を備えたLS
I200’の構成を示す図である。
【図8】本発明によるスキャンテスト回路としてのスキ
ャンテストコントローラ300の構成を示す図である。
【図9】メッセージ分離回路302の内部構成の一例を
示す図である。
【図10】スキャンモードタイプ及びモードデコーダ3
03の真理値表を示す図である。
【図11】スキャンインモード実施のためのスキャンメ
ッセージ信号aの一例を示す図である。
【図12】RUNモード実施のためのスキャンメッセー
ジ信号aの一例を示す図である。
【図13】スキャンアウトモード実施のためのスキャン
メッセージ信号a及びbの一例を示す図である。
【主要部分の符号の説明】
200’ LSI 201 スキャンテスト用双方向パッケージピン 250 LSIテスタ 300 スキャンテストコントローラ 301 双方向バッファ 302 メッセージ分離回路 303 モードデコーダ 304 クロック制御回路

Claims (2)

    (57)【特許請求の範囲】
  1. 【請求項1】 イネーブル信号に応じてスキャンイン信
    号をクロック信号毎に順次シフトしつつ取り込んで記憶
    保持する一方、記憶保持された内容を前記クロック信号
    毎に順次シフトしつつスキャンアウトするスキャンラッ
    チを有する回路ブロックを備えた半導体集積装置におけ
    るスキャンテスト回路であって、 スキャンモードを指定するスキャンモードタイプ情報及
    び故障診断用テストデータとしてのスキャンインデータ
    各々がシリアルにて連結してなる信号をスキャンメッセ
    ージ信号として入力する一方、出力制御信号に応じて前
    記スキャンアウトされた信号を前記スキャンメッセージ
    信号として出力するスキャンメッセージ入出力手段と、 前記スキャンメッセージ信号から前記スキャンモードタ
    イプ情報を分離抽出すると共に、前記スキャンメッセー
    ジ信号から前記スキャンインデータを分離抽出してこれ
    を前記スキャンイン信号とするメッセージ分離手段と、 前記スキャンモードタイプ情報がスキャンインモードで
    ある場合は前記回路ブロックに前記イネーブル信号を供
    給する一方、前記スキャンモードタイプ情報がスキャン
    アウトモードである場合には前記回路ブロックに前記イ
    ネーブル信号を供給すると共に前記スキャンメッセージ
    入出力手段に前記出力制御信号を供給するモードデコー
    ダとを有することを特徴とする半導体集積装置における
    スキャンテスト回路。
  2. 【請求項2】 前記スキャンメッセージ信号には、前記
    クロック信号のクロック数を指定する指定クロック数情
    報が含まれており、前記メッセージ分離手段は、前記指
    定クロック数情報に応じたクロック数の分だけ前記クロ
    ック信号を前記スキャンラッチに供給することを特徴と
    する請求項1記載の半導体集積装置におけるスキャンテ
    スト回路。
JP7038578A 1995-02-27 1995-02-27 半導体集積装置におけるスキャンテスト回路 Expired - Fee Related JP2768910B2 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP7038578A JP2768910B2 (ja) 1995-02-27 1995-02-27 半導体集積装置におけるスキャンテスト回路
US08/587,167 US5734660A (en) 1995-02-27 1996-01-16 Scan test circuit for use in semiconductor integrated circuit
KR1019960001849A KR100289594B1 (ko) 1995-02-27 1996-01-29 반도체 집적 회로 장치의 스캔 테스트 회로

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP7038578A JP2768910B2 (ja) 1995-02-27 1995-02-27 半導体集積装置におけるスキャンテスト回路

Publications (2)

Publication Number Publication Date
JPH08235896A JPH08235896A (ja) 1996-09-13
JP2768910B2 true JP2768910B2 (ja) 1998-06-25

Family

ID=12529181

Family Applications (1)

Application Number Title Priority Date Filing Date
JP7038578A Expired - Fee Related JP2768910B2 (ja) 1995-02-27 1995-02-27 半導体集積装置におけるスキャンテスト回路

Country Status (3)

Country Link
US (1) US5734660A (ja)
JP (1) JP2768910B2 (ja)
KR (1) KR100289594B1 (ja)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6522985B1 (en) * 1989-07-31 2003-02-18 Texas Instruments Incorporated Emulation devices, systems and methods utilizing state machines
US5896046A (en) * 1997-01-27 1999-04-20 International Business Machines Corporation Latch structure for ripple domino logic
US5953285A (en) * 1997-09-17 1999-09-14 Cypress Semiconductor Corp. Scan path circuitry including an output register having a flow through mode
US6006347A (en) * 1997-09-17 1999-12-21 Cypress Semiconductor Corporation Test mode features for synchronous pipelined memories
KR100504688B1 (ko) * 1997-11-15 2005-10-12 삼성전자주식회사 반도체칩테스트회로
JP2000292490A (ja) * 1999-04-12 2000-10-20 Mitsubishi Electric Corp Lsiテスタ及びlsiのテスト方法
US7010733B2 (en) * 2002-10-09 2006-03-07 International Business Machines Corporation Parametric testing for high pin count ASIC
US7197680B2 (en) * 2003-04-17 2007-03-27 Arm Limited Communication interface for diagnostic circuits of an integrated circuit
US7380185B2 (en) * 2005-12-19 2008-05-27 Texas Instruments Incorporated Reduced pin count scan chain implementation
KR100675013B1 (ko) * 2006-02-21 2007-01-29 삼성전자주식회사 스캔래치 및 비트 셀의 회로가 동일한 스캔 리드 블록
US20150185285A1 (en) * 2013-12-30 2015-07-02 Sandisk Technologies Inc. System and method for reduced pin logic scanning
JP6459806B2 (ja) * 2014-10-30 2019-01-30 株式会社デンソー 半導体集積回路

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4476560A (en) * 1982-09-21 1984-10-09 Advanced Micro Devices, Inc. Diagnostic circuit for digital systems
KR900002770B1 (ko) * 1986-08-04 1990-04-30 미쓰비시 뎅끼 가부시끼가이샤 반도체 집적회로장치
US4855669A (en) * 1987-10-07 1989-08-08 Xilinx, Inc. System for scan testing of logic circuit networks
JP2561164B2 (ja) * 1990-02-26 1996-12-04 三菱電機株式会社 半導体集積回路
JP2522140B2 (ja) * 1992-11-18 1996-08-07 日本電気株式会社 論理回路
JP2727930B2 (ja) * 1993-10-04 1998-03-18 日本電気株式会社 バウンダリスキャンテスト回路

Also Published As

Publication number Publication date
KR960032501A (ko) 1996-09-17
KR100289594B1 (ko) 2001-05-02
JPH08235896A (ja) 1996-09-13
US5734660A (en) 1998-03-31

Similar Documents

Publication Publication Date Title
US4540903A (en) Scannable asynchronous/synchronous CMOS latch
US6442721B2 (en) Accelerating scan test by re-using response data as stimulus data
US6570407B1 (en) Scannable latch for a dynamic circuit
JP2768910B2 (ja) 半導体集積装置におけるスキャンテスト回路
JP2725258B2 (ja) 集積回路装置
TWI298099B (en) Connecting multiple test access port controllers on a single integrated circuit through a single test access port
US20110271160A1 (en) Accelerating scan test by re-using response data as stimulus data abstract
JPH06160476A (ja) スキャンパスのテスト制御回路
US5197070A (en) Scan register and testing circuit using the same
JP2001236306A (ja) データ転送方法及び装置
JP3363691B2 (ja) 半導体論理集積回路
JPH05134007A (ja) 半導体集積論理回路
JP2001108727A (ja) クロック制御回路および方法
JP2002139557A (ja) 半導体装置
JPH06186306A (ja) 論理回路
JP2874248B2 (ja) 診断用スキャンパス付き電子回路
JP3251748B2 (ja) 半導体集積回路
JPH10307167A (ja) 論理集積回路のテスト装置
JP2653945B2 (ja) 半導体集積回路
KR100311500B1 (ko) 스킵 가능한 바운더리 스캔 셀
JPH01193942A (ja) 信号処理回路の自己診断方式
JP2924521B2 (ja) 並列パタン圧縮器
JP2001196539A (ja) スキャンフリップフロップ及びこれを内蔵した半導体集積装置
JPH0727013B2 (ja) 集積回路のスキャンパス回路
JPH07151828A (ja) テスト容易化回路

Legal Events

Date Code Title Description
R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090410

Year of fee payment: 11

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090410

Year of fee payment: 11

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100410

Year of fee payment: 12

LAPS Cancellation because of no payment of annual fees