JP2501295B2 - エッチング方法 - Google Patents

エッチング方法

Info

Publication number
JP2501295B2
JP2501295B2 JP5271733A JP27173393A JP2501295B2 JP 2501295 B2 JP2501295 B2 JP 2501295B2 JP 5271733 A JP5271733 A JP 5271733A JP 27173393 A JP27173393 A JP 27173393A JP 2501295 B2 JP2501295 B2 JP 2501295B2
Authority
JP
Japan
Prior art keywords
chamber
film
reactant
temperature
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP5271733A
Other languages
English (en)
Other versions
JPH06224153A (ja
Inventor
シュ・ジェン・ジェン
ウェズリー・シー・ナッレ
チェン・ファン・ユー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=25520830&utm_source=***_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JP2501295(B2) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JPH06224153A publication Critical patent/JPH06224153A/ja
Application granted granted Critical
Publication of JP2501295B2 publication Critical patent/JP2501295B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Magnetic Heads (AREA)
  • ing And Chemical Polishing (AREA)
  • Surface Acoustic Wave Elements And Circuit Networks Thereof (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は、全般的に、半導体構造
や超小型電子デバイスなどで基板上の薄い材料層をエッ
チングまたは除去する方法に関する。さらに詳細には、
本発明は、エッチングすべき薄い層の表面上に形成され
た膜の表面滞在時間、組成、および厚さを制御する手段
を使用して、薄い層を正確な量だけ除去するための装置
および方法に関する。
【0002】
【従来の技術】基板から薄膜を除去するために、以前か
らエッチャント水溶液が使用されてきた。しかし、湿式
エッチング技術には多数の欠点がある。これらの欠点
は、湿式洗浄および湿式エッチングによって微粒子やそ
の他の汚染が発生する半導体工業では特に顕著である。
したがって、気状エッチャントを使用する工程が好まし
い。
【0003】湿式エッチングと気状エッチングの両方に
共通するもう1つの好ましくない特徴は、一般にエッチ
ングが等方性であること、および薄い層の除去用に考案
されたエッチャントの制御された供給源が使用されない
ことである。損傷を与えずに保持しなければならないフ
ィーチャがあるので、層を正確な量だけエッチングする
必要があることがしばしばである。たとえば、無制御の
等方性エッチングでは、抗エッチング性の2つの層の間
にエッチングすべき材料が挟まれているとき、好ましく
ない間隙が形成される。たとえば、図1に示すように、
層1および基板3は抗エッチング性であり、層2は等方
性エッチング工程で容易にエッチングされる。層1中に
開口部を作成する場合、層2を等方性エッチングする
と、層2の、層1の開口部の下側にある部分に間隙4が
できる。したがって、オーバエッチングしたり、エッチ
ングされない層の間の間隙を目立たせたりせずに、当該
の層を正確な厚さだけエッチングすることのできる、制
御されたエッチング・システムが必要なことは明らかで
ある。
【0004】半導体工業において最も重要な洗浄段階お
よびエッチング段階の1つは、シリコンの表面から自然
酸化物を除去することである。HFを含む水溶液への浸
漬による酸化物のエッチングによって、清浄なSi表面
をケイ素のダングリング・ボンドの水素原子による成端
により化学的に不動態化することができる。化学的不動
態化によって、空気にさらされたときのシリコンの再酸
化が軽減されるが、微粒子や大きな有機分子による汚染
は軽減されない。水性二酸化ケイ素除去プロセスを、粒
子汚染を最小限に抑えるように設計された減圧マルチチ
ャンバ・ウェーハ処理ツールと統合することは困難であ
る。したがって、液体中ではなく、低圧の気相中で行わ
れる工程が必要である。
【0005】二酸化ケイ素は、水溶液を使用せずに媒質
または低圧の反応性分子もしくはイオンを使用して行わ
れる多数の方法で、うまくエッチングまたは除去されて
いる。その例として、反応性イオン・エッチング(RI
E)や、ウェーハを900℃に加熱してSi(s)+S
iO2(s)=SiO(g)4の反応を起こす方法があ
る。しかし、これらの技術では、エッチングすべき材料
層や反応物分子を含む凝縮膜との反応によってエッチン
グするわけではない。したがって、これらの技術は従来
技術とみなされず、それについて論じない。
【0006】従来技術は一般に、反応中に表面上に膜が
存在する反応に関係している。表面上の膜の存在を認識
しない技術もある。また、膜の存在とエッチングにおけ
るその役割を認識するが、膜の重要性や膜を適切に制御
する方法を認識しない技術もある。以下に述べるよう
に、従来技術では、うっかりレジーム間で遷移が生じる
と、制御が不正確になり失われることがしばしばであ
る。
【0007】二酸化ケイ素のエッチングにおいて、従来
技術では、テトラエトキシシラン(TEOS)、熱二酸
化ケイ素、およびその他の種類の二酸化ケイ素で異なる
エッチング速度が報告されている。エッチング速度は、
二酸化ケイ素に対する反応物の反応性によって制限され
る。反応性は、二酸化ケイ素の構造および組成の違いに
応じて変わる。反応物が膜を通過する速度が、これらの
酸化物に対する反応速度を制限することはなかった。
【0008】米国特許第4264374号は、気状蒸気
を使用してシリコンから自然酸化物を除去する方法を開
示している。大気圧に近いHF、H2O、およびN2の気
状混合物にさらすことによる酸化物のエッチングは、シ
リコンを除去せずに二酸化ケイ素を除去する選択性が極
めて高い。工程の圧力が増すにつれて、粒子および気状
不純物による汚染の問題が増大していく。したがって、
より圧力の低い工程が必要である。
【0009】ディール(Deal)ら(Appl. Phys. 36:3370、
1965年)およびノバック(Novak)(Solid State Technolog
y、31:39、 1988年)に記載された装置は、現在入手可能
であり、HFおよびH2Oを含む気状混合物を使用して
酸化物層をエッチングするように設計されている。しか
し、この装置は、エッチング・システムにおける凝縮膜
の表面滞在時間、厚さ、または組成を制御するようには
設計されていない。この装置では、バブラと称する容器
内で水またはHF水溶液中に不活性ガスの気泡を通す。
不活性ガス中で伴出される水蒸気またはHFと水蒸気の
圧力は、バブラの温度での蒸気圧に等しい。また、流量
調整器で、基板を保持する加熱されないチャンバへのガ
スの導入を調節している。バブラおよびガス配管は加熱
される。上記のディールらの装置では、基板を加熱する
ことができ、システムのポンピング速度を変えることに
よって全圧を調節することができる。システム内に水晶
微量てんびんはなく、基板を冷却することはできない。
エッチングは、高チャンバ圧力に制限される。この装置
は、反応チャンバを真空ポンプから分離することのでき
ないフロー・システムである。
【0010】酸化物を除去するためのもう1つの方法に
ついて、シリコンのマイクロ波放電洗浄に関する報告中
でニシノらが述べている(Proceedings of the Symposi
um on Dry Process、Inst. of Elec. Eng. of Japan、
東京、1989年10月30日、p.90)。自然酸化物で覆われた
シリコンを、フッ化アンモニウムを充填した加熱容器か
らのガスにさらし、またはNH3およびNF3ガス中でマ
イクロ波放電にかけた後、シリコンの温度を上げると、
酸化物を除去することができる。別の実験では、放電中
で前駆体分子を活性化すると、HFおよび硫酸が形成さ
れた。ニシノらは、図1に示した種類の間隙も、オーバ
エッチングなどによって生じる他の好ましくない効果も
扱っていない。
【0011】要約すると、反応物を含む凝縮膜によるエ
ッチング用の従来技術の装置は、膜の組成および滞在時
間を制御できるように設計されていない。従来技術の装
置では、微量てんびんなどの薄膜のモニタ、チャンバに
おいて温度の最も低い点としてウェーハを維持する手
段、NH3およびHFの別々のガス供給源、NH3、H2
O、HFの供給源、重フッ化アンモニウム供給源、エフ
ュージョン・セルなどの低圧源または差圧排気領域を持
つ低圧源が欠けており、チャンバ壁温度を凝縮された液
体または固体である反応物供給源の温度より上に維持で
きず、ガス供給源とチャンバの間の弁が同時に開閉でき
ない。
【0012】従来技術のエッチング・システムの設計
は、当時知られていた設計および方法に必然的に依存し
ており、反応物が表面上に凝縮しないときに表面のエッ
チングに使用される、反応性イオン・エッチング・シス
テムなどの利用可能なシステムの設計を検討することに
よって理解することができる。エッチングすべき表面に
反応物が衝突する速度は、チャンバ内の反応物の圧力に
比例する。反応物の圧力が基板の温度でのその蒸気圧を
下回っているかぎり、反応物は、短時間の間表面上に吸
着されることはあるが、凝縮して多分子層を形成するこ
とはない。反応物の圧力、したがって反応速度は、たと
えばチャンバに取り付けられたポンプによって、チャン
バに反応物を入れる速度と、反応物をチャンバから取り
除く速度を変えることによって制御される。チャンバへ
の反応物の導入速度は、流量計で調節することができ
る。また、従来技術では、エッチングすべき表面上に凝
縮した反応物の膜が形成されるときには反応の開始およ
び制御が非常に異なるとしても、エッチングすべき基板
の表面上に反応物が凝縮するときに反応を実施するため
にこの種の装置が使用されている。これらの違いについ
ては、以下で詳細に説明する。
【0013】表面上に凝縮反応物膜が形成されるとき
は、凝縮膜の組成が気相の組成よりも重要である。気状
反応物は、エッチングすべき表面と直接接触しないの
で、気相中の反応物の分圧はそれほど重要ではない。一
方、凝縮反応物膜は表面と接触するので、表面がエッチ
ングされる速度と、エッチングされる表面の割合を求め
る際に、気状大気の組成よりも凝縮反応物膜の組成の方
が重要である。気状反応物は凝縮膜を通して表面に運ば
れるので、ガスの組成は反応に対して間接的に影響を及
ぼすだけである。重要な問題は、ウェーハの表面よりも
低温になる可能性のあるチャンバ壁やウェーハ・マウン
ト領域など、エッチングすべき層以外の表面上に凝縮多
分子層が形成される可能性があることである。これらの
外部表面上に形成される層は反応物の供給源または排出
先として働く可能性があり、その場合、チャンバに導入
されるガスの組成およびタイミングと、エッチングすべ
きウェーハの表面上の反応物層の組成および滞在時間と
の間に定義可能な関係がなくなる。
【0014】上記のディールらは、気相エッチング中の
酸化物の表面における水性膜の存在について述べている
が、膜を制御することの重要性を認識していない。その
代わり、ディールおよびノバックが記載したシステムは
流量計を使用して、チャンバに反応物を導入している。
図2を参照すると、従来技術の装置の動作は次のように
述べることができる。貯蔵器Aからの窒素が質量流量調
整器Bを通過し、水を含むバブラCまたはHFと水を含
むバブラDに入る。ガスは、バブラを通過させた後、室
温のホルダG上にウェーハFを装着したチャンバEに入
る。ガスおよび反応生成物が、排出される前に、チャン
バから調整弁Hを通過する装置もある。
【0015】このシステムは、凝縮反応物の層を伴わな
いエッチング反応に適しているが、エッチングすべき層
の表面上に凝縮反応物が形成されるときに起こる反応で
は困難が生じる可能性がある。流量計が一定の速度でチ
ャンバにガスを導入すると、圧力が徐々に上がってい
く。これは、真空ポンプでガスを除去する速度が、ガス
がチャンバに導入される速度と同じになるのに十分な圧
力に達するまで続く。この緩慢な圧力上昇によって、凝
縮の開始時間があいまいになり、膜厚が一定でなくな
り、組成が不明確になる。
【0016】表面での気相の成分からの膜の形成は、表
面の温度と、ガス成分の分圧によって調節されることが
分かっている。ガス成分の分圧が蒸気圧以上の値に上昇
すると、凝縮膜が形成される。圧力上昇が緩慢な場合、
凝縮の開始時間はあいまいである。凝縮が開始すると、
膜のガス成分の分圧は成分の蒸気圧と等しいままにな
る。システム・ポンプは、膜の成分を導入速度と同じ速
度で除去することができないので、凝縮が継続し、凝縮
膜の厚さが増加していく。
【0017】したがって、上記のディールらおよびノバ
ックに記載された装置による膜除去の程度の制御は困難
である。流量調整器を使用するので、チャンバ内の水と
HFの分圧は流れを開始した後徐々に上昇する。したが
って、凝縮が発生し反応が開始する時間が、明確に特定
できない。膜厚は時間の経過と共に増加する。膜の上に
あるガスの組成は、時間の経過につれて複雑な形で変化
する。というのは、反応(SiO2+4HF=SiF4
2H2O)が進むにつれて、HFが消費され、副生成物
としてH2Oが生成されるからである。このため、エッ
チングされる酸化物の表面積が、ガスの組成に影響を及
ぼすことがある。最後に、チャンバ壁上の凝縮が、HF
の追加の予測不能な供給源または排出先として働く。と
いうのは、気状HFがチャンバ壁上の凝縮膜中のHFと
交換されるからである。
【0018】ガスの成分と凝縮膜の成分の交換は、ファ
リントン・ダニエルズ(FarringtonDaniels)およびロバ
ート・アルバーティ(Robert Alberty)著、Physical Che
mistry(第3版、1966年、Wiley、ニューヨーク)のp.14
8の図2bを参照すると理解できる。そこには、ベンジ
ンとトルエンの2成分混合物の蒸気の平衡組成が、温度
の関数として、液体の組成からどのように変化するかが
示されている。これと同様な曲線が、HF/H2Oを含
むすべての混合物に適用できる。x軸は混合物の分別組
成を示しており、y軸は温度を示している。点bの組成
を持つ蒸気は、チャンバに導入すると、温度が約94℃
より低い物体上で凝縮する。点dで表される蒸気の組成
は、点cで表される液体の組成とまったく異なる。言い
換えると、凝縮が発生した直後に、再蒸発によって凝縮
膜と気相の両方の組成が変わる。
【0019】時間の経過に伴うこれらの組成の変化の詳
細は、壁および基板上の凝縮膜の絶対量および相対量、
流量、絶対圧力などに複雑に依存している。チャンバの
壁および基板上の凝縮膜の絶対量および相対量も、周囲
温度と、基板とチャンバの温度差に依存する。HF/H
2O 2成分混合物の実曲線は、共沸混合物の存在を示
している。共沸混合物とは、蒸気と、蒸気と平衡してい
る液体とが同じ組成を有する、2成分混合物のある分別
組成である。ディールらは、HFおよび水の供給源とし
てバブラ中で共沸混合物を使用する方法について述べて
いるが、このバブラを、水を含むバブラと組み合わせて
いるので、チャンバ内のガス組成は共沸混合物の組成で
はない。
【0020】米国特許第5030319号は、反応物を
含む膜をエッチングに使用するエッチング機構に関する
説明を与えている。しかし、このエッチング装置および
方法は、膜の理解の点では改善されているが、いくつか
の点で使用がより難しくなっている。たとえば、ガスを
導入するために、フロー・システムが使用されているの
で、上述のすべての潜在的問題が付随する。また、反応
物を直接導入するのではなく、プラズマ放電によって活
性化された前駆体分子の反応によって反応物を生成して
いる。したがって、凝縮多分子層反応物膜の形成は、温
度、流量、ポンピング速度などだけでなく、放電の特性
および活性化された前駆体の反応にも依存する。さら
に、気相中の反応性の遊離基または分子が、ウェーハま
たは壁上の膜を消費し、それと反応し、あるいはそれを
化学的に変形する可能性がある。
【0021】米国特許第5030319号は、NH3
よびNF3中での放電によってHFを形成することを教
示している。この、HFをアンモニアと組み合わせてフ
ッ化アンモニウム層を形成することができ、このフッ化
アンモニウム層がSiO2と反応して、ヘキサフルオロ
ケイ酸アンモニウム生成物が形成される。また、生成物
層内で反応物を溶解させても反応を起こすことができ
る。その結果は、反応するすべての二酸化ケイ素が酸化
物表面上に膜として残るわけではないことを示唆してい
る。米国特許第5030319号の図2は、エッチング
速度および10分のエッチング時間中の膜厚をNH3
NF3の比率の関数として示している。約10ないし4
0Å/分のエッチング速度は、100ないし400Åの
二酸化ケイ素を除去することに相当する。反応済みのす
べての二酸化ケイ素が、表面に滞在するヘキサフルオロ
ケイ酸アンモニウム生成物に変換される場合、厚さは約
300ないし1200Åとなる。これは、米国特許第5
030319号の図2で示される膜厚よりもはるかに厚
い。反応済みの二酸化ケイ素からのシリコンの一部は、
ヘキサフルオロケイ酸アンモニウムの形で表面上に滞在
しない。生成物膜は、薄いと「破れる」可能性がある
が、エッチングを継続すると「破れ」なくなり、エッチ
ングが終了する。米国特許第5030319号の図15
におけるエッチングされた酸化物の最終厚さは約100
0Åである。これは、本明細書の図1に示すアンダーカ
ットを防ぐのに必要な厚さをはるかに超えている。エッ
チング効率の低下が、問題として提示されているが、こ
れはある長い期間エッチングする代りに短時間の反応サ
イクルと生成物吸着サイクルを交互に何回か実施するこ
とによって解消できる。
【0022】短い10分間の放電によって薄膜を形成す
る場合、膜は反応物に対して透過性を持ったままにな
る。放電中にアンモニアの代りに水素を使用すると、H
Fが形成され、膜の存在によって反応速度が上がる。膜
によって反応が抑制されることはない。
【0023】さらに、米国特許第5030319号は、
放電中のO2原子やフッ素原子のような基が、幾つかの
点で反応に影響を及ぼすことを教示している。このよう
な基は、シリコンと二酸化ケイ素のエッチングの選択性
にも影響を及ぼす。放電中にH2SO4およびHFが形成
されるとき、凝縮膜が厚いと膜内でフッ素原子が消費さ
れるが、凝縮膜が薄いときは消費されない。膜が薄いと
きは、シリコンはエッチングされる。膜が厚いと、シリ
コンはエッチングされない。これらの反応によって、エ
ッチングの制御が複雑になる可能性がある。
【0024】さらに、ウェーハ表面よりも高温の凝縮反
応物供給源を使用する装置は、レジーム間の変換を行う
ことができることに留意されたい。反応物を徐々に導入
する場合、最初は吸着膜が形成されないので、最初は反
応が起こらない。反応物の圧力が少しずつ増加してウェ
ーハ温度での蒸気圧に近づくと、表面をエッチングする
吸着膜を形成することができる。吸着膜の組成は、チャ
ンバ内のガスの組成と密接に関係する。圧力がチャンバ
内の材料の蒸気圧を超えると、多分子層の凝縮が発生す
る。多分子層は、特に液体多分子層である場合、貯蔵器
を形成し、その組成はチャンバ内のガスの組成と直接的
な関係がなくなる。従来技術では、1つ以下の層から成
る吸着膜がエッチングを引き起こすレジームに停まるよ
うに設計され、かつそのように動作するシステムはな
い。
【0025】
【発明が解決しようとする課題】従来技術で記載された
装置を使用して、流量調整器によって反応物をチャンバ
に一定の遅い速度で導入しても、反応物膜の組成および
滞在時間が制御されないので、薄い層を正確にエッチン
グまたは除去することはできない。たとえば、基板上に
反応物の凝縮膜が形成される時間が不確かであり、基板
上に凝縮膜が停まる時間の長さが分からず、チャンバの
壁上と、ウェーハ・マウントのウェーハよりも低温の部
分上に凝縮膜が形成される可能性があり、チャンバに導
入され、最終的に凝縮し反応する反応物の割合が不明で
あり、低圧源の使用が容易になるほど基板が冷却され
ず、固体生成物の自己制限反応厚さが不確かであり、ウ
ェーハが凝縮反応物の供給源の温度またはそれをわずか
に上回る温度に維持されないため、吸着膜レジーム内で
反応が起こる可能性があり、活性化された基または分子
が存在するために、膜の組成が複雑になることがある。
【0026】したがって、従来技術の装置および方法の
改良が必要なことは明らかである。
【0027】本発明の目的は、基板上の所望の厚さの層
が正確にエッチングされるように、凝縮反応物膜の
(1)厚さ、(2)組成、および(3)持続時間または
表面滞在時間を制御する手段を含む方法および装置を提
供することである。
【0028】
【課題を解決するための手段】本発明の装置は、チャン
バと、前記チャンバに反応物を供給する手段と、前記反
応物によってエッチングすることができる、基板上の材
料と、前記チャンバ内で前記基板を支持する手段と、前
記材料の表面上の、前記反応物を含む膜と、前記膜の性
質および持続時間を調整する手段とを備えており、前記
チャンバに前記反応物が供給されたとき、前記反応物が
前記材料の表面上に膜を形成するように前記諸構成要素
が配置されており、前記膜により前記基板から前記材料
が正確に制御された量だけ除去されるように、前記膜の
性質および持続時間が前記制御手段によって制御され
る。
【0029】本発明の方法は、(a)真空チャンバ内
で、エッチングすべき材料を有する基板を支持する段階
と、(b)反応物を含むガスを前記チャンバ内に十分な
圧力で導入して、前記材料の表面上に反応物の膜を形成
する段階と、(c)前記材料の表面上の前記膜の組成お
よび滞在時間を制御することにより、前記基板上の材料
が正確な量だけエッチングする段階と、(d)チャンバ
または前記基板の表面から不要な反応物および反応生成
物を除去する段階とを含む。
【0030】本発明の重要な特徴は、反応物をエッチン
グするために使用される装置および方法が、基板の表面
上に形成される反応物の凝縮膜または吸着膜を制御する
ように設計されていることである。これとは対照的に、
従来技術に記載された、反応チャンバ中に反応物ガスを
一定速度で導入する方法では、膜の組成も厚さも表面滞
在時間も制御できない。本発明によれば、広い範囲のチ
ャンバ圧力でエッチングを実施することができる。基板
上の層のエッチングは、エッチングすべき層上に形成さ
れた凝縮膜または分子のクラスタ(凝集物)中の反応物
の化学反応によって起こる。
【0031】本発明は初めて、エッチングすべき表面上
の凝縮反応物の膜の組成、厚さ、および表面滞在時間を
制御する手段を提供し、それによってエッチング反応の
程度を正確に制御することができるようになった。
【0032】広い範囲のシステム全圧および広い範囲の
反応物分子にわたって凝縮膜を制御するには、いくつか
の態様を検討する必要がある。以下に詳細に説明するよ
うに、チャンバの表面上の凝縮膜を制御または除去する
と、基板上の凝縮膜の制御に役立つ。微量てんびん(水
晶微量てんびんQCM)、楕円偏光計、または当業者に
周知のその他の監視装置が、全圧力範囲にわたって反応
物膜厚の制御を提供する。基板に気状反応物を迅速に供
給することによって、反応物膜の初期凝縮の正確な時間
が確立され、ガスの濃度を一定にすることができる。チ
ャンバ全圧が低いとき、基板を冷却し、衝突が全くまた
はほとんどない供給源を用いて反応物を供給すると、凝
縮反応物膜の形成と制御に役立つ。凝縮膜が共沸混合物
を形成する多成分混合物を含むときは、共沸混合物の組
成の成分をチャンバに導入すると吸着膜の形成および制
御に役立つ。
【0033】好ましい実施例では本発明の様々な態様を
記載するが、本発明の個々の態様は、それぞれ凝縮反応
物膜に対する制御の度合いを増大させるものであり、本
発明の独自の特徴を構成している。
【0034】実際に、本発明者等は、アンモニアおよび
HFを、放電中で合成する代わりに直接添加すると、米
国特許第5030319号とは逆の結果が得られること
を発見した。表面上の層中に化学量論量のヘキサフルオ
ロケイ酸アンモニウム生成物が形成される。この反応
は、米国特許第5030319号の活性化された反応物
とは異なるモードで自己制限的であり、膜を「破る」能
力は反応を終了させるうえで何の役割も果たさない。最
終厚さは、図1に示した種類のアンダーカットを最小限
に抑えるのに十分な程度に小さい(室温で200Å未
満)ことが分かっている。米国特許第5030319号
を下回る温度では、除去される二酸化ケイ素の量が減少
し、膜を通る反応物の拡散が抑制されており、最終厚さ
が制御できることを示している。
【0035】本発明者等はまた、他の驚くべき結果を認
めた。たとえば、TEOSと熱二酸化ケイ素とSiO2
を主とするその他の酸化物の初期エッチングは、それぞ
れ異なる速度で生じるが、生成物層を通って反応物が拡
散する速度がエッチング速度を制限するようになるにつ
れて、生成物層の厚さが増し、エッチング速度は類似の
値になってくる。生成物層中での拡散は一定であり、し
たがって熱酸化物よりもTEOSの方がHFの固有反応
性が大きいときでも、拡散によって決定される最終厚さ
は類似の値になる。重フッ化アンモニウムはフッ化アン
モニウムよりもかなり低い圧力でエッチングを行う。−
35℃より低い温度のとき、従来技術よりも何桁も低い
圧力で動作することが可能であり、表面に衝突するほぼ
あらゆる反応物分子が、再蒸発せずに付着する。
【0036】
【実施例】本発明の上記その他の様々な目的および利点
は、表面膜の形成を制御するように設計された装置およ
び方法によって達成される。本発明では、実際の反応条
件のもとである層の厚さよりも薄い膜を検出し制御する
手段を使用する。これは、とりわけ水晶微量てんびんと
明確に定義された反応物を使用することによって実施さ
れ、この種の反応をいくつかのレジームに分類し、圧力
および温度の広い有効範囲にわたって本発明の好ましい
実施例を定義するのに役立つ。主なレジームは、(1)
1つ以下の層から成る吸着膜、(2)凝縮液体膜、およ
び(3)凝縮固体膜から出発する反応である。第4のレ
ジームでは、表面膜がないとき、反応がまったく起こら
ない。
【0037】別段の定義がないかぎり、本明細書で使用
するすべての科学技術用語は、当業者が普通に理解する
のと同じ意味を持つ。本明細書に記載するものと同様な
または同等な方法および材料を本発明の実施または試験
に使用することができるが、本明細書に記載する方法お
よび材料が好ましい。別段の言及がないかぎり、本明細
書で使用または企図する技術は、当業者に周知の標準的
な方法である。材料、方法、および例は、例示的なもの
にすぎず、限定するものではない。
【0038】本明細書では、本発明に従って、アンモニ
アとフッ化水素ガスを迅速に供給し、基板温度を上げる
ことが可能な装置(図6)、フッ化水素および水を迅速
に供給する装置(図6)、SiO2で被覆した基板に蒸
気を供給するためのエフュージョン・セルや分子ビーム
などの差圧排気源を使用する低圧エッチング用装置(図
4および図5)、および微量てんびんと上記のいずれか
の装置を組み合わせてエッチング反応を制御する方法に
ついて述べる。さらに、(1)反応物の凝縮によって反
応が起こる場合と、ガスにさらすことによって反応が起
こる場合を区別するための方法およびその結果と、
(2)反応が凝縮膜によって制御されることを示すため
の方法およびその結果を提示する。この特定のシステム
は、HFおよびアンモニアの前駆体ガスから凝縮膜を形
成する際にSiO2をエッチングすることを含む。
【0039】本発明の様々な実施例について説明する。
【0040】1.反応物を迅速に供給するための装置お
よび方法 図面、具体的には図6を参照すると、基板の表面上に凝
縮した膜を制御することによって薄い層を正確にエッチ
ングするための好ましい配置が示されている。弁10、
11、12は同時に開き、反応物、または反応物と触媒
を反応チャンバ13に迅速に導入する。弁10、11、
12の開放直径が流量計内の調整弁の直径よりも大きい
ので、ガスの導入は急速である。導入の直後、導入され
たガスの圧力は、基板14の温度での凝縮圧力より高い
温度に維持される。その結果、基板14の表面上に凝縮
膜15が形成される。導入されたガスの圧力は、基板1
4の温度での凝縮圧力より高いが、チャンバ13の温度
での凝縮圧力より低いことに留意されたい。というの
は、チャンバ13はヒータ16によって基板温度をより
高い温度に加熱されるからである。基板14は、ヒータ
17によって加熱し、または管18および管19内を流
れる冷媒によって冷却することができる。エッチングさ
れる層と同じ材料で被覆された結晶を含む水晶微量てん
びん20と、基板14が、共に基板マウント21に取り
付けられている。水晶微量てんびん20、貯蔵器圧力モ
ニタ29、チャンバ圧力モニタ30、チャンバ温度モニ
タ31、およびウェーハ/微量てんびん温度モニタ32
からの信号が制御装置21aに送られる。制御装置21
aは、貯蔵器22、23、24の充填圧力を決定し調節
する。貯蔵器は、H2Oを含む反応物の供給源34、H
Fを含む反応物の供給源35、およびNH3を含む反応
物の供給源36から、それぞれ弁25、26、27を通
じて充填される。あるいは、貯蔵器はHFまたはNH3
を含む溶液を保持することができ、溶液中に非反応性ガ
スの気泡を通すことができる。貯蔵器は単に、ある長さ
の管またはチャンバとすることができる。貯蔵器および
チャンバ内の圧力は、制御装置21aと弁25、26、
27、10、11、12、28との接続によって調節さ
れる。簡単にするために、図面ではすべての接続は示し
ていない。反応チャンバに通じるすべての供給源、貯蔵
器、および配管を加熱して、室温(22ないし23℃)
蒸気圧より高い反応物圧力を得ることができる。図では
1つのガス配管供給源および貯蔵器上に加熱シュラウド
37が示してある。温度センサ38からの信号が、加熱
シュラウド37の温度を監視し調整する制御装置21a
に送られる。図面を簡単にするため、加熱シュラウド3
7および温度センサ38は1つのガス配管用のものだけ
を示してあるが、すべての配管に、制御装置21aで制
御される加熱シュラウド37および温度センサ38を備
えることができる。制御装置21aはチャンバ温度セン
サ31によってチャンバの温度を監視し、ヒータ16へ
の接続を通じて温度を制御する。制御装置21aは、ウ
ェーハ/微量てんびん温度モニタ32によってウェーハ
14および微量てんびん20の温度を監視し、ヒータ1
7および冷媒調整弁33への接続を通じて温度を制御す
る。反応物の導入時に真空ポンプ(図示せず)に通じる
弁28が開いているか閉じているかに応じて2つの運転
モードがある。
【0041】2.NH3およびHFの導入 反応物の導入時に真空ポンプに通じる弁28が開いてい
る、第1の運転モードについて説明する。貯蔵器23に
HFを充填し、貯蔵器24にNH3を充填する。次いで
弁11および12を同時にかつ迅速に開く。反応物がチ
ャンバを充填し、極めて短時間の間に迅速に、ウェーハ
14、水晶微量てんびん20、および基板マウント21
上で凝縮する。このとき、NH3およびHFの圧力はウ
ェーハ14の温度での蒸気圧より高く、したがって凝縮
層15が形成され、エッチングすべき層の表面との反応
が始まる。弁28が開いているので、凝縮膜の蒸気圧で
HFおよびNH3が排出されるにつれて凝縮膜15の厚
さが減少する。最終的に、未反応の凝縮したHFおよび
NH3がすべて蒸発し、排出される。チャンバ内の低い
大気圧では短時間で反応物が表面上に凝縮するので、凝
縮膜中の反応物と気相中の反応物の交換は最小限にな
る。除去されるエッチングされた層の量は、基板温度、
反応物膜の組成および滞在時間に依存する。除去される
量に影響を及ぼす要因には、基板温度での反応物の蒸気
圧、チャンバに導入される反応物の量、ポンピング速
度、反応物とエッチングされる層の反応の速度がある。
これらの要因はすべて、制御装置によって調節すること
ができる。
【0042】ガス供給源が別々になっているので、高分
圧のアンモニアおよびHFが必要なとき、高いウェーハ
温度での容易な操作が可能になり、HFとNH3の比率
を変えることができる。
【0043】3.HF/H2Oの導入 もう1つの運転モードでは、反応物の導入時にポンプへ
の弁28が閉じている。貯蔵器22にH2O蒸気を充填
し、貯蔵器23にHFを充填し、次いで弁10および1
1を開いてチャンバ13を充填する。ウェーハ14およ
び水晶微量てんびん20上で反応物が凝縮して、凝縮層
15を形成する。この状態は、チャンバ内の圧力がウェ
ーハ14の温度での反応物の蒸気圧に降下するまで続
く。ウェーハ温度が0℃より高い状態でHFおよびH2
Oを導入する場合のように、凝縮膜が液体のときは、凝
縮層15中のすべての反応物が反応するまで、または弁
28が開きチャンバ13の内容物が排出されるまで、反
応を続行することができる。弁28を閉じている間は、
チャンバ13内の反応物が凝縮層15の反応物と交換す
ることができる。除去されるエッチングされた層の量
は、チャンバ13に導入されるHFの量によって決定さ
れる。エッチングすべき表面上に凝縮層が形成された
後、貯蔵器23を再充填し、弁28を開かずに弁11を
開けて、追加のHFを追加することができる。反応が終
了するのは、弁28を開いてチャンバ13の内容物を排
出し、あるいはチャンバに導入したHFが反応したとき
だけである。
【0044】4.低圧エッチング用の装置および方法 図面、具体的には図4および図5を参照すると、低いチ
ャンバ圧力で正確なエッチングを行うための好ましい配
置が示されている。主要な特徴は、反応物蒸気の差圧排
気源である。低圧で動作するエフュージョン・セルは特
に望ましい特徴であり、これによって、高圧で存在する
可能性がある汚染物にウェーハがさらされることはなく
なる。あるいは、超音速源を使用することもできる。
【0045】反応物供給源40を、重フッ化アンモニウ
ムで充填して、ヒータ47で加熱して、固体を蒸発させ
る。反応物供給源40は、アパーチャ41を通じて反応
物を配給する。チャンバ42およびチャンバ45内の圧
力は、反応物分子がアパーチャ41から基板48に至る
までに数回しか(0回ないし3回)衝突しないように十
分に低くなっている。開口部44からチャンバ42を排
気し、開口部46からチャンバ45を排気することによ
って低圧を発生させる。導入された反応物分子は、冷却
された基板48または微量てんびん49に衝突すると凝
縮して、HFおよびNH3の凝縮層50を形成する。基
板48および微量てんびん49はマウント51に取り付
けられている。反応物が低圧で存在するとき凝縮に必要
な基板48の温度は、化合物が高圧力のときに必要な温
度より低い。弁52が、管53および管54を通る冷媒
の流れを調節する。チャンバ45の圧力が低いので、気
相中の反応物と、基板の表面上の膜に凝縮された反応物
の間でほとんど交換が起こらない。図5の質量スペクト
ルは、表面上で反応物が凝縮する際に取ったものであ
る。これは、蒸気が主としてNH3およびHFを含み、
痕跡量のH2Oを伴うことを示している。H2Oはチャン
バ壁から脱着することができる。反応が完了すると、ヒ
ータ55で基板温度を上げて、過剰な反応物の蒸発と、
エッチングすべき層との反応を促進することができる。
制御装置58は、センサ56でウェーハ温度を監視し、
弁52およびヒータ55でその温度を制御する。同じ制
御装置58が、微量てんびん49信号を監視し、またセ
ンサ57でチャンバの温度を検知しヒータ47を制御す
ることによってチャンバ40内の反応物の圧力を変える
ことができる。凝縮膜50の厚さは、シャッタ59で制
御することができる。
【0046】代替構成では、チャンバ40に固体の代わ
りにガスを供給し、固体からの蒸気を気体中で伴出さ
せ、あるいはチャンバ42およびチャンバ45を加熱す
ることもできる。
【0047】例1 次にSiO2のエッチング用の特定の装置および配量手
順について説明する。空気中でステンレス鋼エフュージ
ョン・セルを重フッ化アンモニウムで充填し、タンタル
の外側被覆によって遮蔽されたタングステン・フィラメ
ントから成る放射ヒータにこのエフュージョン・セルを
挿入する。重フッ化アンモニウムからの蒸気は、セルを
覆う銅製ガスケットの中心にある直径4.5mmの穴を
通過する。このアセンブリは、ターボ・ポンプでポンピ
ングされる小形チャンバに収納される。このアセンブリ
は、ターボ・ポンプでポンピングされるシリコン・ウェ
ーハ・ドーシング・チャンバ上に取り付けられる。エフ
ュージョン・セルは75ないし95℃に加熱され、重フ
ッ化アンモニウムからの蒸気は、−35℃のウェーハ上
で約20分間凝縮される。重フッ化アンモニウムはエフ
ュージョン・セルから蒸発するが、表面上の凝縮反応物
の組成は特定されず、おそらく化学量論的NH52では
ない。ウェーハは10分間にわけて5ないし15℃に加
熱し、ときには依然としてウェーハを重フッ化アンモニ
ウム蒸気に当てながら加熱を行うこともある。図7に示
す水晶振動数の減少は、反応物の凝縮によるものであ
る。約1600秒の時点で加熱を開始した後、反応物の
凝縮は約2000秒経過するまで続く。この後、過剰な
反応物が急速に脱着される。重フッ化アンモニウムは合
計約30分間凝縮され、10分間の加熱相の最後の3分
間に脱着が発生する。点Bでの振動数は、点Aでの振動
数よりわずかに低い。なぜなら、SiO2反応生成物を
含む層は14℃のサンプル温度では揮発性がないからで
ある。反応生成物の脱着後、点Cでの振動数は点Aでの
振動数より高くなる。これは、酸化物層の一部がエッチ
ングされるからである。
【0048】配量後、約10ないし9トルの底面圧で、
大気に当てずに、UHV表面分析チャンバにウェーハを
直接挿入することができる。したがって、低圧酸化物除
去用の装置を他の真空システムと統合することができ
る。
【0049】吸着済み反応物レジームにおけるエッチン
グ用の装置および方法 この実施例の主要な特徴は、凝縮反応物の供給源が反応
チャンバ内の他のどの表面の温度よりも低い温度に保持
されることである。こうした条件のもとでは、供給源か
らのすべての分子を表面上だけに吸着させることがで
き、分子が凝縮して多分子層を形成することはできな
い。このレジームでは、チャンバ内のガスの組成と、反
応物を含む表面膜の組成の間に直接の関係がある。ウェ
ーハ・マウントの温度が凝縮供給源の温度よりも低い
と、ウェーハ・マウント上に多分子層反応物膜が形成さ
れることがある。この膜は、気相反応物を脱着し生成し
続け、気相反応物は、凝縮反応物の供給源から反応物が
意図的に追加されなくなった後でもウェーハ上に吸着す
る。ウェーハ表面が凝縮供給源よりも低温である場合
は、エッチングの制御も不十分になる。その場合、ウェ
ーハ表面上に多分子層反応物膜が形成されることがあ
り、エッチングは吸着膜レジームから凝縮多分子層レジ
ームに移行する。
【0050】図面、具体的には図9および図10を参照
すると、吸着反応物膜レジームにおいて正確なエッチン
グを行うための好ましい配置が示されている。容器13
4内に固体重フッ化アンモニウムが保持されている。容
器134は、重フッ化アンモニウムの上方にある蒸気に
当たる他の表面の温度以下の温度に維持される。この操
作は、熱電対138と制御される温度制御式シュラウド
137制御装置121aとによって行われる。あるい
は、熱電対138を容器134に取り付けることもでき
る。チャンバ113の壁はヒータ116を含み、壁の温
度が容器134の温度以上に維持されるようになってい
る。熱伝導によって、シュラウド139およびウェーハ
・マウント121の各部分の温度は、容器134とウェ
ーハ114のどちらの表面よりも低い温度に維持され
る。熱電対131、132、138、および制御装置1
21aが適切な温度を確保する。ウェーハ114の表面
には、たとえば、TEOS酸化物と熱酸化物など、異な
る2種類の二酸化ケイ素が露出している。最も簡単な運
転モードでは、ウェーハ114、重フッ化アンモニウム
容器134、チャンバ113はすべて室温である。チャ
ンバ113を排気し、真空ポンプへの弁128を閉じ、
調整弁125と遮断弁110を開く。重フッ化アンモニ
ウムからのHFおよびNH3でチャンバ113を充填し
て、1分未満で「最終圧力」に圧力を上げる。この圧力
は、室温での重フッ化アンモニウムの蒸気圧とほぼ等し
く、圧力モニタ130によって検出される。最終圧力
は、供給源中の凝縮反応物の蒸気圧とほぼ等しく、ソー
ス、ウェーハおよびチャンバがすべて同じ温度の状態で
決定される。「最終圧力」が決定されると、温度および
ポンピング速度を変更することができ、チャンバの圧力
がウェーハの温度での最終圧力以下であるかぎり、反応
は吸着膜レジームのままである(以下の製造システムの
説明を参照されたい)。弁110を開いた後、ウェーハ
114の表面上と、二酸化ケイ素で被覆された水晶微量
てんびん(QCM)の表面上に、反応物を含む、厚さが
単分子層以下の膜115が吸着される。H2O反応生成
物が生成物層から逸出してチャンバ内部の気状大気中に
入るにつれて、チャンバ内部の圧力が徐々に上昇し最終
圧力を上回る。生成物層が形成されるにつれて、被覆さ
れたQCM120の質量が増加していく。弁110およ
び125は反応中開いたままである。反応による質量増
加は、二酸化ケイ素の除去による質量減少の約2倍であ
ることが分かった。この質量増加は、エッチングされる
二酸化ケイ素の量を制御するのに直接使用することがで
きる。なぜなら、(活性化させた化学種で膜を除去する
米国特許第5030319号とは対照的に)反応生成物
は単に重フッ化アンモニウム蒸気に当てるだけではまっ
たく除去されず、また吸着膜レジームで反応が発生する
際に、測定を紛わしくする可能性のある厚い反応物層が
基板上に形成されないからである。所望の量の二酸化ケ
イ素がエッチングされたことをQCM120からの信号
が示していると制御装置121aが判定すると、弁11
0が閉じ、弁128が開き、チャンバ116の排気が開
始する。圧力モニタ130を使用して、反応物膜の脱着
中に高い圧力によってチャンバ内部で多分子層反応物膜
が形成されたかどうかが検出される。チャンバ内のどこ
かに不要な多分子層反応物膜が形成された場合、チャン
バの排気が開始してもチャンバ内部の圧力はすぐには降
下しない。さらに、不要な凝縮反応物膜の脱着中に反応
物圧力が十分な高さに上昇した場合、不要な反応が発生
したことがQCMによって示される可能性がある。ウェ
ーハ114を加熱し、生成物層を脱着させるために、同
心管140の内部を循環する熱伝導流体の温度を100
℃近くに上げる。モニタ130によって測定され制御装
置121aに送られる圧力は、生成物の脱着中上昇す
る。圧力が降下すると、脱着は完了し、制御装置121
aは同心管140内部を循環する熱伝導流体の温度を室
温またはそれをわずかに上回る値まで下げる。加工済み
のウェーハを取り出し、新しいウェーハを装入すること
ができ、システムは酸化物除去工程を繰り返す準備がで
きている。
【0051】前述のように、同様な量のTEOSおよび
熱二酸化ケイ素を除去することができる。反応生成物の
層の厚さを調整することが可能であり、したがって、反
応の温度を変え、あるいはHFとNH3の比を修正する
ことにより、エッチングされる二酸化ケイ素の量を制御
することができる。制御装置121aは、重フッ化アン
モニウム反応物の温度を制御するシュラウド137の温
度を下げることができ、かつ同心管140内を循環する
熱伝導流体の温度を下げることによって、ホルダ121
に取り付けられたウェーハ114の温度を下げることが
できる。あるいは、温度を上げることもできる。この場
合も、反応物の凝縮供給源の温度が、反応物蒸気にさら
される他のあらゆる表面の温度より低く維持されるの
で、チャンバ内に凝縮多分子層膜は形成されない。以下
に、温度制御システムについて説明する。弁112を開
き、制御装置121aによって弁127を調整して、容
器136からHFガスを導入させ、圧力モニタ130に
よって所望の圧力上昇が検出されるまでこのガス導入を
続けることにより、HFとNH3の比を増すことができ
る。HFは、重フッ化アンモニウム・セルから蒸気を導
入する前または後に追加することができる。
【0052】凝縮重フッ化アンモニウムの代りに他の反
応物凝縮供給源を使用することもできる。本実施例の製
造バージョンでは、たとえそれが好ましい場合でも、二
酸化ケイ素で被覆した水晶微量てんびんを含めることは
できない。製造バージョンでは、QCMのような適切な
薄膜モニタを一時的に使用するだけで、動作が吸着反応
物レジームのままになるように工程を較正することがで
きる。
【0053】たとえば、一部の製造システムでは、弁1
28を完全に閉じるのが困難な場合がある。そのような
とき、シュラウド137、容器134、シュラウド13
9、およびチャンバ116を、ウェーハ114の温度よ
り高い温度に加熱すると好都合なことがある。弁128
が完全に閉じないと、圧力が最終圧力より低い圧力に降
下する。反応物の最適圧力は最終圧力に近いので、反応
物供給源134を加熱して、圧力を上げて最終圧力に戻
す。しかし、本発明は、最終圧力の10分の1ないし2
0分の1の圧力で動作する。反応物は、供給源134か
らチャンバ内および弁128外へ連続的に流れる。弁1
28を閉じることができるシステム、または被覆のない
QCM結晶に関する試験によって、製造システムを迅速
に較正することができ、多分子層反応物膜の凝縮を避け
ながら、該システムを最適「最終圧力」で同時に稼働す
ることができる。
【0054】図13は、本発明の実施例で基板上に存在
する膜および層を表す。
【0055】温度制御システム 温度制御システムは、ウェーハの表面を、反応物ガスと
接触する最低温の表面として維持して、ウェーハ・マウ
ントの他のどの部分にも凝縮多分子層膜が形成されない
ようにすることが好ましい。温度制御システムはまた、
HFおよびNH3で処理して二酸化ケイ素を除去するた
めに、ウェーハを−40ないし100℃の範囲で冷却し
加熱する便利な方法を提供すべきである。図10は、中
心に冷却入口を備え、外側により高温の戻り管を備える
ことによって、より高温の外側管が反応物ガスと接触す
るようにした、熱伝導流体を充填した同心配管を使用す
る解決策を示している。温度制御には2つのフェーズが
ある。第1フェーズでは、ウェーハが100℃より低
く、酸化物層の反応が起こる。第2フェーズでは、ウェ
ーハが100℃近くに加熱され、生成物層が除去され
る。温度制御サーキュレータ150からの流体は、第1
フェーズの低温に冷却される。流体は矢印の方向に流れ
る。弁152を閉じると、流体が弁151を通って、内
側同心管155に流入する。内側同心管155は、ウェ
ーハ114の裏側付近の、ウェーハ・マウント121の
内側に流体を配給する。流体は、矢印の方向に流れ、外
側同心管140に戻る。さらに、チャンバの壁157を
通過し、温度制御サーキュレータ150に戻る。このフ
ェーズでは、ヒータ中を流体流が通過しないので、制御
装置121aによってインライン・ヒータ154に供給
される電力が削減される。第2フェーズでは、ウェーハ
114の温度を100℃近くに上げ、これを熱電対15
8で監視する必要がある。制御装置121aが弁151
を閉じ、弁152を開くので、流体は、絞り弁153を
通過してから、インライン・ヒータ154を通過しなけ
ればならなくなる。熱電対156を使用して、ヒータ1
54から出る流体の温度を監視する。制御装置121a
はインライン・ヒータ154に印加される電力を調整
し、あるいは絞り弁153を通る流量を調整する。この
調整は、熱電対156によって測定される温度が100
℃近くになるまで続けられる。
【0056】薄膜モニタ 本発明では、2種類の膜モニタを使用する。一方の種類
は、表面上の膜を検出することができ、他方の種類は、
表面から脱着して気相に入る膜を検出することができ
る。表面膜モニタが有用となるには、700Å未満の厚
さの膜が検出できる必要がある。感度が高く、膜の絶対
量の較正が正確で、使いやすいことから水晶微量てんび
んが好ましいが、楕円偏光計や表面に衝突する赤外ビー
ムも表面膜を検出でき、膜の制御に役立つ。他の監視装
置も使用できる。圧力モニタは、脱着して気相に入る膜
を検出することができる。数分の一ミリトルまで検出で
きる任意の圧力センサが好ましい。しかし、チャンバを
通過する赤外ビーム、または質量分析計を使用して、チ
ャンバ内の圧力変化を検出することもできる。
【0057】これらのモニタは、様々な形でエッチング
の制御に役立つ。たとえば、吸着膜レジームでNH3
よびHFでSiO2をエッチングするとき、生成物膜の
量は、エッチングされる二酸化ケイ素の量に比例する。
この膜は表面上で検出することができ、反応は制御装置
によって終了することができる。他のエッチング・シス
テムでは、凝縮の開始を決定することができ、膜厚の変
化が検出でき、したがって制御装置によって反応物の正
しい量および正しい滞在時間が保証される。SiO2
被覆したQCMは、SiO2の損失を検出することがで
きる。
【0058】脱着して気相に入る膜のモニタは、チャン
バ内部のどこかに不要な膜が凝縮していないかどうかを
判定することができる。凝縮している場合、制御装置は
温度を変えて膜を除去することができる。モニタはま
た、すべての生成物膜が脱着されるように保証すること
もできる。
【0059】微量てんびんの使用 水晶微量てんびん(QCM)の振動数は、実施例で説明
する制御装置内に組み込まれたパーソナル・コンピュー
タ・ベースのマルチチャネル・スカラによって、時間の
関数として記録される。微量てんびんを備えた発振器
は、高周波チョーク(図示せず)を介してオーブン安定
化5V電源から電力を得る。電源線上の発振器信号は、
マルチチャネル・スカラに交流結合されている。
【0060】図7は、HFガスとNH3ガスの混合物か
ら二酸化ケイ素上に反応物層が凝縮する際の微量てんび
ん信号を示す。図7の点Aと点Bの振動数の差を求める
場合などの静的振動数測定は、結晶の共振振動数の小さ
な温度依存性をなくすため、同じ温度で行う。静的振動
数測定は、マルチチャネル・スカラではなく、さらに正
確なオーブン安定化振動数カウンタで行う。QCM振動
数は実験中、毎秒10分の2〜3サイクル程度で安定し
ている。
【0061】水晶微量てんびんを使用して、吸着層、反
応層、および除去されたSiO2層の厚さを求める。図
7に示す測定した共振振動数を、厚さに変換する必要が
ある。結晶振動数と付着膜厚に関するMillerとB
olefの方程式の単純バージョンを次に示す。
【数1】 T=(Nqq/dfc){(1/πZ)tan-1(Z tan[π(fq−fc)/fq])}
【0062】上式で、Nqは水晶では1.668×10
13Hz−Å、dqは石英の密度、dfは付着膜の密度、f
cは結晶の測定された共振振動数、fqは無被覆の結晶の
共振周波数、zは水晶と付着膜の音響インピーダンスの
比である。水晶上の層は主としてスパッタされたSiO
2なので、式(1)では、溶融シリコンのZ値1.07
と密度値2.2g/cm3を使用する。薄い層またはZ
が1に近い場合、{}内の式は(fg−fc)/fgと
ほとんど変わらず、これは本明細書で説明する実験にも
当てはまる。重フッ化アンモニウムまたはフッ化水素ア
ンモニウムの密度は1.5g/cm3である。ヘキサフ
ルオロケイ酸アンモニウム生成物12には、2つの既知
の結晶形の中間の2.08g/cm3という値を使用す
る。SiO2被覆結晶の換算係数は、SiO2では0.5
65Å/Hz、重フッ化アンモニウムでは、0.83Å
/Hz、ヘキサフルオロケイ酸アンモニウムでは0.6
0Å/Hzである。
【0063】反応の発生反応物の凝縮または吸着が必要
なことの実証 本開示で扱う種類の反応の特徴は、温度が上がるにつれ
て反応速度が低下することである。低温では、反応物が
凝縮して厚い多分子層を形成することがある。温度が上
昇して凝縮温度を上回ると、多分子層は形成されなくな
るが、再蒸発の前に分子が短時間の間表面上に吸着され
続ける。温度が上昇するにつれて、吸着される反応物の
量は減少し続ける。被覆QCM結晶と無被覆QCM結晶
での実験で、多分子層が凝縮するには高過ぎる温度でも
引き続き反応が発生することが確認されている。アンモ
ニアおよびHF反応物の低圧供給源としてエフュージョ
ン・セルを使用すると共に、低温に維持した二酸化ケイ
素表面を使用する実施例で、気状反応物が表面と直接反
応しないことが示されている。
【0064】図7の配量曲線に示すQCM振動数の減少
率から、表面に衝突する反応物フラックスの下限を約7
Å/秒と推定することができる。SiO2被覆QCM
を、18.3℃で6分間または100℃で20分間この
反応物フラックスに当てたとき、振動数の変化はそれぞ
れ1Hz未満または3Hz未満である。これは、表面上
での反応物の凝縮がほとんどまたはまったく起こらず、
SiO2との反応がほとんどまたはまったく起こらない
ことを示唆している。
【0065】特定の理論に拘束されるものではないが、
二酸化ケイ素の除去が反応物の凝縮または吸着の後に行
われるという観察に対する2つの説明が可能であると仮
定する。1つの説明は、凝縮によって、表面における反
応物の濃度が、気相反応物よりも何桁も増すというもの
である。冷却QCM上で凝縮したアンモニアおよびHF
の濃度は約26モル/lであり、これに対し、加熱され
たQCMに当たる気状反応物のフラックスの推定モル濃
度は約10-10モル/lである。凝縮相では気相よりも
高い速度で反応が発生することができるもう1つの理由
は、凝縮時に反応物の形が変わることができる、具体的
に言うと、イオンが形成できることである。高誘電率の
溶媒は、イオンまたはイオン特性を有する反応遷移状態
を効率的に安定化する。最良の高誘電率の溶媒は、水、
アンモニア、HFなど水素結合を行う溶液である。なぜ
なら、極性水素結合がイオンの近傍で配向を変えて、追
加の安定化を提供するからである。HFを水に溶解する
と、重フッ化水素イオンHF2 -が形成される。HF
2 -は、SiO2に対してHFの約5倍の反応性を有す
る。赤外分光分析では、アンモニアとHFを含む凝縮層
にHF2 -が検出される。HFとNH3を含む凝縮膜にお
けるHF2 -およびHFの相対的反応性はわかっていな
い。
【0066】凝縮固体層の使用によるエッチングの制御 HFを含む溶液またはプラズマ中で二酸化ケイ素が反応
した後にヘキサフルオロケイ酸アンモニウムが反応生成
物として観察されたが、ヘキサフルオロケイ酸アンモニ
ウム生成物の層を使って、二酸化ケイ素に対するHFの
反応を制御できることを発見した。この発見は、酸化物
表面上にアンモニアとHFガスの前駆体から既知の厚さ
の生成物層が形成されるときの二酸化ケイ素の反応速度
を測定した際に得られた。溶液またはプラズマ中での反
応によって形成された生成物は、イオン衝撃によって溶
解または除去すると、反応性を制御する上での該生成物
の影響をなくすことができる。凝縮層を使用して表面の
反応性を制御する方法は、HFとアンモニアの凝縮膜中
でのHFと二酸化ケイ素の反応以外の系にも適用でき
る。
【0067】表面上に生成物の固体層が形成されると
き、除去されるエッチング層の量は、反応物膜とエッチ
ングされる層の材料の反応の速度と、反応物が固体層を
通過する拡散速度"y"との組合せによって決定される。
生成物の固体層が形成されるので、反応終了後、反応済
みの層を除去するために基板を加熱する必要がある。
【0068】表面上に反応済みの層が存在すると、Si
2層に対するHFの反応の制御が向上する。HFは未
反応のSiO2に達するために反応済み層を通過しなけ
ればならない。図11は、時間の経過と共に反応済み層
の厚さが増すにつれて、HFとSiO2の反応の速度が
減少することを示している。露出時間が長いと、反応済
み層中をHFが拡散する速度はゼロに近づき、反応は本
質的に終了する。したがって、除去される酸化物の量
は、酸化物表面の反応性よりも、HFが反応済み層中を
拡散する固有の能力によって大きく制御される。図12
は、25℃で二酸化ケイ素表面および反応物の重フッ化
アンモニウム供給源を用いた、TEOSおよび熱酸化物
のエッチングを比較したものである。短時間では熱酸化
物よりもTEOS酸化物の方が多く反応することが明ら
かである。これは、反応速度が、拡散ではなく酸化物の
固有の反応性によって制御されるからである。約70Å
に達した後は、生成物層中を通る反応物の拡散速度が支
配的になるので、反応済みの量は類似の値になる。異な
る種類の酸化物を同様な量だけエッチングする際に、自
己制限厚さを待つ必要はない。
【0069】反応物の拡散が反応速度を制限するのに必
要な厚さは、反応の温度を変えることにより制御するこ
とができる。自己制限厚さも、反応の温度を変えると制
御できる。温度が低いほど、拡散速度が低くなり、自己
制限反応厚さが薄くなる。この挙動の証拠は、重フッ化
アンモニウムからの凝縮蒸気の数千の層に何分間当てて
も、温度が−35℃のとき、二酸化ケイ素がわずか十分
の数Å程度しか除去されないことである。
【0070】あるいは、二酸化ケイ素表面より上にある
ガスのHFとNH3の比率を変えることにより、エッチ
ングされる二酸化ケイ素の量を制御することができる。
純粋なHFは、非自己制限工程で二酸化ケイ素をエッチ
ングする。ヘキサフルオロケイ酸生成物を形成するには
アンモニアが必要である。酸化物表面の上にあるガスの
HFとNH3の比率を変えることにより、純粋なHFの
無限の厚さから、重フッ化アンモニウム(HF:NH3
=2)で得られる厚さまでのエッチング厚さを得ること
ができる。
【0071】図1に示した種類のアンダカットを最小限
に抑えるための特に好ましい方法は、生成物層制御を使
用するものである。さらに、自己制限厚さを層2の厚さ
に合致するように調整することができる。
【0072】反応済み層の特徴 凝縮重フッ化アンモニウムと接触させた際の、HFの二
酸化ケイ素との反応は、水溶液中での反応と似ている。
すなわち、SiO2+4HF=SiF4+2H2Oであ
る。しかし、SiF4は、溶液に放出されるのではな
く、捕捉されて凝縮膜内で反応し、ヘキサフルオロケイ
酸アンモニウム(NH42SiF6を生成する。ヘキサ
フルオロケイ酸アンモニウムは、反応済み層の赤外スペ
クトルで観測される。微量てんびんの結果も、反応済み
層の存在を示す。アンモニアおよびHFが凝縮した後、
未反応の過剰な成分が脱着して、振動数が101Hz減
少する。この振動数の減少は、初期に凝縮したアンモニ
アとHFの厚さ数千Åの層のうち84Åの反応に相当す
る。図7の2000秒点付近の最小振動数は、8700
Åの初期層に相当する。100℃に加熱すると、共振周
波数が103Hz増大する。これは、58Åの二酸化ケ
イ素の除去に相当する。
【0073】反応生成物の分子を含むシリコンの熱脱着
スペクトルを図8に示す。質量47、85、33、4
8、86、49、および87におけるピークの時間依存
性が同じであることは、反応済み層からの解放が同時に
起こることを示しており、これらの質量は親であるSi
4から予測される。質量85、86、87は、質量2
8、29、30のシリコン同位元素を含むSiF3 +から
生じ、質量47、48、49はSiF+から生じ、質量
33はSiF2 ++である。これらの熱脱着スペクトル
は、ヘキサフルオロケイ酸アンモニウムの反応済み層が
熱分解するときにSiF4が解放されることと整合して
いる。本反応のSiO2層から出発して、完全にヘキサ
フルオロケイ酸アンモニウムから構成される反応済み層
からの微量てんびん振動数の減少量は、SiO2の除去
による振動数の増加量の2倍である。また、生成物層
は、水などの溶媒中での洗浄により除去することもでき
る。
【0074】本発明の有利な諸態様 圧力に関する態様 ある種の応用例では、低圧(10-3トルないし10-10
トル)のチャンバ内で、あるいは低圧で稼働するチャン
バに取り付けられたチャンバ内でエッチング工程を行う
必要がある。反応物の差圧排気源は、上述の低圧運転を
可能にするのみならず、次の利点も提供する。反応物の
配給が方向性であるため、アンダカットが最小限に抑え
られ、あるいはウェーハ上の高アスペクト比の構造が貫
通できる。基板上に付着される反応物の量が、したがっ
て除去されるエッチング済み層の量が簡単に制御でき
る。この種の供給源から離れてエッチングすべきウェー
ハの表面に衝突する反応物のフラックスは時間的に変化
せず、反応チャンバ内のガス分子間の衝突によって強い
影響を受けないので、図4に示すシャッタ59、または
シャッタの代りとなることができる弁を正確なタイミン
グで開くことによって付着量が容易に制御できる。供給
源は、低圧運転について前節で説明したエフューシブ・
ソース、超音速分子ビーム源、またはウェーハとの間で
ほとんど衝突を起こさずに稼働するその他の供給源とす
ることができる。
【0075】温度に関する態様 異なる運転モードを伴ういくつかの異なる温度レジーム
がある。ここでは基板、チャンバ、および供給源の温度
に関連するいくつかの重要な態様について述べる。
【0076】反応物の蒸気圧が温度と共に増加するの
で、上述の低圧運転では、比較的低い基板温度が必要で
ある。アンモニアとHFまたは重フッ化アンモニウムを
使用する上記の例では、温度を下げて反応物を凝縮しや
すくしてから、温度を上げて反応生成物の蒸発を促進す
る必要がある。一定の温度での運転は不可能である。基
板の冷却と基板の加熱が共に必要である。図には加熱用
コイルを示してあるが(たとえば、図4のヒータ5
5)、どんな形式の加熱も可能であり、急速モードの加
熱の効果が最大である。加熱のタイム・スケールは、レ
ーザによる光を使用する場合の数ナノ秒以下から、従来
の手法を使用する場合の数秒までの広い範囲になる。可
能なヒータ手段としては、レーザやランプからのパルス
光、抵抗ヒータ、誘導加熱器、電子ビーム・ヒータ、イ
オン・ビーム・ヒータなどがある。
【0077】もう1つの望ましい運転モードは、温度の
循環を必要としないモードである。気状のHFとNH3
を使用する酸化物除去の場合、温度を、反応生成物の脱
着に必要な値より高い値に固定する必要がある。図8
は、最適の温度値が50℃ないし150℃の範囲である
ことを示している。この場合、選択された温度値で固体
フッ化アンモニウムまたは重フッ化アンモニウムの上の
平衡圧力より高い圧力で、制御された量のアンモニアお
よびHFを導入して、エッチングすべき表面上に凝縮ま
たは吸着が起こるようにする。凝縮アンモニアおよびH
Fで二酸化ケイ素を除去する場合の50℃ないし100
℃は室温を大きく上回ってはいないが、室温よりずっと
高い温度が好ましい場合もある。たとえば、反応物また
は反応生成物の揮発性が低いときに、反応物供給源、エ
ッチングすべき基板、およびチャンバをすべて室温より
かなり高い温度にすると好都合なことがある。ウェーハ
が−35℃ないし−45℃より低いとき、重フッ化アン
モニウム・セルからの蒸気内の分子は、ウェーハの、衝
突した部分に固着する。蒸気圧は10-8トルより低いの
で、再蒸発は無視することができ、固着係数は高い。揮
発性の低い他の反応物は、ウェーハが−35℃より高い
温度のとき、衝突した場所に固着する。揮発性のより高
い反応物では、ウェーハの温度を低くする必要がある。
【0078】化学薬品に関する態様 本明細書で論じる反応はシリコンから酸化物を除去する
ように設計されているが、他の組合せも可能である。た
とえば、正確なエッチング用に設計された本発明の装置
で、水溶液と共に一般的に使用される多数の異なる化学
薬品を使用することができる。
【0079】特定の供給源の例としては、固体重フッ化
アンモニウムの蒸気、HF、NH3、およびH2Oの溶
液、HF、NH3、およびH2Oの別々の供給源、HFと
NH3 の別々の供給源、HFの気状ソースまたは溶液ソ
ースと結合されたNH3/H2Oの溶液などが挙げられ
る。水以外の溶媒または溶液も使用できる。たとえば、
水の代りにアルコールを使用することができる。HFベ
ースの化学薬品を使用して、シリコン以外の他の基板か
ら酸化物を除去することができる。たとえば、多数のケ
イ化物上に形成された二酸化ケイ素は、HFを含む凝縮
層と反応することができる。二酸化ケイ素以外の他の酸
化物は、HFとNH3またはH2Oを含む凝縮層と反応す
る。たとえば、実験によると、固体重フッ化アンモニウ
ムからの蒸気を含むHF蒸気は、ゲルマニウムを含む酸
化物を除去することができる。純粋なゲルマニウム酸化
物でも反応する。
【0080】アンモニウム・イオンを含む固体供給源、
またはアンモニアと酸を含む別々の供給源を使用する低
圧または高温の化学薬品がいくつかあることに留意され
たい。アンモニア自体は高い蒸気圧を有するが、酸との
反応時に形成される固体を含むアンモニウム・イオンは
特に揮発性が高くない点で、アンモニアはかなり独特で
あるように思われる。したがって、酸化物以外の膜をエ
ッチングし、アンモニアを含む凝縮膜において類似の反
応を示すいくつかの水性化学薬品がある。材料をエッチ
ングする際、大量の材料をエッチングする必要はない。
たとえば、本発明の装置および方法によって、痕跡量の
金属汚染物を除去することができる。
【0081】好ましい実施例では安定な分子を使用して
いるが、反応物供給手段を、ソースまたはチャンバ内の
前駆体から反応物を合成する放電源とすることもでき
る。
【0082】本装置および方法を使用して、基板の表面
上に単一の層または部分層から成る化学組成物および構
造を作成することができる。すなわち、重フッ化アンモ
ニウムの蒸気によってシリコンから酸化物を除去すると
Si−Fを含む表面層が残る。重フッ化アンモニウムの
蒸気、次にH2Oに順次当てると、Si−Fが除去さ
れ、他の化学種でそれが置き換えられる。
【0083】好ましい実施例では反応チャンバ内に単一
のウェーハが示してあるが、当業者には、反応チャンバ
内の複数のウェーハに対し本発明を同時に実施できるこ
とが容易に理解されよう。
【0084】
【発明の効果】本発明は初めて、エッチングすべき表面
上の凝縮反応物の膜の組成、厚さ、および表面滞在時間
を制御する手段を提供し、それによってエッチング反応
の程度を正確に制御することができるようになった。
【図面の簡単な説明】
【図1】従来技術の半導体ウェーハの、1つの層に非制
御等方性エッチングを施した後の側面図である。
【図2】フローメータを備えた気状反応物の供給源を使
用する、薄い層をエッチングするための従来技術の装置
の側面図である。
【図3】2成分液体混合物と該混合物の上の蒸気の平衡
組成を示す図である。
【図4】反応物の供給源、すなわち、そこから基板へと
移動する反応物分子が限られた衝突を受けるときに低い
チャンバ圧力で動作できるエフュージョン・セルを備
え、表面上に凝縮された膜を制御することによって薄い
膜の正確なエッチングを行うための、本発明の実施例の
側面図である。
【図5】HFとアンモニアの混合物で酸化物層のエッチ
ングを行うときにチャンバ内に存在する気状混合物の質
量スペクトルである。水も存在している。
【図6】反応物をウェーハに迅速に供給できる気状反応
物の供給源を使用した、薄い層を正確にエッチングする
ための、本発明の実施例の側面図である。
【図7】酸化物で被覆したシリコン表面上のアンモニア
とHFの凝縮膜を制御する際の微量てんびん信号を時間
の関数としてプロットした図である。
【図8】100℃近くで脱着して気相に入る、反応生成
物の層からの気相分子の質量を示す熱脱着スペクトルで
ある。
【図9】反応物の吸着層で正確にエッチングするための
装置の配置の側面図である。
【図10】ウェーハ・マウントおよび温度制御システム
の詳細図である。
【図11】スパッタ二酸化ケイ素を重フッ化アンモニウ
ム・セルの上の蒸気に当てたときの、生成物形成速度を
生成物の厚さの関数として示す図である。
【図12】重フッ化アンモニウム・セルの上の蒸気に当
てた後のTEOS酸化物および熱二酸化ケイ素のエッチ
ング速度を、露出時間の関数として比較した図である。
【図13】本発明の実施例で基板上に存在する膜および
層の典型例を示した図である。上部層は、反応物の凝縮
膜または吸着膜、あるいは凝縮膜中に拡散した反応物で
ある。その下にある次の層は、テトラエトキシシラン
(TEOS)二酸化ケイ素の層であり、この層の下に熱
SiO2の層があり、基板はシリコンの層である。言う
までもなく、ヒ素、リン、ホウ素などの原子を含む他の
種類のSiO2を代りに使用することもできる。
【符号の説明】
10 弁 13 チャンバ 14 基板 15 凝縮層 16 ヒータ 18 管 20 水晶微量てんびん 21 基板マウント 21a 制御装置 22 貯蔵器 29 貯蔵器圧力モニタ 30 チャンバ圧力モニタ 31 チャンバ温度モニタ 35 反応物 37 加熱シュラウド 38 温度センサ
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ウェズリー・シー・ナッレ アメリカ合衆国12590、ニューヨーク州 ワッピンガーズ・フォールズ、チェルシ ー・ケイ 112 (72)発明者 チェン・ファン・ユー アメリカ合衆国10930、ニューヨーク州 ハイランド・ミルズ、ジェファーソン・ ストリート 47 (56)参考文献 特開 昭54−161275(JP,A) 特開 昭62−139335(JP,A) 特開 平4−65125(JP,A) 特開 平4−134818(JP,A) 特公 昭46−19528(JP,B1)

Claims (5)

    (57)【特許請求の範囲】
  1. 【請求項1】(a)真空の反応チャンバ内にエッチング
    すべき材料を有する基板を置き、前記基板のエッチング
    すべき表面の温度を前記真空チャンバ内の他の表面より
    も低い温度に保持するステップと、 (b)凝縮膜を形成するのに十分な量の反応物を、前記
    チャンバ内に迅速に導入して、前記材料の表面上に、実
    質的に前記反応物の吸着膜を形成することなく、前記反
    応物の凝縮膜を形成して、前記材料をエッチングするス
    テップと、 (c)前記エッチング終了後、前記チャンバ内または前
    記基板の表面から前記反応物及び反応生成物を除去する
    ステップと、 を含む、半導体基板エッチング方法。
  2. 【請求項2】前記反応物を前記チャンバ内に導入する際
    に、ポンピングせず、前記材料の表面上に凝縮膜を所定
    の時間保持することを特徴とする、請求項1記載のエッ
    チング方法。
  3. 【請求項3】前記チャンバは、差圧排気源を有し、前記
    エッチングは、10-3ないし10-10の低圧下で行われ
    ることを特徴とする、請求項1記載のエッチング方法。
  4. 【請求項4】(a)反応チャンバ内にエッチングすべき
    材料を有する基板を置き、基板のエッチングすべき表面
    の温度をチャンバ内の他の表面よりも低い温度に保持す
    るステップと、 (b)1分未満で前記チャンバ内の圧力が反応物の蒸気
    圧になるように、前記チャンバ内に前記反応物を導入し
    て、前記材料の表面上に前記反応物の吸着膜を形成する
    ステップと、 (c)前記チャンバ内の圧力を、前記反応物の蒸気圧よ
    り低い圧力に制御して、前記材料の表面上に吸着膜を保
    持して、前記材料をエッチングするステップと、 (d)前記エッチング終了後、前記チャンバ内または前
    記基板の表面から前記反応物及び反応生成物を完全に除
    去するステップと、 を含む、半導体基板エッチング方法。
  5. 【請求項5】水晶微量てんびん、楕円偏光計、赤外分光
    計よりなる群から選択された膜厚モニタ手段を使用し
    て、前記基板上の膜厚を測定し、測定された膜厚に基づ
    き、前記基板の表面の温度または前記反応物の量を制御
    して、前記膜を制御することを特徴とする、請求項1な
    いし4のいずれか1つに記載のエッチング方法。
JP5271733A 1992-11-09 1993-10-29 エッチング方法 Expired - Lifetime JP2501295B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US07/973,380 US5282925A (en) 1992-11-09 1992-11-09 Device and method for accurate etching and removal of thin film
US973380 1992-11-09

Publications (2)

Publication Number Publication Date
JPH06224153A JPH06224153A (ja) 1994-08-12
JP2501295B2 true JP2501295B2 (ja) 1996-05-29

Family

ID=25520830

Family Applications (1)

Application Number Title Priority Date Filing Date
JP5271733A Expired - Lifetime JP2501295B2 (ja) 1992-11-09 1993-10-29 エッチング方法

Country Status (11)

Country Link
US (1) US5282925A (ja)
EP (1) EP0597792B1 (ja)
JP (1) JP2501295B2 (ja)
KR (1) KR970003888B1 (ja)
CN (1) CN1038349C (ja)
AT (1) ATE219292T1 (ja)
CA (1) CA2104071C (ja)
DE (1) DE69332013T2 (ja)
MY (1) MY109103A (ja)
SG (1) SG46459A1 (ja)
TW (1) TW246746B (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8119530B2 (en) 2006-12-25 2012-02-21 National University Corporation Nagoya University Pattern forming method and semiconductor device manufacturing method
US8440568B2 (en) 2009-03-19 2013-05-14 Tokyo Electron Limited Substrate etching method and system

Families Citing this family (144)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5395482A (en) * 1992-11-13 1995-03-07 Fuji Photo Film Co., Ltd. Ultra high purity vapor phase treatment
US5505816A (en) * 1993-12-16 1996-04-09 International Business Machines Corporation Etching of silicon dioxide selectively to silicon nitride and polysilicon
KR0137841B1 (ko) * 1994-06-07 1998-04-27 문정환 식각잔류물 제거방법
JP3257328B2 (ja) 1995-03-16 2002-02-18 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US5489553A (en) * 1995-05-25 1996-02-06 Industrial Technology Research Institute HF vapor surface treatment for the 03 teos gap filling deposition
US5636320A (en) * 1995-05-26 1997-06-03 International Business Machines Corporation Sealed chamber with heating lamps provided within transparent tubes
US5792275A (en) * 1995-06-06 1998-08-11 International Business Machines Corporation Film removal by chemical transformation and aerosol clean
JP2884054B2 (ja) * 1995-11-29 1999-04-19 工業技術院長 微細加工方法
US6048798A (en) 1996-06-05 2000-04-11 Lam Research Corporation Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer
US5885402A (en) * 1996-07-17 1999-03-23 Applied Materials Diagnostic head assembly for plasma chamber
US6054328A (en) * 1996-12-06 2000-04-25 International Business Machines Corporation Method for cleaning the surface of a dielectric
US5766971A (en) * 1996-12-13 1998-06-16 International Business Machines Corporation Oxide strip that improves planarity
DE19704454C2 (de) * 1997-02-06 2000-03-02 Bosch Gmbh Robert Verfahren zur Herstellung oberflächenmikromechanischer Strukturen mittels Ätzung in der Dampfphase
US6074951A (en) * 1997-05-29 2000-06-13 International Business Machines Corporation Vapor phase etching of oxide masked by resist or masking material
US5876879A (en) * 1997-05-29 1999-03-02 International Business Machines Corporation Oxide layer patterned by vapor phase etching
US5838055A (en) * 1997-05-29 1998-11-17 International Business Machines Corporation Trench sidewall patterned by vapor phase etching
EP0990267B1 (en) 1998-03-02 2005-11-09 Koninklijke Philips Electronics N.V. Etching method
US5980770A (en) * 1998-04-16 1999-11-09 Siemens Aktiengesellschaft Removal of post-RIE polymer on Al/Cu metal line
DE19824142A1 (de) 1998-05-29 1999-12-09 Siemens Ag Verfahren zum Ätzen von flourwasserstofflöslichen Schichten
US6319794B1 (en) 1998-10-14 2001-11-20 International Business Machines Corporation Structure and method for producing low leakage isolation devices
US6740247B1 (en) * 1999-02-05 2004-05-25 Massachusetts Institute Of Technology HF vapor phase wafer cleaning and oxide etching
US6376873B1 (en) 1999-04-07 2002-04-23 International Business Machines Corporation Vertical DRAM cell with robust gate-to-storage node isolation
JP4057198B2 (ja) * 1999-08-13 2008-03-05 東京エレクトロン株式会社 処理装置及び処理方法
US6265304B1 (en) * 1999-10-05 2001-07-24 Advanced Micron Devices, Inc. Controlling an etching process of multiple layers based upon thickness ratio of the dielectric layers
WO2001037329A1 (en) * 1999-11-15 2001-05-25 Lucent Technologies, Inc. System and method for removal of material
US6335261B1 (en) 2000-05-31 2002-01-01 International Business Machines Corporation Directional CVD process with optimized etchback
JP2002025979A (ja) * 2000-07-03 2002-01-25 Hitachi Ltd 半導体集積回路装置の製造方法
US6926843B2 (en) * 2000-11-30 2005-08-09 International Business Machines Corporation Etching of hard masks
KR100390553B1 (ko) * 2000-12-30 2003-07-07 주식회사 동진쎄미켐 근적외선 분광기를 이용한 금속막 에칭 공정 제어방법 및에쳔트 조성물의 재생방법
US7067440B1 (en) 2001-08-24 2006-06-27 Novellus Systems, Inc. Gap fill for high aspect ratio structures
KR100431657B1 (ko) * 2001-09-25 2004-05-17 삼성전자주식회사 웨이퍼의 처리 방법 및 처리 장치, 그리고 웨이퍼의 식각방법 및 식각 장치
US6805752B2 (en) * 2001-10-10 2004-10-19 Taiwan Semiconductor Manufacturing Co., Ltd Method and apparatus for reducing acidic contamination on a process wafer following an etching process
US6541351B1 (en) * 2001-11-20 2003-04-01 International Business Machines Corporation Method for limiting divot formation in post shallow trench isolation processes
US6794290B1 (en) 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
US6586818B1 (en) 2002-03-08 2003-07-01 International Business Machines Corporation Self-aligned silicon germanium heterojunction bipolar transistor device with electrostatic discharge crevice cover for salicide displacement
JP2004014981A (ja) * 2002-06-11 2004-01-15 Hitachi Kokusai Electric Inc 基板処理装置
US6656824B1 (en) 2002-11-08 2003-12-02 International Business Machines Corporation Low resistance T-gate MOSFET device using a damascene gate process and an innovative oxide removal etch
US6774000B2 (en) 2002-11-20 2004-08-10 International Business Machines Corporation Method of manufacture of MOSFET device with in-situ doped, raised source and drain structures
US7494560B2 (en) * 2002-11-27 2009-02-24 International Business Machines Corporation Non-plasma reaction apparatus and method
US7122485B1 (en) 2002-12-09 2006-10-17 Novellus Systems, Inc. Deposition profile modification through process chemistry
US6858532B2 (en) 2002-12-10 2005-02-22 International Business Machines Corporation Low defect pre-emitter and pre-base oxide etch for bipolar transistors and related tooling
US7029536B2 (en) * 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
US7079760B2 (en) * 2003-03-17 2006-07-18 Tokyo Electron Limited Processing system and method for thermally treating a substrate
US7214274B2 (en) * 2003-03-17 2007-05-08 Tokyo Electron Limited Method and apparatus for thermally insulating adjacent temperature controlled processing chambers
US6951821B2 (en) * 2003-03-17 2005-10-04 Tokyo Electron Limited Processing system and method for chemically treating a substrate
KR20060002807A (ko) * 2003-04-22 2006-01-09 도쿄 엘렉트론 가부시키가이샤 열처리 장치의 클리닝 방법
US7611995B2 (en) * 2003-04-22 2009-11-03 Tokyo Electron Limited Method for removing silicon oxide film and processing apparatus
US7888134B2 (en) 2003-06-05 2011-02-15 Oakland University Immunosensors: scFv-linker design for surface immobilization
US7025501B2 (en) * 2003-06-18 2006-04-11 J. A. Woollam Co., Inc Tracking temperature change in birefringent materials
US7078312B1 (en) 2003-09-02 2006-07-18 Novellus Systems, Inc. Method for controlling etch process repeatability
US6967167B2 (en) * 2003-09-30 2005-11-22 International Business Machines Corporation Silicon dioxide removing method
US20050227494A1 (en) * 2004-03-30 2005-10-13 Tokyo Electron Limited Processing system and method for treating a substrate
US20050218113A1 (en) * 2004-03-30 2005-10-06 Tokyo Electron Limited Method and system for adjusting a chemical oxide removal process using partial pressure
US7049662B2 (en) * 2003-11-26 2006-05-23 International Business Machines Corporation Structure and method to fabricate FinFET devices
US7476621B1 (en) 2003-12-10 2009-01-13 Novellus Systems, Inc. Halogen-free noble gas assisted H2 plasma etch process in deposition-etch-deposition gap fill
US7163896B1 (en) 2003-12-10 2007-01-16 Novellus Systems, Inc. Biased H2 etch process in deposition-etch-deposition gap fill
US7344996B1 (en) 2005-06-22 2008-03-18 Novellus Systems, Inc. Helium-based etch process in deposition-etch-deposition gap fill
US20070123051A1 (en) * 2004-02-26 2007-05-31 Reza Arghavani Oxide etch with nh4-nf3 chemistry
US7780793B2 (en) * 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20050218114A1 (en) * 2004-03-30 2005-10-06 Tokyo Electron Limited Method and system for performing a chemical oxide removal process
CN1306065C (zh) * 2004-05-14 2007-03-21 中国科学院理化技术研究所 可控型微生物刻蚀装置
US7217658B1 (en) 2004-09-07 2007-05-15 Novellus Systems, Inc. Process modulation to prevent structure erosion during gap fill
US7176039B1 (en) 2004-09-21 2007-02-13 Novellus Systems, Inc. Dynamic modification of gap fill process characteristics
US7381451B1 (en) 2004-11-17 2008-06-03 Novellus Systems, Inc. Strain engineering—HDP thin film with tensile stress for FEOL and other applications
US7524431B2 (en) 2004-12-09 2009-04-28 President And Fellows Of Harvard College Lift-off patterning processing employing energetically-stimulated local removal of solid-condensed-gas layers
US7435353B2 (en) * 2004-12-09 2008-10-14 President And Fellows Of Harvard College Patterning by energetically-stimulated local removal of solid-condensed-gas layers and solid state chemical reactions produced with such layers
CN100413779C (zh) * 2004-12-29 2008-08-27 中国科学院理化技术研究所 一种微米或纳米级结构的加工装置
JP4895256B2 (ja) * 2005-02-23 2012-03-14 東京エレクトロン株式会社 基板の表面処理方法
CN100395872C (zh) * 2005-02-23 2008-06-18 东京毅力科创株式会社 基板表面的处理方法、基板的清洗方法及程序
US20060196527A1 (en) * 2005-02-23 2006-09-07 Tokyo Electron Limited Method of surface processing substrate, method of cleaning substrate, and programs for implementing the methods
US7211525B1 (en) 2005-03-16 2007-05-01 Novellus Systems, Inc. Hydrogen treatment enhanced gap fill
US7344983B2 (en) * 2005-03-18 2008-03-18 International Business Machines Corporation Clustered surface preparation for silicide and metal contacts
JP2007056336A (ja) * 2005-08-25 2007-03-08 Tokyo Electron Ltd 基板処理装置,基板処理装置の基板搬送方法,プログラム,プログラムを記録した記録媒体
US7705385B2 (en) * 2005-09-12 2010-04-27 International Business Machines Corporation Selective deposition of germanium spacers on nitride
US7464580B2 (en) * 2005-09-26 2008-12-16 Oakland University Ionic liquid high temperature gas sensors
US20100216296A1 (en) * 2005-10-27 2010-08-26 Yusuke Muraki Processing Method and Recording Medium
JP4946017B2 (ja) * 2005-11-25 2012-06-06 ソニー株式会社 半導体装置の製造方法
KR101100466B1 (ko) * 2005-12-22 2011-12-29 도쿄엘렉트론가부시키가이샤 기판 처리 장치
JP2007173527A (ja) * 2005-12-22 2007-07-05 Sony Corp 半導体装置及びその製造方法
JP4890025B2 (ja) * 2005-12-28 2012-03-07 東京エレクトロン株式会社 エッチング方法及び記録媒体
US7631898B2 (en) * 2006-01-25 2009-12-15 Chrysler Group Llc Power release and locking adjustable steering column apparatus and method
JP5119604B2 (ja) * 2006-03-16 2013-01-16 ソニー株式会社 半導体装置の製造方法
US8343280B2 (en) 2006-03-28 2013-01-01 Tokyo Electron Limited Multi-zone substrate temperature control system and method of operating
JP2007266455A (ja) * 2006-03-29 2007-10-11 Tokyo Electron Ltd 基板処理装置、基板処理方法及び記憶媒体
US8375768B2 (en) * 2006-03-30 2013-02-19 Oakland University Ionic liquid thin layer sensor for electrochemical and/or piezoelectric measurements
US7886577B2 (en) 2006-03-30 2011-02-15 Oakland University Devices with surface bound ionic liquids and method of use thereof
JP4943047B2 (ja) * 2006-04-07 2012-05-30 東京エレクトロン株式会社 処理装置及び処理方法
JP2007311376A (ja) * 2006-05-16 2007-11-29 Sony Corp 半導体装置の製造方法
US7482245B1 (en) 2006-06-20 2009-01-27 Novellus Systems, Inc. Stress profile modulation in STI gap fill
US7718032B2 (en) * 2006-06-22 2010-05-18 Tokyo Electron Limited Dry non-plasma treatment system and method of using
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
US8088596B2 (en) 2006-10-10 2012-01-03 Oakland University Method of microorganism detection using carbohydrate and lectin recognition
JP4792369B2 (ja) * 2006-10-13 2011-10-12 東京エレクトロン株式会社 基板処理装置、及び基板処理の終点検出方法
KR101290282B1 (ko) * 2006-11-24 2013-07-26 삼성디스플레이 주식회사 액정 표시 장치 및 이의 제조 방법
US7786016B2 (en) * 2007-01-11 2010-08-31 Micron Technology, Inc. Methods of uniformly removing silicon oxide and a method of removing a sacrificial oxide
KR100878015B1 (ko) * 2007-01-31 2009-01-13 삼성전자주식회사 산화물 제거 방법 및 이를 이용한 트렌치 매립 방법
US20080217293A1 (en) * 2007-03-06 2008-09-11 Tokyo Electron Limited Processing system and method for performing high throughput non-plasma processing
US7977249B1 (en) 2007-03-07 2011-07-12 Novellus Systems, Inc. Methods for removing silicon nitride and other materials during fabrication of contacts
US20080233709A1 (en) * 2007-03-22 2008-09-25 Infineon Technologies North America Corp. Method for removing material from a semiconductor
WO2008135948A1 (en) * 2007-05-03 2008-11-13 L'air Liquide-Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of cleaning stannane distribution system
US8026180B2 (en) 2007-07-12 2011-09-27 Micron Technology, Inc. Methods of modifying oxide spacers
US8187486B1 (en) * 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
US8252194B2 (en) 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide
US8133797B2 (en) * 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
US8287688B2 (en) 2008-07-31 2012-10-16 Tokyo Electron Limited Substrate support for high throughput chemical treatment system
US8303715B2 (en) * 2008-07-31 2012-11-06 Tokyo Electron Limited High throughput thermal treatment system and method of operating
US8303716B2 (en) 2008-07-31 2012-11-06 Tokyo Electron Limited High throughput processing system for chemical treatment and thermal treatment and method of operating
US8115140B2 (en) * 2008-07-31 2012-02-14 Tokyo Electron Limited Heater assembly for high throughput chemical treatment system
US8323410B2 (en) * 2008-07-31 2012-12-04 Tokyo Electron Limited High throughput chemical treatment system and method of operating
US7981763B1 (en) 2008-08-15 2011-07-19 Novellus Systems, Inc. Atomic layer removal for high aspect ratio gapfill
US7994002B2 (en) * 2008-11-24 2011-08-09 Applied Materials, Inc. Method and apparatus for trench and via profile modification
CN101740338B (zh) * 2008-11-24 2012-07-18 中芯国际集成电路制造(北京)有限公司 薄膜去除方法
US8058179B1 (en) * 2008-12-23 2011-11-15 Novellus Systems, Inc. Atomic layer removal process with higher etch amount
JP5253237B2 (ja) * 2009-03-05 2013-07-31 芝浦メカトロニクス株式会社 プラズマ処理装置およびプラズマ処理方法
US20120058630A1 (en) * 2010-09-08 2012-03-08 Veeco Instruments Inc. Linear Cluster Deposition System
JP2012089805A (ja) * 2010-10-22 2012-05-10 Toshiba Corp エッチング装置およびエッチング方法
GB2487716B (en) * 2011-01-24 2015-06-03 Memsstar Ltd Vapour Etch of Silicon Dioxide with Improved Selectivity
JP5661523B2 (ja) * 2011-03-18 2015-01-28 東京エレクトロン株式会社 成膜方法及び成膜装置
KR101250501B1 (ko) * 2011-04-11 2013-04-03 전남대학교산학협력단 극미량 시료 흡착량 측정 장치
US8664093B2 (en) * 2012-05-21 2014-03-04 Globalfoundries Inc. Methods of forming a silicon seed layer and layers of silicon and silicon-containing material therefrom
JP6104772B2 (ja) * 2013-03-29 2017-03-29 ソニーセミコンダクタソリューションズ株式会社 積層構造体及びその製造方法
CN103344716B (zh) * 2013-06-24 2014-11-19 中国科学院长春光学精密机械与物理研究所 确定单晶硅湿法刻蚀制作中阶梯光栅中刻蚀截止点的方法
US9472453B2 (en) 2014-03-13 2016-10-18 Qualcomm Incorporated Systems and methods of forming a reduced capacitance device
US9472415B2 (en) * 2014-04-30 2016-10-18 International Business Machines Corporation Directional chemical oxide etch technique
US9431268B2 (en) 2015-01-05 2016-08-30 Lam Research Corporation Isotropic atomic layer etch for silicon and germanium oxides
US9425041B2 (en) 2015-01-06 2016-08-23 Lam Research Corporation Isotropic atomic layer etch for silicon oxides using no activation
CN106033709B (zh) * 2015-03-13 2019-11-22 比亚迪股份有限公司 一种酸洗蚀刻方法及清洗机
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
CN107919298B (zh) 2016-10-08 2021-01-29 北京北方华创微电子装备有限公司 气相刻蚀装置及设备
US11107699B2 (en) * 2016-10-08 2021-08-31 Beijing Naura Microelectronics Equipment Co., Ltd. Semiconductor manufacturing process
US9978621B1 (en) 2016-11-14 2018-05-22 Applied Materials, Inc. Selective etch rate monitor
DE102017106968A1 (de) * 2017-03-31 2018-10-04 Aixtron Se Vorrichtung und Verfahren zur Bestimmung der Konzentration eines Dampfes
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
CN206706191U (zh) * 2017-05-22 2017-12-05 合肥鑫晟光电科技有限公司 蒸镀装置
US10763143B2 (en) 2017-08-18 2020-09-01 Applied Materials, Inc. Processing tool having a monitoring device
WO2019226341A1 (en) 2018-05-25 2019-11-28 Lam Research Corporation Thermal atomic layer etch with rapid temperature cycling
JP7461923B2 (ja) 2018-07-09 2024-04-04 ラム リサーチ コーポレーション 電子励起原子層エッチング
CN110718440B (zh) * 2019-10-16 2022-06-14 北京北方华创微电子装备有限公司 原子层刻蚀设备及刻蚀方法
KR20220090903A (ko) 2020-12-23 2022-06-30 삼성전자주식회사 기판 처리 장치 모니터링 방법 및 시스템
TW202236406A (zh) * 2021-01-26 2022-09-16 日商東京威力科創股份有限公司 基板處理方法、零件處理方法及基板處理裝置
US11295960B1 (en) * 2021-03-09 2022-04-05 Hitachi High-Tech Corporation Etching method
JP7440480B2 (ja) * 2021-12-13 2024-02-28 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法、およびプログラム
CN115376915A (zh) * 2022-10-27 2022-11-22 合肥新晶集成电路有限公司 选择性蚀刻方法及装置

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS54161275A (en) * 1978-06-12 1979-12-20 Toshiba Corp Etching method by gas containing hydrogen fluoride
US4264374A (en) * 1978-09-25 1981-04-28 International Business Machines Corporation Cleaning process for p-type silicon surface
JPS56169776A (en) * 1980-06-03 1981-12-26 Tokyo Ohka Kogyo Co Ltd Selective dry etching method
JPH0642456B2 (ja) * 1984-11-21 1994-06-01 株式会社日立製作所 表面光処理方法
JP2595935B2 (ja) * 1985-12-13 1997-04-02 日本電気株式会社 表面清浄化方法
JPH0691053B2 (ja) * 1985-12-18 1994-11-14 日立東京エレクトロニクス株式会社 半導体ウエハの処理装置
JP2512783B2 (ja) * 1988-04-20 1996-07-03 株式会社日立製作所 プラズマエッチング方法及び装置
US5181985A (en) * 1988-06-01 1993-01-26 Wacker-Chemitronic Gesellschaft Fur Elektronik-Grundstoffe Mbh Process for the wet-chemical surface treatment of semiconductor wafers
DE68927726T2 (de) * 1988-07-20 1997-07-17 Hashimoto Chemical Ind Co Einrichtung zum Trockenätzen mit einem Generator zum Erzeugen von wasserfreiem Flusssäuregas
WO1990004045A1 (en) * 1988-10-14 1990-04-19 Advantage Production Technology Inc. Semiconductor wafer processing method and apparatus
DE68928402T2 (de) * 1988-12-27 1998-03-12 Toshiba Kawasaki Kk Verfahren zur Entfernung einer Oxidschicht auf einem Substrat
US5158100A (en) * 1989-05-06 1992-10-27 Dainippon Screen Mfg. Co., Ltd. Wafer cleaning method and apparatus therefor
US5022961B1 (en) * 1989-07-26 1997-05-27 Dainippon Screen Mfg Method for removing a film on a silicon layer surface
EP0500670B1 (en) * 1989-11-03 1994-08-10 Asm International N.V. Method for halide etching in the presence of water of semi-conductor substrates
US5002631A (en) * 1990-03-09 1991-03-26 At&T Bell Laboratories Plasma etching apparatus and method
JPH0465125A (ja) * 1990-07-04 1992-03-02 Sharp Corp 蒸気エッチング方法
JPH04134818A (ja) * 1990-09-27 1992-05-08 Nec Corp 半導体装置の製造方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8119530B2 (en) 2006-12-25 2012-02-21 National University Corporation Nagoya University Pattern forming method and semiconductor device manufacturing method
US8440568B2 (en) 2009-03-19 2013-05-14 Tokyo Electron Limited Substrate etching method and system

Also Published As

Publication number Publication date
MY109103A (en) 1996-12-31
EP0597792B1 (en) 2002-06-12
KR940012524A (ko) 1994-06-23
CA2104071C (en) 1996-12-17
CN1038349C (zh) 1998-05-13
KR970003888B1 (ko) 1997-03-22
TW246746B (ja) 1995-05-01
EP0597792A2 (en) 1994-05-18
ATE219292T1 (de) 2002-06-15
CN1088272A (zh) 1994-06-22
JPH06224153A (ja) 1994-08-12
DE69332013D1 (de) 2002-07-18
CA2104071A1 (en) 1994-05-10
EP0597792A3 (en) 1995-09-20
SG46459A1 (en) 1998-02-20
DE69332013T2 (de) 2003-01-30
US5282925A (en) 1994-02-01

Similar Documents

Publication Publication Date Title
JP2501295B2 (ja) エッチング方法
Nishino et al. Damage‐free selective etching of Si native oxides using NH3/NF3 and SF6/H2O down‐flow etching
Flamm et al. The reaction of fluorine atoms with silicon
US5643838A (en) Low temperature deposition of silicon oxides for device fabrication
US7604010B2 (en) Film formation apparatus and method of using the same
JP2896268B2 (ja) 半導体基板の表面処理装置及びその制御方法
EP0661732A2 (en) A method of forming silicon oxy-nitride films by plasma-enhanced chemical vapor deposition
JPS6243335B2 (ja)
EP1071123B1 (en) Method for forming film
JPH08186103A (ja) 薄膜の堆積装置
Bergonzo et al. Low pressure photodeposition of silicon nitride films using a xenon excimer lamp
JP3077591B2 (ja) Cvd装置及びcvd成膜方法
US20180347039A1 (en) Aerosol Assisted CVD For Industrial Coatings
Ramm et al. Low-temperature in situ cleaning of silicon wafers with an ultra high vacuum compatible plasma source
US6472299B2 (en) Method and apparatus for treating a substrate with hydrogen radicals at a temperature of less than 40 K
JPH08213386A (ja) 半導体装置の製造方法
US11359286B2 (en) Quartz crystal microbalance concentration monitor
Iyer et al. Kinetics of low pressure CVD growth of SiO2 on InP and Si
TWI844768B (zh) 用於石英晶體微天平濃度監測的系統、方法及非暫時性計算機可讀媒體
KR20020046232A (ko) 침착된 박막 보이드-칼럼 네트워크 물질
JP7428528B2 (ja) エッチング方法
JPH02224241A (ja) エッチング方法
Cui et al. A thermal processing system for microelectronic materials
JP2004193262A (ja) 絶縁性材料および絶縁膜形成方法
JPH0582489A (ja) 半導体装置製造用反応装置

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 19960123

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090313

Year of fee payment: 13

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090313

Year of fee payment: 13

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

S202 Request for registration of non-exclusive licence

Free format text: JAPANESE INTERMEDIATE CODE: R315201

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090313

Year of fee payment: 13

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120313

Year of fee payment: 16

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120313

Year of fee payment: 16

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140313

Year of fee payment: 18

EXPY Cancellation because of completion of term