JP2024520373A - 安定性ビス(アルキル-アレーン)遷移金属錯体、及びそれを用いた膜堆積方法 - Google Patents

安定性ビス(アルキル-アレーン)遷移金属錯体、及びそれを用いた膜堆積方法 Download PDF

Info

Publication number
JP2024520373A
JP2024520373A JP2023572152A JP2023572152A JP2024520373A JP 2024520373 A JP2024520373 A JP 2024520373A JP 2023572152 A JP2023572152 A JP 2023572152A JP 2023572152 A JP2023572152 A JP 2023572152A JP 2024520373 A JP2024520373 A JP 2024520373A
Authority
JP
Japan
Prior art keywords
benzene
metal
alkyl
arene
xylene
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2023572152A
Other languages
English (en)
Inventor
ムラー,ロシオ,アレハンドラ アルテアガ
ロシャ,ラファエル
ガントー,ジュリアン
ベップ,テルオ
ペン,ボー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Original Assignee
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude filed Critical LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Publication of JP2024520373A publication Critical patent/JP2024520373A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F11/00Compounds containing elements of Groups 6 or 16 of the Periodic Table
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/42Silicides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4417Methods specially adapted for coating powder
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

【解決手段】 基材上に金属含有膜を形成する方法であって、金属含有前駆体を含有する膜形成性組成物の蒸気に基材を暴露するステップと、気相堆積プロセスを介して、金属含有前駆体の少なくとも一部を基材の上に堆積して、基材上に金属含有膜を形成するステップと、を含み、金属含有前駆体は純M(アルキル-アレーン)2であり、MはCr、Mo、又はWであり、アレーンは、(式中、R1、R2、R3、R4、R5、及びR6のそれぞれは独立して、H、C1-C6アルキル、C1-C6アルケニル、C1-C6アルキルフェニル、C1-C6アルケニルフェニル、又は-SiXR7R8から選択され、Xは、F、Cl、Br、Iから選択され、R7、R8のそれぞれは、H、C1-C6アルキル、C1-C6アルケニルから選択される)である、方法が開示される。【選択図】図14

Description

関連出願の相互参照
本願は、2021年5月21日出願の米国特許出願第17/327,045号に、米国特許法第119条(a)及び(b)に基づく優先権の利益を主張し、その全内容は参照により本明細書に組み込まれる。
本発明は、遷移金属含有錯体、及びそれを用いて気相堆積プロセスによって基材上に遷移金属含有膜を形成する方法に関し、特定的には、ビス(アルキル-アレーン)遷移金属錯体、及び遷移金属含有膜を形成するためのその使用方法に関する。
モリブデンは、マイクロエレクトロニクスデバイス中に、例えばタングステンの代替品として使用されている低抵抗率耐火金属である。モリブデンは、高融点、高熱伝導率、低熱膨張係数、及び低電気抵抗率を有する。モリブデン又はモリブデン含有膜は、拡散障壁、電極、フォトマスク、相互接続として、又は低抵抗率ゲート構造として使用されている。モリブデンは、メモリチップ、論理チップ、及びポリシリコン-金属ゲート電極構造を含む別のデバイスにおいて用いられるタングステンを代替するための候補である。モリブデンを含有する薄膜は、いくつかの有機発光ダイオード、液晶ディスプレイにおいて、並びに薄膜太陽電池及び光起電力においても使用可能である
Gribov et al.,(Doklady Akademii Nauk SSSR,Volume 194,Issue 3,Pages 580-582,1970)は、高温で熱分解モードでM(アレーン)を用いて膜が得られ、膜はある程度の炭素をその中に有し、そのため純Mo膜は高温でさえも得られなかったと記載している。記載の膜は、10-2Torr及び400~700℃で、Cr(C、Cr(MePh)、Cr(EtPh)、Cr(Me、ビス(メシチレン)クロム、ビス-(ビフェニル)クロム、及びそれらのヨウ化物から、(アニリン)-、(ジメチルアニリン)-、及び(メシチレン)トリカルボニルクロム、(メシチレン)トリカルボニルモリブデン、並びにビス(エチルベンゼン)モリブデンから、あらかじめ加熱された試料上に堆積された。
半導体産業では純Mo膜が望ましい。しかしながら、純Mo膜の形成に利用可能な、不純物レベルが低い有機金属Mo含有錯体は非常に少ない。たとえば、市販製品の1つのMo(Et-ベンゼン)(米国特許出願公開第2019/0226086A号明細書)は、混合物としてのみ利用可能である。半導体産業では、高純度(少なくとも>99%以上)の錯体生成物の使用が要求される。米国特許出願公開第2019/0226086A号明細書では、基材上にMo含有膜を堆積するためのビス(アルキル-アレーン)モリブデン分子の使用法が請求されており、炭化モリブデン膜の堆積のためのMo(Et-ベンゼン)の使用が単に記載されている。上記化合物の安定性が低いため、純Mo膜を得ることはできない。市販の化合物は、通常は異性体の混合物として供給される。
金属アレーン錯体は、純金属膜の堆積のための供給源として研究されている。例えば、米国特許出願公開第2019/0226086号明細書、米国特許出願公開第20200115798号明細書、及び米国特許出願公開第20190390340号明細書には、モリブデンの気相堆積のための好適な錯体としてのビス(アルキル-アレーン)モリブデン錯体が開示されている。
Yuらの米国特許出願公開第2019/0390340号明細書には、基材を金属前駆体及びハロゲン化アルキルに逐次暴露して金属膜を形成することを含む金属堆積方法であって、上記金属前駆体は堆積温度よりも高い分解温度を有し、上記ハロゲン化アルキルは炭素及びハロゲンを含み、上記ハロゲンは臭素又はヨウ素を含み、上記金属は、モリブデン、ルテニウム、コバルト、銅、白金、ニッケル、又はタングステンから選択される、金属堆積方法が開示されている。
半導体前駆体として使用するのに好適な生成物を得るために、高純度で、所望の使用条件下で十分な熱安定性であることが要求される。
基材上に金属含有膜を形成する方法が開示されており、本方法は、
金属含有前駆体を含有する膜形成性組成物の蒸気に基材を暴露するステップと、
気相堆積プロセスを介して、金属含有前駆体の少なくとも一部を基材の上に堆積して、基材上に金属含有膜を形成するステップと、
を含み、
上記金属含有前駆体は純M(アルキル-アレーン)であり、Mは、Cr、Mo、又はWであり、アレーンは、
(式中、R、R、R、R、R、及びRのそれぞれは独立して、H、C-Cアルキル、C-Cアルケニル、C-Cアルキルフェニル、C-Cアルケニルフェニル、又は-SiXRから選択され、Xは、F、Cl、Br、Iから選択され、R、Rのそれぞれは、H、C-Cアルキル、C-Cアルケニルから選択される)
である。
本開示の方法は、下記態様の1つ以上を含みうる。
・ 純M(アルキル-アレーン)前駆体は、Mo(トルエン)、Mo(Et-ベンゼン)、Mo(o-キシレン)、Mo(m-キシレン)、Mo(p-キシレン)、Mo(メシチレン)、Mo(アリル-ベンゼン)、Mo(1,3,5-Et-ベンゼン)、Mo[(MeSi-Cl)-ベンゼン]、Mo(スチレン)、Mo(テトラメチルシラン-ベンゼン)、Mo[(4-ビニルフェニル)ベンゼン]、Mo(ベンゼン)(Et-ベンゼン)、Mo(ジュレン)、Mo(CH)から選択される。
・ 純M(アルキル-アレーン)前駆体は、Cr(トルエン)、Cr(Et-ベンゼン)、Cr(o-キシレン)、Cr(m-キシレン)、Cr(p-キシレン)、Cr(メシチレン)、Cr(アリル-ベンゼン)、Cr(1,3,5-Et-ベンゼン)、Cr[(MeSi-Cl)-ベンゼン]、Cr(スチレン)、Cr(テトラメチルシラン-ベンゼン)、Cr[(4-ビニルフェニル)ベンゼン]、Cr(ベンゼン)(Et-ベンゼン)、Cr(ジュレン)、Cr(CH)から選択される。
・ 純M(アルキル-アレーン)前駆体は、W(トルエン)、W(Et-ベンゼン)、W(o-キシレン)、W(m-キシレン)、W(p-キシレン)、W(メシチレン)、W(アリル-ベンゼン)、W(1,3,5-Et-ベンゼン)、W[(MeSi-Cl)-ベンゼン]、W(スチレン)、W(テトラメチルシラン-ベンゼン)、W[(4-ビニルフェニル)ベンゼン]、W(ベンゼン)(Et-ベンゼン)、W(ジュレン)、又はW(CH)から選択される。
・ 純M(アルキル-アレーン)前駆体はMo(m-キシレン)である。
・ 純M(アルキル-アレーン)前駆体はMo(トルエン)である。
・ 純M(アルキル-アレーン)前駆体はMo(1,3,5-Et-ベンゼン)である。
・ 純M(アルキル-アレーン)前駆体はMo(メシチレン)である。
・ 純M(アルキル-アレーン)前駆体は、その異性体又はいずれかの他の不純物のそれぞれの濃度が、ほぼ15%未満、好ましくはほぼ10%未満、より好ましくはほぼ5%未満、さらにより好ましくはほぼ1%未満であるM(アルキル-アレーン)を意味する。
・ 膜形成性組成物は、おおよそ85%w/w~おおよそ100%w/wの範囲内の純度を有する。
・ 膜形成性組成物は、おおよそ95%w/w~おおよそ100%w/wの範囲内の純度を有する。
・ 膜形成性組成物は、おおよそ99%w/w~おおよそ99.999%w/wの範囲内の純度を有する。
・ 純M(アルキル-アレーン)前駆体の純度は、おおよそ85%w/w~おおよそ100%w/wの範囲内である。
・ 純M(アルキル-アレーン)前駆体の純度は、おおよそ95%w/w~おおよそ100%w/wの範囲内である。
・ 純M(アルキル-アレーン)前駆体の純度は、おおよそ99%w/w~おおよそ99.999%w/wの範囲内である。
・ 純M(アルキル-アレーン)前駆体の純度は、85%w/w超である。
・ 純M(アルキル-アレーン)前駆体は、高い熱安定性を有する。
・ 純M(アルキル-アレーン)の分解温度は、おおよそ235℃超である。
・ 純M(アルキル-アレーン)の分解温度は、おおよそ240℃超である
・ 堆積温度は、おおよそ20℃~おおよそ600℃の範囲内である。
・ 堆積温度は、おおよそ20℃~おおよそ550℃の範囲内である。
・ 堆積温度は、おおよそ200℃~おおよそ600℃の範囲内である。
・ 堆積圧力は、真空から周囲圧力までの範囲内である。
・ 堆積圧力は、約0.001mTorr~約760Torrの範囲内である。
・ 金属含有膜は、純金属、金属炭化物、金属酸化物、金属窒化物、金属ケイ化物膜、又はそれらの組合せである。
・ 金属含有膜は、純金属膜である。
・ 金属含有膜は、金属炭化物膜である。
・ 金属含有膜は、金属酸化物膜である。
・ 金属含有膜は、金属窒化物膜である。
・ 金属含有膜は、金属ケイ化物膜である。
・ 金属含有膜は、モリブデン膜である。
・ 金属含有膜は、炭化モリブデン膜である。
・ 金属含有膜は、酸化モリブデン膜である。
・ 金属含有膜は、窒化モリブデン膜である。
・ 金属含有膜は、ケイ化モリブデン膜である。
・ 膜形成性組成物は、イナートキャリヤーガスを含む。
・ イナートキャリヤーガスは、N、He、Ne、Ar、Kr、Xe、又はそれらの組合せから選択される。
・ イナートキャリヤーガスは、N又はArである。
・ 基材を共反応剤に暴露するステップをさらに含む。
・ 共反応剤をプラズマ処理するステップをさらに含む。
・ 共反応剤は、ハロシラン、ポリハロジシラン(ハロ=F、Cl、Br、I)、有機ハロゲン化物であって、SiHCl、SiH、SiHCl、SiCl、SiBr、SiCl、SiBr、SiHCl、SiCl、CH、CHI、CI、CI、又はCIから選択される有機ハロゲン化物である。
・ 共反応剤は、O、O、HO、H、NO、NO、NO、O又はOHラジカル、又はそれらの混合物から選択される。
・ 共反応剤は、H、NH、N、Me-N、Me、SiH、Si、Si、Si10、SiHMe、SiHEt、N(SiH、NHラジカル、Hラジカル、又はそれらの組合せから選択される。
・ 共反応剤は、NH、NO、NO、ヒドラジン、Nプラズマ、N/Hプラズマ、NHプラズマ、アミン、及びそれらの組合せから選択される。
・ 共反応剤は、Oである。
・ 共反応剤は、NHである。
・ 共反応剤は、Hである。
・ 気相堆積プロセスは、ALDプロセス、CVDプロセス、又はそれらの組合せである。
・ 気相堆積プロセスは、ALDプロセスである。
・ 気相堆積プロセスは、CVDプロセスである。
・ 気相堆積プロセスは、PEALDプロセスである。
・ 基材は、Si含有基材、金属基材、金属含有基材、又は粉末基材から選択される。
・ 基材は、Si含有基材である。
・ 基材は、金属基材である。
・ 基材は、金属含有基材である。
・ 基材は、粉末基材である。
・ 非限定的な数の粉末材料を含む粉末基材は、NMC(リチウムニッケルマンガンコバルト酸化物)、LCO(リチウムコバルト酸化物)、LFP(リン酸鉄リチウム)、及び他の電池カソード材料を含む。並びに
・ 粉末基材は、活性炭である。
表記法及び命名法
下記の詳細な説明及び特許請求の範囲では、当技術分野で一般に周知のいくつかの略号、記号、及び用語が利用される。下記の説明及び特許請求の範囲全体を通して、下記に挙げられる特定の略号、記号、及び用語が使用される。
本明細書で用いられる場合、不定冠詞「a」又は「an」は、1つ以上を意味する。
本明細書で用いられる場合、本文中又は請求項中の「about(約)」又は「around(ほぼ)」又は「approximately(おおよそ)」は、明記された値の±10%を意味する。
本明細書で用いられる場合、本文中又は請求項中の「室温」は、おおよそ20℃~おおよそ25℃を意味する。
「純粋」という用語は、その異性体又はいずれかの他の不純物のそれぞれの濃度が、ほぼ15%未満、好ましくはほぼ10%未満、より好ましくはほぼ5%未満、さらにより好ましくはほぼ1%未満である生成物を意味する。
「高い熱安定性」という用語は、熱重量分析で、「テール」を示すことなく、若しくはここで200℃超で残留量を生じることなく円滑に蒸発し、より好ましくは残留量は300℃で約5%未満であり、より好ましくは300℃で約2%未満である生成物の性質、又はDSC分析で、市販の生成物よりも高温、より好ましくは240℃よりも高温で分解温度の開始を示す生成物の性質を意味する。
「基材」という用語は、プロセスが行われる1つ又は複数の材料を意味する。基材は、プロセスが行われる1つ又は複数の材料を有するウエハを意味しうる。基材は、半導体、光起電力、フラットパネル、又はLCD-TFTデバイス製造に使用されるいずれかの好適なウエハでありうる。基材はまた、その上にすでに堆積された前の製造ステップからの異なる材料の1つ以上の層を有しうる。たとえば、ウエハは、シリコン層(たとえば、結晶性、アモルファス、多孔性のものなど)、シリコン含有層(たとえば、SiO、SiN、SiON、SiCOHなど)、金属含有層(たとえば、銅、コバルト、ルテニウム、タングステン、白金、パラジウム、ニッケル、ルテニウム、金など)、又はそれらの組合せを含みうる。さらに、基材は、平面状であってもパターニングされていてもよい。基材は、有機パターニングされたフォトレジスト膜でありうる。基材は、MEMS、3D NAND、MIM、DRAM、若しくはFeRamデバイス用途で誘電体材料として使用される酸化物(たとえば、ZrO系材料、HfO系材料、TiO系材料、希土類酸化物系材料、三元酸化物系材料など)の層、又は電極として使用される窒化物系膜(たとえば、TaN、TiN、NbN)を含みうる。基材は、蓄電池技術に使用される粉末などの粉末でもありうる。非限定的な数の粉末材料としては、NMC(リチウムニッケルマンガンコバルト酸化物)、LCO(リチウムコバルト酸化物)、LFP(リン酸鉄リチウム)、及び他の電池カソード材料が挙げられる。模範的な粉末基材としては、活性炭も挙げられる。
「ウエハ」又は「パターニングされたウエハ」という用語は、基材上に膜のスタックを有し、インジウム含有膜の堆積前のステップで形成されたトポグラフィー特徴を有する少なくとも最上膜を有するウエハを意味する。
「アスペクト比」という用語は、トレンチ(又はアパーチャー)の高さの、トレンチの幅(又はアパーチャーの直径)に対する比を意味する。
本明細書では「膜」及び「層」という用語は互換的に用いられうることに留意されたい。膜は、層に対応しうるか又は関連付けられうるとともに、層は、膜を意味しうるものと理解される。さらに、本明細書で用いられる「膜」又は「層」という用語は、表面が全ウエハ程度に大きいものからトレンチ又はライン程度に小さいものまでにわたっていてもよい表面上に配置された又は表面全体に展延されたある厚さのなんらかの材料を意味することが、当業者であれば分かるであろう。本明細書及び特許請求の範囲全体を通して、ウエハ及びその上のいずれかの関連層は、基材といわれる。
本明細書では、「アパーチャー」、「ビア」、「ホール」、及び「トレンチ」という用語は、半導体構造中に形成された開口を意味するものとして互換的に用いられうることに留意されたい。
本明細書で用いられる場合、「NAND」という略号は、「Negative AND」又は「Not AND」ゲートを意味し、「2D」という略号は、平面状基材上の2次元ゲート構造を意味し、「3D」という略号は、ゲート構造が垂直方向にスタックされた3次元又は垂直ゲート構造を意味する。
本明細書では、「堆積温度」及び「基材温度」という用語は、互換的に用いられうることに留意されたい。基材温度は、堆積温度に対応しうるか又は関連付けられうるとともに、堆積温度は、基材温度を意味しうるものと理解される。
本明細書では、「前駆体」及び「堆積化合物」及び「堆積ガス」という用語は、前駆体が室温及び周囲圧力でガス状態であるとき、互換的に用いられうることに留意されたい。前駆体は、堆積化合物又は堆積ガスに対応しうるか又は関連付けられうるとともに、堆積化合物又は堆積ガスは、前駆体を意味しうるものと理解される。
本明細書では元素周期表からの元素の標準的略号が用いられる。元素はこうした略号により参照されうることが理解されるべきである(たとえば、Siはケイ素を意味し、Nは窒素を意味し、Oは酸素を意味し、Cは炭素を意味し、Hは水素を意味し、Fはフッ素を意味するなど)。
Chemical Abstract Serviceにより割り当てられたユニークCAS登録番号(すなわち、「CAS」)は、開示された特定の分子を同定するために提供される。
本明細書で用いられる場合、「アルキル基」という用語は、炭素原子及び水素原子を排他的に含有する飽和官能基を意味する。アルキル基は、炭化水素の1群である。さらに、「アルキル基」という用語は、線状、分枝状、又は環状アルキル基を意味する。線状アルキル基の例としては、限定されるものではないが、メチル基、エチル基、プロピル基、ブチル基などが挙げられる。分岐状アルキル基の例としては、限定されるものではないが、t-ブチルが挙げられる。環状アルキル基の例としては、限定されるものではないが、シクロプロピル基、シクロペンチル基、シクロヘキシル基などが挙げられる。
本明細書で用いられる場合、「Me」という略号は、メチル基を意味し、「Et」という略号は、エチル基を意味し、「Pr」という略号は、いずれかのプロピル基(すなわち、n-プロピル又はイソプロピル)を意味し、「iPr」という略号は、イソプロピル基を意味し、「Bu」という略号は、いずれかのブチル基(n-ブチル、iso-ブチル、tert-ブチル、sec-ブチル)を意味し、「tBu」という略号は、tert-ブチル基を意味し、「sBu」という略号は、sec-ブチル基を意味し、「iBu」という略号は、iso-ブチル基を意味し、「Ph」という略号は、フェニル基を意味し、「Amy」という略号は、いずれかのアミル基(iso-アミル、sec-アミル、tert-アミル)を意味し、「Cy」という略号は、環状炭化水素基(シクロブチル、シクロペンチル、シクロヘキシルなど)を意味し、「Ar」という略号は、芳香族炭化水素基(フェニル、キシリル、メシチルなど)を意味する。本開示の実施形態で用いられる場合、R基を記載する文脈で用いられる場合の「独立して」という用語は、主題のR基が、同じ又は異なる下付き文字又は上付き文字を有する他のR基に対して独立して選択されるだけでなく、その同じR基のいずれかのさらなる種に対しても独立して選択されることを意味するものと理解されるべきである。たとえば、式MR (NR(4-x)(式中、xは、2又は3である)中、2つ又は3つのR基は、互いに対して又はRに対して若しくはRに対して同一であってよいが、そうである必要はない。さらに、特に明記されない限り、異なる式中に用いられる場合、R基の値は互いに独立していることが理解されるべきである。
本明細書で用いられる場合、「m-」という略号は、[メタ-」を意味する。たとえば、m-キシレンは、メタ-キシレンを意味する。「o-」という略号は、「オルト-」を意味する。たとえば、o-キシレンは、オルト-キシレンを意味する。「p-」という略号は、「パラ-」を意味する。たとえば、p-キシレンは、パラ-キシレンを意味する。
本明細書では、範囲は、およその1つの特定値から及び/又はおよそのもう1つの特定値までとして表されうる。かかる範囲で表されるとき、他の一実施形態は、前記範囲内のすべての組合せと共に一方の特定値から及び/又は他方の特定値までと理解されるべきである。本開示の実施形態に列挙されるいかなる範囲もすべて、「包含的に」という用語が用いられているかどうかにかかわらず、それらの端点を包含する(すなわち、x=1~4又はxは1~4の範囲内であるは、x=1、x=4、及びx=その間のいずれかの数を含む)。
「一実施形態」又は「ある実施形態」への本明細書での参照は、その実施形態との関連で記載された特定の特徴、構造、又は特性が本発明の少なくとも1つの実施形態に含まれうることを意味する。本明細書の各種場所での「一実施形態では」という語句の出現は、必ずしもすべてがその実施形態を参照するとは限らず、別々の又は代替の実施形態が必ずしも他の実施形態を相互に除外するものでもない。同じことが「実現」という用語にも当てはまる。
本願で用いられる場合、「模範的」という語は、例、事例、又は例示の働きをすることを意味するものとして本明細書で用いられる。「模範的」として本明細書に記載されるいずれの態様も設計も、必ずしも他の態様や設計よりも好ましい又は有利であるとして解釈されるべきではない。むしろ、模範的という語の使用は、具体的に概念を提示することが意図される。
請求項中の「comprising(~を含む)」は、続いて同定された請求項要素が非排他的リスティングであること、すなわち、ほかに何かあれば追加的に含まれうるとともに依然として「comprising(~を含む)」の範囲内にあることを意味するオープン移行用語である。「comprising(~を含む)」は、本明細書では、より限定された移行用語「consisting essentially of(~から本質的になる)」及び「consisting of(~からなる)」を必然的に包含するとして定義され、したがって、「comprising(~を含む)」は、「consisting essentially of(~から本質的になる)」又は「consisting of(~からなる)」に置き換えられうるとともに依然として「comprising(~を含む)」の明示的に定義された範囲内にある。
そのほか、「or(又は)」という用語は、排他的「or(又は)」ではなく包含的「or(又は)」を意味することが意図される。すなわち、とくに明記されていない限り又は文脈から明らかでない限り、「XがA又はBを採用する」は、道理にかなった包含的並替えのいずれかを意味することが意図される。すなわち、XがAを採用する、XがBを採用する、又はXがA及びBの両方を採用する場合、「XがA又はBを採用する」は、上記事例のいずれかの下で満足される。そのほか、本願及び添付の特許請求の範囲で用いられる冠詞「a」及び「an」は、単数形を対象とすることがとくに明記されていない限り又は文脈から明らかでない限り、「one or more(1つ以上)」を意味するものと一般に解釈されるべきである。
請求項中の「Providing(~を提供する)」は、何かを与える、供給する、利用可能にする、又は調製することを意味するものと定義される。逆に、請求項中に明確な文言がない場合、このステップはいずれかの動作主によって行われうる。
本発明の性質及び目的の理解を深めるために、同じ要素には同一又は類似の参照番号が与えられる添付図面と併せて、下記の詳細な説明が参照されるべきである。
図1は、Mo(エチル-ベンゼン)のTGAである。 図2は、Mo(エチル-ベンゼン)のDSCである。 図3は、Mo(エチル-ベンゼン)95Mo NMR結果である。 図4は、Mo(メシチレン)の大気中TG分析である。 図5は、Mo(メシチレン)のDSCである。 図6は、Mo(1,3,5-Et-ベンゼン)の大気中TG分析である。 図7は、Mo(1,3,5-Et3-ベンゼン)のDSCである。 図8は、Mo(m-キシレン)の大気中TG分析である。 図9は、Mo(m-キシレン)のDSCである。 図10は、Mo(トルエン)の大気中TG分析である。 図11は、Mo(トルエン)のDSCである。 図12は、Mo(m-キシレン)の化学気相堆積のXPSによる堆積膜の原子プロファイルである。 図13は、Mo(m-キシレン)の熱分解堆積のSEMデータである。 図14は、Mo(m-キシレン)とHとの化学気相堆積のXPSによる堆積膜の原子プロファイルである。 図15は、Mo(m-キシレン)とHとの化学気相堆積のSEMデータである。
ビス(アルキル-アレーン)金属含有前駆体のM(アルキル-アレーン)(式中、Mは、Cr、Mo、Wなどである)を含む金属含有膜形成性組成物と、半導体、光起電力、LCD-TFT、フラットパネル型デバイス、耐火材料、又は航空学の製造のために、ALD、CVD、SODなどを用いて金属含有膜を堆積するためのこの使用方法とが開示される。特定的には、本開示は、金属含有膜を堆積するためのCVDプロセス及びALDプロセスに関する。
本開示の金属含有前駆体は、純M(アルキル-アレーン)(式中、Mは、Cr、Mo、又はWであり、アレーンは、
であり、R、R、R、R、R、及びRのそれぞれは、独立して、H、C-Cアルキル、C-Cアルケニル、C-Cアルキルフェニル、C-Cアルケニルフェニル、-SiXRから選択され、Xは、F、Cl、Br、Iの中から選択され、R、Rのそれぞれは、H、C-Cアルキル、C-Cアルケニルの中から選択される)
でありうる。
「純M(アルキル-アレーン)」の「純」という用語は、その異性体又はいずれかの他の不純物のそれぞれの濃度が、ほぼ15%未満、好ましくはほぼ10%未満、より好ましくはほぼ5%未満、さらにより好ましくはほぼ1%未満である生成物を意味する。
一実施形態では、本開示の金属含有膜形成性組成物は、少量異性体、反応剤、又は他の反応生成物などのその望ましくない種のいずれかを15%w/w未満、より好ましくは10%w/w未満、さらにより好ましくは1%w/w未満含み、これによってより良好なプロセス再現性が得られうる。
本開示の金属含有膜形成性組成物の純度は、85%w/w超(すなわち、85.0%w/w~100.0%w/w)、好ましくは95%w/w超(すなわち、95.0%w/w~100.0%w/w)、より好ましくは99%w/w超(すなわち、99.0%w/w~おおよそ99.999%w/w又は99.0%w/w~100.0%w/w)である。さらに、本開示の金属含有前駆体の純M(アルキル-アレーン)の純度は、85%w/w超(すなわち、85.0%w/w~100.0%w/w)、好ましくは95%w/w超(すなわち、95.0%w/w~100.0%w/w)、より好ましくは99%w/w超(すなわち、99.0%w/w~おおよそ99.999%w/w又は99.0%w/w~100.0%w/w)である。純度は、NMR分光、及び質量分析を伴うガス若しくは液体クロマトグラフィーによって決定されうることは、当業者であれば分かるであろう。本開示の金属含有膜形成性組成物は、下記不純物:ピラゾール、ピリジン、アルキルアミン、アルキルイミン、THF、エーテル、ペンタン、シクロヘキサン、ヘプタン、ベンゼン、トルエン、塩素化金属化合物、リチウム、ナトリウム、カリウムピラゾリルのいずれかを含有しうる。これらの不純物の合計量は、好ましくは未満5%w/w未満(すなわち、0.0%w/w~5.0%w/w)、好ましくは2%w/w未満(すなわち、0.0%w/w~2.0%w/w)、より好ましくは1%w/w未満(すなわち、0.0%w/w~1.0%w/w)である。本開示の膜形成性組成物は、再結晶化、昇華、蒸留、及び/又は4Åモレキュラーシーブなどの好適な吸着材を介する気液通過により精製されうる。
本開示の膜形成性組成物の精製によって、それぞれ独立して、0ppbw~1ppmw、好ましくはおおよそ0~おおよそ500ppbw(重量十億分率)レベル、より好ましくはおおよそ0ppbw~おおよそ100ppbwの範囲内の金属不純物にもなりうる。これらの金属又は半金属不純物としては、アルミニウム(Al)、ヒ素(As)、バリウム(Ba)、ベリリウム(Be)、ビスマス(Bi)、カドミウム(Cd)、カルシウム(Ca)、クロム(Cr)、コバルト(Co)、銅(Cu)、ガリウム(Ga)、ゲルマニウム(Ge)、ハフニウム(Hf)、ジルコニウム(Zr)、鉄(Fe)、鉛(Pb)、リチウム(Li)、マグネシウム(Mg)、マンガン(Mn)、ニッケル(Ni)、カリウム(K)、ナトリウム(Na)、ストロンチウム(Sr)、トリウム(Th)、Tin(Sn)、チタン(Ti)、ウラン(U)、バナジウム(V)、及び亜鉛(Zn)が挙げられるが、これらに限定されるものではない。
本開示のM(アルキル-アレーン)前駆体としては、Mo(トルエン)、Mo(Et-ベンゼン)、Mo(o-キシレン)、Mo(m-キシレン)、Mo(p-キシレン)、Mo(メシチレン)、Mo(アリル-ベンゼン)、Mo(1,3,5-Et-ベンゼン)、Mo[(MeSi-Cl)-ベンゼン]、Mo(スチレン)、Mo(テトラメチルシラン-ベンゼン)、Mo[(4-ビニルフェニル)ベンゼン]、Mo(ベンゼン)(Et-ベンゼン)、Mo(ジュレン)、Mo(CH)、Cr(トルエン)、Cr(Et-ベンゼン)、Cr(o-キシレン)、Cr(m-キシレン)、Cr(p-キシレン)、Cr(メシチレン)、Cr(アリル-ベンゼン)、Cr(1,3,5-Et-ベンゼン)、Cr[(MeSi-Cl)-ベンゼン]、Cr(スチレン)、Cr(テトラメチルシラン-ベンゼン)、Cr[(4-ビニルフェニル)ベンゼン]、Cr(ベンゼン)(Et-ベンゼン)、Cr(ジュレン)、Cr(CH)、W(トルエン)、W(Et-ベンゼン)、W(o-キシレン)、W(m-キシレン)、W(p-キシレン)、W(メシチレン)、W(アリル-ベンゼン)、W(1,3,5-Et-ベンゼン)、W[(MeSi-Cl)-ベンゼン]、W(スチレン)、W(テトラメチルシラン-ベンゼン)、W[(4-ビニルフェニル)ベンゼン]、W(ベンゼン)(Et-ベンゼン)、W(ジュレン)、又はW(CH)が挙げられうる。
本開示の金属含有前駆体は、高い熱安定性を有することがあり、例えばCMOSシステム、3D NANDチャネル、又は光検出器中の高速高感度半導体膜を形成するために用いられうる。本開示の金属含有前駆体及び本開示の膜形成性組成物は、対応元素含有膜の堆積、及び対応元素含有膜の堆積のためのその関連の使用に好適である。本開示の膜は、フラットウエハ上、又はパターニングされたウエハ上、又は「ギャップフィル」若しくは「ボトムアップギャップフィル」アプローチで均一に堆積されうる。
気相堆積方法のための本開示の金属含有前駆体の使用方法も開示される。本開示の方法によって、金属含有膜の堆積のための金属含有前駆体の使用が提供される。本開示の方法は、半導体、光起電力、LCD-TFT、又はフラットパネル型デバイスの製造に有用となりうる。本開示の方法は、基材を提供することと、本開示の金属含有前駆体の少なくとも1つを含む蒸気を提供することと、金属含有前駆体を含有する膜形成性組成物の蒸気に基材を暴露することと、気相堆積プロセスを介して金属含有前駆体の少なくとも一部を基材上に堆積して金属含有膜を基材上に形成することと、を含む。
金属含有前駆体の蒸気は、少なくとも1つの基材を収容する反応チャンバー内に導入される。反応チャンバー内の温度及び圧力、並びに基材の温度は、金属含有前駆体の少なくとも一部の基材上への気相堆積(例えば、ALD及びCVD)に好適な条件で維持される。言い換えると、気化した前駆体のチャンバー内への導入後、チャンバー内の条件は、気化した前駆体の少なくとも一部が基材上に堆積されて金属含有膜を形成するように調節される。「前駆体の少なくとも一部が堆積される」は、前駆体の一部又はすべてが基材と反応する、又は基材に付着することを意味することは、当業者であれば分かるであろう。ここで、後述されるが、金属含有層の形成を促進するために共反応剤も使用されうる。
反応チャンバーは、堆積方法が行われるデバイスのいずれかのエンクロージャー又はチャンバー、例えば、限定されるものではないが、パラレルプレート型反応器、コールドウォール型反応器、ホットウォール型反応器、シングルウエハ反応器、マルチウエハ反応器、又は他のそのような型の堆積システムでありうる。これらの模範的な反応チャンバーのすべてが、CVD又はALDの反応チャンバーとして機能しうる。反応チャンバーは、真空から周囲圧力までの範囲内、たとえば約0.001mTorr~約760Torrの範囲内の圧力で維持することができる。反応チャンバー内の圧力は堆積圧力である。さらに、反応チャンバー内の温度は、約20℃~約600℃の範囲内となりうる。この温度は、所望の結果が得られるように単なる実験を介して最適化されうることは、当業者であれば分かるであろう。
反応器の温度は、基材ホルダーの温度の制御、又は反応器壁の温度の制御のいずれかによって制御されうる。基材の加熱に使用されるデバイスは、当技術分野で公知である。反応器壁は、十分な成長速度で所望の物理的状態及び組成を有する所望の膜を得るのに十分な温度に加熱される。反応器壁が加熱されうる非限定的模範的温度範囲は、おおよそ20℃~おおよそ600℃にわたる。プラズマ堆積プロセスを利用するとき、堆積温度は、おおよそ20℃~おおよそ550℃の範囲内でありうる。代替的に、熱プロセスを実施するとき、堆積温度は、おおよそ200℃~おおよそ600℃の範囲内でありうる。
代替的に、基材は、十分な成長速度で所望の物理的状態及び組成を有する所望の金属含有膜を得るのに十分な温度に加熱されうる。基材が加熱されうる非限定的模範的温度範囲は、20℃~600℃にわたる。好ましくは、基材の温度は500℃以下に維持される。本明細書では、「堆積温度」及び「基材温度」は、互換的に用いられうることに留意されたい。基材温度は、堆積温度に対応しうるか又は関連付けられうるとともに、堆積温度は、基材温度を意味しうるものと理解される。反応器が熱平衡に到達するとき、反応器壁の温度は、堆積温度及び基材温度と同じになりうる。
本開示の金属含有前駆体の分解温度は、おおよそ235℃超、より好ましくはおおよそ240℃超であり、これは以下の実施例から見出されうる。本開示の金属含有前駆体は、高い熱安定性を有する。「高い熱安定性」という用語は、熱重量分析で、「テール」を示すことなく、若しくはここで200℃超で残留量を生じることなく円滑に蒸発し、より好ましくは残留量は300℃で約5%未満であり、より好ましくは300℃で約2%未満であるM(アルキル-アレーン)の生成物の性質、又はDSC分析で、市販の生成物(ほぼ235℃)よりも高温、より好ましくはおおよそ240℃よりも高温で分解温度の開始を示すM(アルキル-アレーン)の生成物の性質を意味する。
金属含有膜が堆積される基材の種類は、意図される最終用途に依存して変化しうる。いくつかの実施形態では、基材は、水素化炭素、たとえばCH(式中、xはゼロを超える)でできたパターニングされたフォトレジスト膜でありうる。いくつかの実施形態では、基材は、MIM、DRAM、若しくはFeRam技術で誘電体材料として使用される酸化物(たとえば、ZrO系材料、HfO系材料、TiO系材料、希土類酸化物系材料、三元酸化物系材料など)から、又は銅とlow-k層との間の酸素障壁として使用される窒化物系膜(たとえば、TaN)から選ばれうる。他の基材は、半導体、光起電力、LCD-TFT、又はフラットパネルデバイスの製造に用いられうる。このような基材の例としては、限定されるものではないが、金属窒化物含有基材(たとえば、TaN、TiN、WN、TaCN、TiCN、TaSiN、及びTiSiN)などの固体基材、絶縁体(たとえば、SiO、Si、SiON、HfO、Ta、ZrO、TiO、Al、及びチタン酸バリウムストロンチウム)、又はこれらの材料のいずれかの数の組合せを含む他の基材が挙げられる。利用される実際の基材は、利用される特定の前駆体の実施形態にも依存しうる。だが多くの事例では、利用される好ましい基材は、水素化炭素、TiN、ストロンチウムルテニウム酸化物(SRO)、Ru、及びSi型基材、たとえばポリシリコン又は結晶シリコン基材から選択される。基材は、蓄電池技術に用いられる粉末などの粉末もありうる。非限定的な数の粉末材料としては、NMC(リチウムニッケルマンガン酸化コバルト)、LCO(リチウムコバルト酸化物)、LFP(リン酸鉄リチウム)、及び他の電池カソード材料が挙げられる。模範的な粉末基材としては、活性炭も挙げられる。
基材は、高アスペクト比を有するビア又はトレンチを含むようにパターニングされうる。たとえば、いずれかのALD技術を用いておおよそ20:1~おおよそ100:1の範囲内のアスペクト比を有するシリコン貫通ビア(TSV)上に、SiOなどのコンフォーマル金属含有膜が堆積されうる。
金属含有膜形成性組成物は、ニート形、又はトルエン、エチルベンゼン、キシレン、メシチレン、デカン、ドデカン、オクタン、ヘキサン、ペンタン、第3級アミン、アセトン、テトラヒドロフラン、エタノール、エチルメチルケトン、1,4-ジオキサンなどの気相堆積に好適な溶媒とのブレンドのどちらかで供給されうる。代替的に、金属含有膜形成性組成物は、ナフサ、メチルイソブチルケトン(MIBK)、n-メチルイソブチルケトン(NMIBK)、又はそれらの組合せなどのキャスティング堆積に好適な溶媒を含みうる。キャスティング堆積溶液が、pH調整剤又は界面活性剤をさらに含みうることは、当業者であれば分かるであろう。本開示の前駆体は、さまざまな濃度で溶媒中に存在し得る。たとえば、結果として得られる気相堆積溶液の濃度は、おおよそ0.01M~おおよそ2Mの範囲内となりうる。キャスティング堆積溶液のモル濃度は、所望の膜厚さに正比例し、したがってモル濃度が調節されうることは、当業者であれば分かるであろう。
気相堆積では、ニート又はブレンド金属含有前駆体は、チュービング及び/又はフローメーターなどの従来の手段により、蒸気形で反応器内に導入される。蒸気形の前駆体は、直接気化などの従来の気化ステップ、蒸留を介して、バブリングにより、又はXuらに付与されたPCT国際公開第2009/087609号パンフレットに開示されるような昇華器を用いて、ニート又はブレンド前駆体溶液を気化させることにより生成されうる。ニート又はブレンド前駆体は、液状状態で気化器に供給され、そこで反応器内への導入前に気化されうる。代替的に、ニート又はブレンド前駆体は、前駆体を含有する容器中にキャリヤーガスを通すことにより、又は前駆体中にキャリヤーガスをバブリングすることにより気化されうる。キャリヤーガスとしては、限定されるものではないが、N、He、Ne、Ar、Kr、Xe、及びそれらの混合物が挙げられうる。また、キャリヤーガスによるバブリングでは、ニート又はブレンド前駆体溶液中に存在するいずれかの溶存酸素が除去されうる。次いで、キャリヤーガス及び前駆体は、蒸気として反応器内に導入される。
必要であれば、本開示の膜形成性組成物を含有する容器は、金属含有前駆体がその液相となり、十分な蒸気圧を有するようにしうる温度に加熱されうる。容器は、たとえば、おおよそ0℃~おおよそ150℃の範囲内の温度に維持されうる。気化される金属含有前駆体の量を制御すべく容器の温度を公知のように調節しうることは、当業者であれば分かる。
反応器は、堆積方法が行われるデバイス内のいずれかのエンクロージャーチャンバー、たとえば、限定されるものではないが、パラレルプレート型反応器、コールドウォール型反応器、ホットウォール型反応器、シングルウエハ反応器、マルチウエハ反応器、化合物の反応及び層形成を引き起こすのに好適な条件下の他の型の堆積システムでありうる。ALD又はCVD堆積プロセスのどちらかでこれらの反応器のいずれかを使用しうることは、当業者であれば分かるであろう。
本開示の金属含有前駆体に加えて、金属含有膜を形成するため反応器中に共反応剤が導入されうる。ターゲット堆積膜が誘電体膜である場合、共反応剤は、O、O、HO、H、NO、NO、NO、O若しくはOHなどの酸素含有ラジカル、NO、NO、アルコール、シラノール、アミノアルコール、ギ酸、酢酸、プロピオン酸などのカルボン酸、NO、NO、若しくは上記カルボン酸のラジカル種、パラ-ホルムアルデヒド、並びにそれらの混合物の1つなどの酸化性ガスでありうる。好ましくは、酸化剤は、O、O、HO、H、NO、NO、NO、O若しくはOHなどのそれらの酸素含有ラジカル、又はそれらの混合物からなる群から選択される。好ましくは、ALDプロセスが行われる場合、共反応剤は、プラズマ処理された酸素、オゾン、又はそれらの組合せである。酸化性ガスが共反応剤として用いられる場合、得られる金属含有膜は酸素も含有する。
代替的に、ターゲットが伝導性膜である場合、共反応剤は、H、NH、(SiHN、ヒドリドシラン(SiH、Si、Si、Si10、Si10、Si12など)、クロロシラン及びクロロポリシラン(SiHCl、SiHCl、SIHCl、SiCl、SiHCl、SiClなど)、アルキルシラン((CHSiH、(CSiH、(CH)SiH、(C)SiHなど)、ヒドラジン(N、MeHNNH、MeHNNHMeなど)、有機アミン(N(CH)H、N(C)H、N(CHH、N(CH、N(CH、N(C、(SiMeNHなど)、ピラゾリン、ピリジン、B含有分子(B、9-ボラビシクロ[3,3,1]ノナン、トリメチルホウ素、トリエチルホウ素、ボラジンなど)、アルキル金属(トリメチルアルミニウム、トリエチルアルミニウム、ジメチル亜鉛、ジエチル亜鉛など)、それらのラジカル種、及びそれらの混合物の1つなどの還元剤でありうる。共反応剤は、第1級アミン、第2級アミン、第3級アミン、トリシリルアミン、それらのラジカル、及びそれらの混合物でありうる。好ましくは、還元剤は、H、NH、N、Me-N、Me、SiH、Si、Si、Si10、SiHMe、SiHEt、N(SiH、NHラジカル、Hラジカル、又はそれらの組合せである。還元剤が用いられる場合、得られる金属含有膜は、純金属、金属炭化物、金属酸化物、金属窒化物、金属ケイ化物膜、又はそれらの組合せでありうる。N含有還元剤が用いられる場合、得られる金属含有膜は窒素も含有する。
そのほか、共反応剤は、純金属などの金属含有膜、及び金属炭化物膜を形成するための、ハロシラン、ポリハロジシラン(ハロ=F、Cl、Br、I)、又は有機ハロゲン化物、たとえば、SiHCl、SiH、SiHCl、SiCl、SiBr、SiCl、SiBr、SiHCl、SiCl、CH、CHI、CI、CI、CI、及び1つ以上の反応剤ガスでありうる。CH、CHI、CI、CI、CIなどのハロゲン化物含有共反応剤は、生成物の分解を触媒し、ギャップフィル又はボトムアップギャップフィルの形成に役立つ。
さらに、反応ガスをそのラジカル形に分解するために、共反応剤は、プラズマにより処理されうるとともに、プラズマで処理した場合に、H、N、及びOの少なくとも1つは、それぞれ水素、窒素又は酸素の供給源ガスとして利用されうる。プラズマ源は、Nプラズマ、N/Heプラズマ、N/Arプラズマ、NHプラズマ、NH/Heプラズマ、NH/Arプラズマ、Heプラズマ、Arプラズマ、Hプラズマ、H/Heプラズマ、H/有機アミンプラズマ、及びそれらの混合物でありうる。プラズマで処理する場合に、Nは還元剤としても利用されうる。例として、プラズマは、約50W~約500W、好ましくは約100W~約200Wの範囲内の電力を用いて発生されうる。プラズマは、反応器自体の内部で発生しうる、又は内部に存在しうる。代替的に、プラズマは、一般に、反応器から離れた位置に、例として、遠隔設置されたプラズマシステム中に存在しうる。このようなプラズマ処理に好適な方法及び装置は、当業者であれば分かるであろう。
たとえば、反応チャンバー内でプラズマ処理された反応剤を生成するために、共反応剤は、反応チャンバー内でプラズマが発生する直接プラズマ反応器内に導入されうる。共反応剤は、プラズマ処理前に反応チャンバー内に導入され維持されうる。代替的に、プラズマ処理は、反応剤の導入と同時に行われうる。
代替的に、反応チャンバー内に通される前に共反応剤を処理するために、プラズマ処理された共反応剤は、反応チャンバーの外側、たとえば、遠隔プラズマで生成されうる。
気相堆積プロセスを用いて基材上に金属含有層を形成する方法も開示される。本出願人は、本開示の膜形成性組成物が、ALDに好適であると考えている。特に、本開示の膜形成性組成物は、表面飽和、サイクルごとの自己停止成長、及びおおよそ2:1~おおよそ200:1、好ましくはおおよそ60:1~おおよそ150:1の範囲内のアスペクト比での完全なステップカバレッジが可能である。そのほか、本開示の膜形成性組成物は、高い分解温度を有し、これはALDが可能となる良好な熱安定性を示している。高い分解温度によって、より高い温度でALDが可能となり、より高い純度を有する膜が得られる。
本開示の金属含有前駆体及び1つ以上の共反応剤は、反応チャンバー内に同時(CVD)、逐次(ALD)、又は他の組合せで導入されうる。たとえば、本開示の金属含有前駆体は、1つのパルスで導入されうるとともに、2つの追加の金属源が別のパルスでともに導入されうる[改良原子層堆積]。代替的に、反応チャンバーは、金属含有前駆体の導入前に反応剤をすでに含有しうる。反応剤は、局在化した又は反応チャンバーから離れたプラズマシステムに通されて、ラジカルまで分解されうる。代替的に、他の金属源がパルスにより導入されながら、連続的に金属含有前駆体が反応チャンバーに導入されうる(パルスCVD)。それぞれの例で、パルスの後、導入された成分の過剰量を除去するために、パージ又は排気ステップが行われうる。それぞれの例で、パルスは、約0.01s~約10s、代替的に約0.3s~約3s、代替的に約0.5s~約2sの範囲内の時間にわたり続きうる。他の一代替法では、いくつかのウエハを保持するサセプターがスピンされて、金属含有前駆体及び1つ以上の反応剤がシャワーヘッドから同時にスプレーされうる(空間ALD)。
当業者に公知のいずれかの堆積方法を用いて金属含有膜を堆積するために、本開示の膜形成性組成物が用いられうる。好適な堆積方法の例としては、プラズマ支援を有する又は有しないCVD又はALDが挙げられる。特に、模範的な好適な堆積方法としては、限定されるものではないが、熱ALD、プラズマ強化ALD(PEALD)、空間隔離ALD、時間(temporal)ALD、選択的若しくは非選択的ALD、ホットワイヤALD(HWALD)、ラジカル導入ALD、及びそれらの組合せが挙げられる。好適なステップカバレッジ及び膜厚さ制御を得るために、堆積方法は、好ましくはALD、PE-ALD、又は空間ALDである。模範的なCVD方法としては、金属-有機CVD(MOCVD)、熱CVD、パルスCVD(PCVD)、低圧CVD(LPCVD)、準大気圧CVD(SACVD)若しくは大気圧CVD(APCVD)、ホットワイヤCVD若しくはホットフィラメントCVD(cat-CVDとしても知られており、ホットワイヤは堆積プロセスのエネルギー源として機能する)、ホットウォールCVD、コールドウォールCVD、エアロゾル支援CVD、直接液体注入CVD、燃焼CVD、ハイブリッド物理CVD、金属有機CVD、急速熱CVD、光開始CVD、レーザーCVD、ラジカル導入CVD、限定されるものではないが流動性PECVDなどのプラズマ強化CVD(PECVD)、及びそれらの組合せが挙げられる。
ある非限定的模範的ALDタイプのプロセスでは、金属含有前駆体の気相が反応チャンバー内に導入され、そこで好適な基材と接触させる。次いで過剰の金属含有前駆体は、反応チャンバーのパージ及び/又は排気により反応チャンバーから除去されうる。酸素源が反応チャンバー内に導入され、そこでこれは吸収された金属含有前駆体と自己停止方法で反応する。いずれかの過剰の酸素源は、反応チャンバーのパージ及び/又は排気により反応チャンバーから除去されうる。所望の膜が金属酸化物膜である場合、この2ステッププロセスによって、所望の膜厚さが得られうる、又は必要な厚さを有する膜が得られるまでこの2ステッププロセスが繰り返されうる。
さらに他の一代替法では、本開示の金属含有前駆体及びラジカル窒素又は酸素含有共反応剤を用いて、米国特許出願公開第2014/0051264号明細書に開示される流動性PECVD方法により金属含有膜が堆積されうる。それぞれNH又はHOなどのラジカル窒素又は酸素含有共反応剤は、遠隔プラズマシステムで生成される。ラジカル共反応剤と、本開示の前駆体の気相とが、反応チャンバー内に導入され、そこでこれらが反応して、最初は流動性の膜が基材上に堆積される。本出願人らは、本開示の化合物の窒素原子が、堆積した膜の流動性のさらなる改善に役立ち、結果としてより少ない空隙を有する膜が得られると考えている。
スピンコーティング(すなわち、SOD)、スプレーコーティング、ディップコーティング、又はスリットコーティング技術などのキャスティング堆積方法に本開示の金属含有前駆体を用いる方法も開示される。本開示の方法は、金属含有膜の堆積のための金属含有膜形成性組成物の使用を提供する。開示される方法は、基材を提供することと、本開示の金属含有前駆体を含有する液体形の本開示の金属含有膜形成性組成物を基材上に塗布することと、基材上に金属含有層を形成することとを含む。前述のように、液体形の本開示の金属含有膜形成性組成物は、金属含有前駆体のニート溶液、又は金属含有前駆体と溶媒及び任意選択のpH調整剤若しくは界面活性剤との混合物でありうる。一実施形態では、金属含有膜形成性組成物は、SODに好適な溶媒とのブレンドで供給されることがあり、たとえば、金属含有膜形成性組成物は、トルエン、エチルベンゼン、キシレン、メシチレン、デカン、ドデカン、オクタン、ヘキサン、ペンタン、第3級アミン、アセトン、テトラヒドロフラン、エタノール、エチルメチルケトン、又は1,4-ジオキサンと混合されて、SOD用の液体形の金属含有膜形成性組成物が形成されうる。
液体形の本開示の金属含有膜形成性組成物は、基材の中央に直接塗布されるか、又はスプレーにより基材全体に塗布されうる。基材の中央に直接塗布される場合、基材にわたって組成物を均一に分散させるための遠心力を利用するために、基材が回転されうる。代替的に、基材は、金属含有膜形成性組成物中に浸漬されうる。得られる膜は、膜のいずれかの溶媒又は揮発性成分を気化させる時間にわたって適切な温度で乾燥されうる。蒸発させる溶媒に基づく適切な温度選択は、当業者であれば分かるであろう。気化プロセス中、膜の加水分解反応を促進するために、水のミストが基材上にスプレーされうる。
所望の膜厚さが得られると、熱アニーリング、加熱炉アニーリング、急速熱アニーリング、UV若しくはeビーム硬化、及び/又はプラズマガス暴露などの膜のさらなる処理が行われうる。当業者であれば、これらの追加の処理ステップを行うために利用されるシステム及び方法が分かる。たとえば、金属含有膜は、不活性雰囲気、H含有雰囲気、N含有雰囲気、O含有雰囲気、又はそれらの組合せの下で、おおよそ200℃~おおよそ1000℃の範囲内の温度におおよそ0.1秒~おおよそ7200秒の範囲内の時間暴露されうる。最も好ましくは、温度は、H含有雰囲気下3600秒未満で600℃である。得られる膜は、より少ない不純物を含有しうるとともに、その結果改善された性能特性を有しうる。アニーリングステップは、堆積が行われるものと同じ反応チャンバー内で行われうる。代替的に、基材は、反応チャンバーから取り出されて、別の装置内でアニーリング/フラッシュアニーリングプロセスが行われうる。上記後処理方法のいずれか、特に熱アニーリングは、金属含有膜の炭素及び窒素汚染を軽減するのに有効であることが分かっている。
下記非限定的実施例は、本発明の実施形態をさらに例示するために提供される。しかしながら、実施例は、すべてを網羅することが意図されるものではなく、本明細書に記載の発明の範囲を限定することが意図されるものではない。
熱重量(TG)分析は、25℃~500℃で、大気圧下(1000mBar、N220sccm)又は真空下(20mBar、N20sccm)で、アルミニウムオープンカップにおいて行った。蒸気圧(VP)は、ナフタレンを外部標準として用いた60℃~180℃のTG分析によって求めた。示差走査熱量測定(DSC)は、Auが塗布された密閉パンを用いて最高300℃、又は400℃で測定した。
ビス(アルキル-アレーン)金属錯体は、V.S.Asirvatham et al.Organometallics 2001,20,1687-1688、L.Calucci et al.Dalton Trans.2006,4228-4234に報告される方法によって調製した。
比較例1 - 純Mo(エチル-ベンゼン)の市販のMo(エチル-ベンゼン)に対する熱的性質
図4は、Mo(メシチレン)の大気中TG分析である。これは、これらの条件下で分解せずに蒸発することを示している。化合物の蒸気圧は、143℃で1Torrである。Mo(メシチレン)のDSC結果(図5)は、約105℃の融点、248℃の分解点を示している。以下の表1で結果を他の化合物と比較している。
実施例2 - 純Mo(1,3,5-Et-ベンゼン)の熱的性質
得られた分子は、周囲温度で油である。図6は、Mo(1,3,5-Et-ベンゼン)の大気中TG分析である。これは、これらの条件下で分解せずに蒸発することを示している。化合物の蒸気圧は、151℃で1torrである。Mo(1,3,5-Et-ベンゼン)のDSC結果(図7)は、246℃の分解点を示している。以下の表1で結果を他の化合物と比較している。
実施例3 - 純Mo(m-キシレン)の熱的性質
図8は、Mo(m-キシレン)の大気中TG分析を示している。これは、これらの条件下で分解せずに蒸発することを示している。化合物の蒸気圧は、130℃で1torrである。Mo(m-キシレン)のDSC結果(図9)は、約110℃の融点、及び280℃の分解点を示している。以下の表1で結果を他の化合物と比較している。
実施例4 - 純Mo(トルエン)の熱的性質
図10は、Mo(トルエン)の大気中TG分析である。この化合物の蒸気圧は、133℃で1Torrである。Mo(トルエン)のDSC結果(図11)は、72℃の融点、及び252℃の分解点を示している。以下の表1で結果を他の化合物と比較している。
実施例5 - 共反応剤を用いないMo(m-キシレン)によるMo含有膜堆積
Mo(m-キシレン)を120℃に加熱し、150sccmのArを30分間供給することにより、その蒸気を反応チャンバーに供給した。この時点で、チャンバーを420℃に加熱した。得られた膜をXPS及びSEMにより分析した。これらによって、堆積した膜が膜中にMo及びCを有し、厚さが25.9~31nmであることが示された。図12は、Mo(m-キシレン)の化学気相堆積のXPSによる堆積膜の原子プロファイルである[四角:モリブデン、三角:炭素、黒丸:酸素、及び白丸:ケイ素].図13は、Mo(m-キシレン)の熱分解堆積のSEMデータである。
実施例6 - 共反応剤としてHを用いたMo(m-キシレン)によるMo含有膜堆積
Mo(m-キシレン)を120℃に加熱し、150sccmのArを30分間供給することにより、その蒸気を反応チャンバーに供給した。チャンバーを420℃に加熱し、共反応剤としての50sccmのHを反応チャンバーに供給した。得られた膜をXPS及びSEMにより分析した。これらによって、堆積した膜が膜中にMo及びCを有し、厚さが84.7~84.8nmであることが示された。図14は、Hを用いたMo(m-キシレン)の化学気相堆積のXPSによる堆積膜の原子プロファイルである[四角:モリブデン、三角:炭素、黒丸:酸素、及び白丸:ケイ素]。図15は、Hを用いたMo(m-キシレン)の化学気相堆積のSEMデータである。
仮想例1 - Mo(アルキル-アレーン)を用いて得られる純Mo膜
より高純度又は汚染がより少ないMo膜は、水素、他の還元剤、他の共反応剤、又はそれらの組合せのような共反応剤が200℃~400℃の範囲内の堆積温度で用いられる場合に得られうる。模範的なMo(アルキル-アレーン)としては、Mo(m-キシレン)2、Mo(トルエン)、Mo(1,3,5-Et-ベンゼン)、Mo(メシチレン)2、Mo(エチル-ベンゼン)が挙げられる。
仮想例2 - W(アルキル-アレーン)を用いて得られる純W膜
報告される合成経路に従って純W(アルキル-アレーン)を合成した。この分子がCVDモードに用いられる場合、水素又は他の還元剤のような共反応剤が200℃~400℃の範囲内の堆積温度で用いられる場合に、純W膜を得ることができると推測される。模範的なW(アルキル-アレーン)としては、W(m-キシレン)、W(トルエン)、W(1,3,5-Et-ベンゼン)、W(メシチレン)、W(エチル-ベンゼン)が挙げられる。
仮想例3 - Cr(アルキル-アレーン)を用いて得られる純Cr膜
報告される合成経路に従って純Cr(アルキル-アレーン)を合成した。この分子がCVDモードに用いられる場合、水素又は他の還元剤のような共反応剤が200℃~400℃の範囲内の堆積温度で用いられる場合に、純W膜を得ることができると推測される。模範的なCr(アルキル-アレーン)としては、Cr(m-キシレン)、Cr(トルエン)、Cr(1,3,5-Et-ベンゼン)、Cr(メシチレン)、Cr(エチル-ベンゼン)が挙げられる。
本明細書に記載の主題は、ユーザー対話コンポーネントを有するコンピューティングアプリケーションのための1つ以上のコンピューティングアプリケーション特徴/操作を処理する例示的実現との関連で記載されうるが、主題は、こうした特定実施形態に限定されるものではない。むしろ、本明細書に記載の技術は、いずれかの好適な型のユーザー対話コンポーネント実行管理方法、システム、プラットフォーム、及び/又は装置に適用されうる。
本発明の性質を説明するために本明細書に記載及び例示されているパーツの詳細、材料、ステップ、及び配置の多くの追加変更を添付の特許請求の範囲に明示される本発明の原理及び範囲内で行いうることは、当業者であれば理解されよう。そのため、本発明は、以上に与えられた実施例及び/又は添付図面の具体的実施形態に限定することが意図されるものではない。
本発明の実施形態を示して説明してきたが、本発明の趣旨又は教示から逸脱することなく、当業者であればそれらの修正を行いうる。本明細書に記載の実施形態は、単に模範的なものにすぎず、限定されるものではない。組成物及び方法の多くの変形及び修正が可能であり、且つ本発明の範囲内にある。それゆえ、保護の範囲は、本明細書に記載の実施形態に限定されるものではなく、後続の特許請求の範囲によってのみ限定されるものであり、その範囲は、特許請求の範囲の主題のすべての均等物を含むものとする。

Claims (15)

  1. 基材上に金属含有膜を形成する方法であって:
    金属含有前駆体を含有する膜形成性組成物の蒸気に前記基材を暴露するステップと、
    気相堆積プロセスを介して、前記金属含有前駆体の少なくとも一部を前記基材の上に堆積して、前記基材上に前記金属含有膜を形成するステップと、
    を含み、
    前記金属含有前駆体は、純M(アルキル-アレーン)前駆体であり、Mは、Cr、Mo、又はWであり、アレーンは、
    (式中、R、R、R、R、R、及びRのそれぞれは独立して、H、C-Cアルキル、C-Cアルケニル、C-Cアルキルフェニル、C-Cアルケニルフェニル、又は-SiXRから選択され、Xは、F、Cl、Br、Iから選択され、R、Rのそれぞれは、H、C-Cアルキル、C-Cアルケニルから選択される)
    である、方法。
  2. 前記純M(アルキル-アレーン)前駆体が、Mo(トルエン)、Mo(Et-ベンゼン)、Mo(o-キシレン)、Mo(m-キシレン)、Mo(p-キシレン)、Mo(メシチレン)、Mo(アリル-ベンゼン)、Mo(1,3,5-Et-ベンゼン)、Mo[(MeSi-Cl)-ベンゼン]、Mo(スチレン)、Mo(テトラメチルシラン-ベンゼン)、Mo[(4-ビニルフェニル)ベンゼン]、Mo(ベンゼン)(Et-ベンゼン)、Mo(ジュレン)、Mo(CH)、Cr(トルエン)、Cr(Et-ベンゼン)、Cr(o-キシレン)、Cr(m-キシレン)、Cr(p-キシレン)、Cr(メシチレン)、Cr(アリル-ベンゼン)、Cr(1,3,5-Et-ベンゼン)、Cr[(MeSi-Cl)-ベンゼン]、Cr(スチレン)、Cr(テトラメチルシラン-ベンゼン)、Cr[(4-ビニルフェニル)ベンゼン]、Cr(ベンゼン)(Et-ベンゼン)、Cr(ジュレン)、Cr(CH)、W(トルエン)、W(Et-ベンゼン)、W(o-キシレン)、W(m-キシレン)、W(p-キシレン)、W(メシチレン)、W(アリル-ベンゼン)、W(1,3,5-Et-ベンゼン)、W[(MeSi-Cl)-ベンゼン]、W(スチレン)、W(テトラメチルシラン-ベンゼン)、W[(4-ビニルフェニル)ベンゼン]、W(ベンゼン)(Et-ベンゼン)、W(ジュレン)、又はW(CH)から選択される、請求項1に記載の方法。
  3. 前記M(アルキル-アレーン)前駆体がMo(m-キシレン)である、請求項1に記載の方法。
  4. 前記M(アルキル-アレーン)前駆体がMo(トルエン)である、請求項1に記載の方法。
  5. 前記M(アルキル-アレーン)前駆体がMo(1,3,5-Et-ベンゼン)である、請求項1に記載の方法。
  6. 前記M(アルキル-アレーン)前駆体がMo(メシチレン)である、請求項1に記載の方法。
  7. 前記純M(アルキル-アレーン)前駆体の純度が85%w/w超である、請求項1に記載の方法。
  8. 前記純M(アルキル-アレーン)前駆体の分解温度がおおよそ240℃超である、請求項1に記載の方法。
  9. 前記膜形成性組成物が、N、He、Ne、Ar、Kr、Xe、又はそれらの組合せから選択されるイナートキャリヤーガスを含む、請求項1に記載の方法。
  10. 前記基材を共反応剤に暴露するステップをさらに含む、請求項1に記載の方法。
  11. 前記共反応剤のプラズマ処理のステップをさらに含む、請求項1~10のいずれか一項に記載の方法。
  12. 前記共反応剤が、ハロシラン、ポリハロジシラン(ハロ=F、Cl、Br、I)、有機ハロゲン化物であって、SiHCl、SiH、SiHCl、SiCl、SiBr、SiCl、SiBr、SiHCl、SiCl、CH、CHI、CI、CI、又はCIから選択される有機ハロゲン化物である、請求項1~10のいずれか一項に記載の方法。
  13. 前記共反応剤が、O、O、HO、H、NO、NO、NO、O若しくはOHラジカル、又はそれらの混合物から選択される、請求項1~10のいずれか一項に記載の方法。
  14. 前記共反応剤が、H、NH、N、Me-N、Me、SiH、Si、Si、Si10、SiHMe、SiHEt、N(SiH、NHラジカル、Hラジカル、又はそれらの組合せから選択される、請求項1~10のいずれか一項に記載の方法。
  15. 前記共反応剤が、NH、NO、NO、ヒドラジン、Nプラズマ、N/Hプラズマ、NHプラズマ、アミン、及びそれらの組合せから選択される、請求項1~10のいずれか一項に記載の方法。

JP2023572152A 2021-05-21 2022-05-20 安定性ビス(アルキル-アレーン)遷移金属錯体、及びそれを用いた膜堆積方法 Pending JP2024520373A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17/327,045 US20220372053A1 (en) 2021-05-21 2021-05-21 Stable bis(alkyl-arene) transition metal complexes and methods of film deposition using the same
US17/327,045 2021-05-21
PCT/US2022/030169 WO2022246140A1 (en) 2021-05-21 2022-05-20 Stable bis (alkyl-arene) transition metal complexes and methods of film deposition using the same

Publications (1)

Publication Number Publication Date
JP2024520373A true JP2024520373A (ja) 2024-05-24

Family

ID=84104464

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2023572152A Pending JP2024520373A (ja) 2021-05-21 2022-05-20 安定性ビス(アルキル-アレーン)遷移金属錯体、及びそれを用いた膜堆積方法

Country Status (7)

Country Link
US (1) US20220372053A1 (ja)
EP (1) EP4341459A1 (ja)
JP (1) JP2024520373A (ja)
KR (1) KR20240008929A (ja)
CN (1) CN117642523A (ja)
TW (1) TW202300500A (ja)
WO (1) WO2022246140A1 (ja)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20230287022A1 (en) * 2022-03-14 2023-09-14 Applied Materials, Inc. Non-Halide Oxygen-Free Organometallic Precursors for ALD/CVD of Metallization
WO2024030729A1 (en) 2022-08-05 2024-02-08 Versum Materials Us, Llc Liquid molybdenum bis(arene) compositions for deposition of molybdenum-containing films

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4386177B2 (ja) * 2004-01-26 2009-12-16 Jsr株式会社 導電性積層膜およびその形成方法
KR100891779B1 (ko) * 2005-11-28 2009-04-07 허니웰 인터내셔날 인코포레이티드 증착 공정용의 유기금속 전구체 및 관련된 중간체, 이들의제조 방법, 및 이들의 사용 방법
WO2014140672A1 (en) * 2013-03-15 2014-09-18 L'air Liquide, Societe Anonyme Pour I'etude Et I'exploitation Des Procedes Georges Claude Bis(alkylimido)-bis(alkylamido)molybdenum molecules for deposition of molybdenum-containing films
EP3519605B1 (fr) * 2016-09-28 2020-08-05 Commissariat à l'Énergie Atomique et aux Énergies Alternatives Composant nucléaire avec revetement de crc amorphe, procédé de fabrication par dli-mocvd et utilisation contre l'oxydation/hydruration
US11560625B2 (en) * 2018-01-19 2023-01-24 Entegris, Inc. Vapor deposition of molybdenum using a bis(alkyl-arene) molybdenum precursor
US12000044B2 (en) * 2018-06-22 2024-06-04 Applied Materials, Inc. Catalyzed deposition of metal films
US11761081B2 (en) * 2018-10-10 2023-09-19 Entegris, Inc. Methods for depositing tungsten or molybdenum films

Also Published As

Publication number Publication date
EP4341459A1 (en) 2024-03-27
KR20240008929A (ko) 2024-01-19
TW202300500A (zh) 2023-01-01
WO2022246140A1 (en) 2022-11-24
CN117642523A (zh) 2024-03-01
US20220372053A1 (en) 2022-11-24

Similar Documents

Publication Publication Date Title
KR102443752B1 (ko) 6족 전이 금속-함유 막의 증착용 6족 막 형성 조성물
CN111041456B (zh) 用于形成含硅和氧的薄膜的汽相沉积方法
US9938303B2 (en) Organosilane precursors for ALD/CVD silicon-containing film applications
US20160002786A1 (en) Bis(alkylimido)-bis(alkylamido)molybdenum molecules for deposition of molybdenum-containing films
US9663547B2 (en) Silicon- and Zirconium-containing compositions for vapor deposition of Zirconium-containing films
JP2024520373A (ja) 安定性ビス(アルキル-アレーン)遷移金属錯体、及びそれを用いた膜堆積方法
US9868753B2 (en) Germanium- and zirconium-containing composition for vapor deposition of zirconium-containing films
TW201609765A (zh) 經烷胺基取代之碳矽烷前驅物
EP2065390A1 (en) Metal organic compounds containing an amidinate ligand and their use for vapour phase deposition of metal containing thin films
US9790247B2 (en) Cobalt-containing compounds, their synthesis, and use in cobalt-containing film deposition
US20220119939A1 (en) Method of improving step coverage using an inhibitor molecule for high aspect ratio structures
US20210032275A1 (en) Cyclic germanium silylamido precursors for ge-containing film depositions and methods of using the same
US11859283B2 (en) Heteroalkylcyclopentadienyl indium-containing precursors and processes of using the same for deposition of indium-containing layers
WO2016094711A2 (en) Organosilane precursors for ald/cvd silicon-containing film applications and methods of using the same
KR20240018617A (ko) 갈륨-함유 산화물 필름의 증착을 위한 갈륨 전구체

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20231214

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20231221

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20231224