JP2023550464A - フォトリソグラフィで使用するための位相シフトレチクル - Google Patents

フォトリソグラフィで使用するための位相シフトレチクル Download PDF

Info

Publication number
JP2023550464A
JP2023550464A JP2023530595A JP2023530595A JP2023550464A JP 2023550464 A JP2023550464 A JP 2023550464A JP 2023530595 A JP2023530595 A JP 2023530595A JP 2023530595 A JP2023530595 A JP 2023530595A JP 2023550464 A JP2023550464 A JP 2023550464A
Authority
JP
Japan
Prior art keywords
pattern
reticle
defining layer
layer
phase shifter
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2023530595A
Other languages
English (en)
Inventor
ツェ-アン イエー,
ジュン-フェイ チェン,
モントレー リービー,
チュン コアン チェン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Entegris Inc
Original Assignee
Entegris Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Entegris Inc filed Critical Entegris Inc
Publication of JP2023550464A publication Critical patent/JP2023550464A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • G03F1/32Attenuating PSM [att-PSM], e.g. halftone PSM or PSM having semi-transparent phase shift portion; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70283Mask effects on the imaging process

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

半導体製造におけるフォトリソグラフィ工程のための位相シフトレチクルが、提供される。レチクルは、回路基板、反射性構造物、パターン定義層および位相シフタを含む。反射性構造物は、回路基板上に配置される。パターン定義層は、第1の材料を含み、反射性構造物上に堆積させられる。パターン定義層は、パターントレンチを含む。位相シフタは、第2の材料を含み、パターントレンチ内に配置される。第2の材料の透過率は、第1の材料の透過率とは異なる。【選択図】図1

Description

本開示は、概してフォトリソグラフィ工程で使用されるレチクルに関し、より詳細には、半導体製造のためのフォトリソグラフィ工程で使用するための位相シフトレチクルに関する。
集積回路および他の半導体デバイスの製造において共通して遭遇する工程ステップのうちの1つは、フォトリソグラフィである。広くは、フォトリソグラフィは、エッチングされた表面層を作成するためにパターニングされたテンプレートを使用して放射線源にウエハ表面を選択的に露光することを含む。通常は、パターニングされたテンプレートは、ウエハ上に複製されることになるパターンを含む平面ガラス板である、レチクルである。たとえば、ウエハ表面は、その上に窒化ケイ素が堆積させられ得、それに感光性液体ポリマまたはフォトレジストのコーティングが続き得る。次に、曝露光は、レチクルの表面に反射して、フォトレジストで覆われたウエハに所望のパターンを投影する。
ネガティブフォトレジストについては、ウエハが、レチクル上にパターンの正確な形状でウエハ上の修正されたフォトレジストを残して、露光されていないフォトレジストを取り除く化学媒体に後でさらされるとき、光に露光されたフォトレジストの部分は、化学的に修正され、影響を受けないままである。ポジティブフォトレジストについては、ウエハが化学媒体に後でさらされるとき、光に露光されたフォトレジストの部分は、化学的に修正され、取り除かれるが、露光されていないフォトレジストは、ウエハ上に残される。ウエハは、次いで、マスクの正確な設計においてウエハ上に窒化物パターンを残して窒化物層の露光された部分を取り除くエッチング工程を受ける。このエッチングされた層は、単独でまたは他の同様に作成された層と組み合わせて、デバイスを表し、特定の集積回路または半導体チップの「電気回路」を特徴付けるデバイス間を相互接続する。
業界動向は、より大きなウエハ上のさらに小さな線幅を必要として、より小さな、および/またはより高い論理密度を有する、チップの生産に向かっている。しかしながら、そのような開発は、パターン間の小さいピッチの問題を引き起こす。小さいピッチ、たとえば、40nm未満のピッチ、を有する垂直相互接続アクセス(ビア)ホールを形成することを模索した方法は、生産時間の増加および処理能力の低下をもたらす、異なるビアホールのパターンをそれぞれが定義する2つまたはそれより多くのレチクルの使用を有する複数回のフォトリソグラフィ工程の実行を含む。
加えて、パターンがウエハ表面で複製され得る解像度は、フォトレジストで覆われたウエハの表面にパターンを投影するために使用される曝露光の波長に依存する。最新式のフォトリソグラフィツールは、13.5nmの波長を有する極端紫外線(EUV)光を使用し、およそ7nm、5nm、またはそれ未満の最小形状サイズを可能にする。それにもかかわらず、フォトレジストで覆われたウエハ上に所望のパターンのより高い画像コントラストを有するために、半導体チップの製造のコストを不利に増やす、より高い照射線量(すなわち、EUV光を生成するためのより高い電力出力)が、必要とされている。
したがって、本発明の目的は、1つの単一レチクルを使用しながらおよびフォトリソグラフィ工程を複数回繰り返さずに、相対的に小さなピッチを有する半導体チップにおいてビアホールをパターニングするための修正されたレチクルを提供することである。本発明のもう1つの目的は、位相シフトレチクルの製造に関する。
いくつかの実施形態によれば、レチクルは、回路基板、反射性構造物、パターン定義層および位相シフタを含む。反射性構造物は、回路基板上に配置される。パターン定義層は、第1の材料を含み、反射性構造物上に堆積させられる。パターン定義層は、パターントレンチを含む。位相シフタは、第2の材料を含み、パターントレンチ内に配置される。第2の材料の透過率は、第1の材料の透過率とは異なる。
いくつかの他の実施形態によれば、レチクルは、回路基板、反射性構造物、パターン定義層および位相シフタを含む。レチクルは、黒い境界領域と、黒い境界領域によって囲まれたパターン領域とを有する。反射性構造物は、回路基板上に堆積させられる。フレームトレンチは、レチクルの黒い境界領域に関連して反射性構造物において形成される。パターン定義層は、レチクルのパターン領域に関連して反射性構造物上に堆積させられ、パターン定義層において形成されたパターントレンチを有している。位相シフタは、パターントレンチにおいて堆積させられる。パターン定義層および位相シフタによって集合的に定義された、パターン領域に関連するレチクルの上表面は、平面である。
いくつかの他の実施形態によれば、レチクルを製造するための方法が、提供される。方法は、回路基板を提供することを含む。方法は、回路基板上に反射性構造物を形成することをさらに含む。方法はまた、反射性構造物上の第1の材料を含む、パターン定義層を堆積させることを含む。加えて、方法は、パターン定義層においてパターントレンチを形成することを含む。方法はさらに、パターントレンチにおいて、第2の材料を含む、位相シフタを堆積させることを含む。第2の材料の透過率は、第1の材料の透過率とは異なる。
前述の概要は、本開示に特有の革新的な特徴のうちのいくつかの理解を容易にするために提供されており、完全な記述であることは意図されていない。本開示の完全な理解は、明細書全体、特許請求の範囲、図面、および要約書を全体として理解することによって、得られ得る。
本開示は、添付の図面に関して様々な例示的実施形態の以下の説明を考慮してより完全に理解され得る。
本開示のいくつかの実施形態による薄暗いパターン定義層を有するレチクルの横断面図を示す。 本開示のいくつかの実施形態による、放射光にさらされているレチクルの概略図とレチクルから反射された後の放射光の波位相(i)およびエネルギ強度(ii)を示す図とを示す。 本開示のいくつかの実施形態による垂直相互接続アクセスホールを定義するために使用されるレチクルからの放射光に露光されているネガティブトーンフォトレジストとしてのフォトリソグラフィ工程の1つの段階の概略図を示す。 本開示のいくつかの実施形態によるトレンチ線を定義するために使用されるレチクルからの放射光に露光されているネガティブトーンフォトレジストとしてのフォトリソグラフィ工程の1つの段階の概略図を示す。 本開示のいくつかの実施形態による薄暗いパターン定義層を有するレチクルの横断面図を示す。 本開示のいくつかの実施形態による、放射光にさらされている図4に示されたレチクルの概略図とレチクルから反射された後の放射光の波位相(i)およびエネルギ強度(ii)を示す図とを示す。 本開示のいくつかの実施形態による薄暗いパターン定義層を有するレチクルを製造する方法の様々な段階を示す図である。 図6Kにおける領域Aの拡大図を示す。 本開示のいくつかの実施形態による薄暗いパターン定義層を有するレチクルを製造する方法の様々な段階を示す図である。 図7Fの領域Bの拡大図を示す。 本開示のいくつかの実施形態による薄暗いパターン定義層を有するレチクルを製造する方法の様々な段階を示す図である。
本開示は、様々な修正形態および代替形式に適しているが、その仕様は、図面に例として示されており、詳述されることになる。しかしながら、本開示の態様を記載された特定の例示的実施形態に制限することは意図されていない、ということを理解されたい。それどころか、本開示の精神および範囲内にあるすべての修正形態、同等物、および代替を包含することを意図している。
本明細書および添付の特許請求の範囲で使用されるとき、単数形の「一」(「a」、「an」)および「その」は、内容が別段の明確な規定をしない限り、複数の指示物を含む。本明細書および添付の特許請求の範囲で使用されるとき、「または」という用語は、内容が別段の明確な規定をしない限り、一般に、「および/または」を含むように用られている。
以下の詳細な記述は、異なる図面における類似の要素が、類似の参照数字で番号付けされてある、図面を参照して読まれることになる。たとえば、レチクルは、数的参照10によって一般的にまたは集合的に参照され、文字接尾辞が後に続く数的参照10(たとえば、10a)によって個々に参照される。必ずしも原寸に比例していない、詳細な記述および図面は、例示的実施形態を描写しており、本開示の範囲を制限することを意図されてはいない。描写された例示的実施形態は、単に例示を意図されている。任意の例示的実施形態の選択された特徴は、逆のことが明確に述べられていない限り、付加的実施形態に組み込まれ得る。
図1は、本開示のいくつかの実施形態によるレチクル10の横断面図を示す。本明細書では、レチクルという用語は、石英ブランク、フォトマスク、またはEUVマスクを参照するために使用され得る。いくつかの実施形態によれば、レチクル10は、反射型レチクルである。本明細書で示される実施形態および概念は、透過型レチクルを含むが、これに限定されない、2つの隣接パターンの間の小さなピッチを含む任意のレチクルに適用可能である、ということに留意されたい。いくつかの実施形態では、レチクルは、約1nmから約100nmの波長を有する極端紫外線(EUV)などの短い放射光で機能するフォトリソグラフィ工程において使用されるが、光(放射線)の他の波長が、本開示によって企図されている。示された実施形態では、マスク10は、位相差マスク、たとえば減衰位相差マスク(AttPSM:attenuated phase difference mask)、である。
いくつかの実施形態では、レチクル10は、周辺領域101、黒い境界領域102およびパターン領域103を定義する。レチクル10の上方視点から見ると、パターン領域103は、レチクル10の中心に位置する。パターン領域103は、集積回路デバイス(またはチップ)の中央領域を含むレチクル10のエリアである。たとえば、レチクル10は、レジスタ、コンデンサ、誘導子、ダイオード、金属酸化膜半導体電界効果トランジスタ(MOSFET)、相補型MOS(CMOS)トランジスタ、バイポーラ接合トランジスタ(BJT)、横方向拡散MOS(LDMOS)トランジスタ、ハイパワーMOSトランジスタ、フィン状電界効果トランジスタ(FinFET:fin-like field effect transistor)、他の集積回路構成要素、またはその組合せのパターンを含む。示された実施形態では、レチクル10は、集積回路デバイス(またはチップ)のビアホールのパターンを含む。黒い境界領域102は、パターン領域103の周りに広がり、パターン領域103に隣接する。黒い境界領域102の幅は、約2mmから約3mmの範囲でもよい。フレームトレンチ19は、不必要な露光を減らすために、レチクル10の黒い境界領域102において形成される。周辺領域101は、黒い境界領域102の周りに広がり、黒い境界領域102と回路基板11の外縁との間に位置する。周辺領域101は、集積回路デバイスのパターンを含まない。本開示のいくつかの実施形態による、レチクル10の構造的特徴については、詳しく後述する。
いくつかの実施形態では、レチクル10は、回路基板11、反射性構造物12、キャッピング層13、パターン定義層14および吸収層15を含む。回路基板11は、一般に、上部表面111および底部表面112を有する長方形で形成される。上部表面111は、底部表面112の反対側であり、外側表面113によって底部表面112から間隔を空けられている。底部表面112は、レチクル10の製造および処理中に基準面として使用され得る。たとえば、底部表面112は、露光装置1において静電チャック8によって保持され得る(図2)。いくつかの実施形態では、回路基板11は、熱的加熱による画像歪みを最小限に抑えるために、低い係数の熱膨張を有する材料で作られている。低い係数の熱膨張材料の非制限的例には、ガラス、セラミックまたはガラスセラミックが含まれる。導電層が、静電チャッキングを目的として底部表面112上に形成され得る。導電層は、タンタルホウ素(TaB)または窒化クロム(CrN)を含むことができ、適切な堆積技法を通して底部表面112上に形成され得る。
反射性構造物12は、レチクル10に投射された放射光を反射するように構成される。いくつかの実施形態では、反射性構造物12は、回路基板11の上部表面111に配置され、レチクル10の周辺領域101およびパターン領域103内に位置する上部表面111の部分を覆う。いくつかの実施形態では、反射性構造物12は、いくつかのフィルムペアを含み、各フィルムペアは、第1の層121と、第1の層121の下または上に位置する第2の層122とを含む。反射性構造物12内のフィルムペアの数は、20から80の範囲でもよい。たとえば、反射性構造物12は、40フィルムペアを含む。いくつかの実施形態では、各フィルムペアの第1の層121および第2の層122は、露光波長において異なる屈折率を有する2つの異なる材料で作られている。一実施形態によれば、フィルムペアの第1の層121は、シリコン層であり、フィルムペアの第2の層122は、モリブデン層である。1つの実施形態では、フィルムペアの第1の層121は、ベリリウム層であり、フィルムペアの第2の層122は、モリブデン層である。第1の層121および第2の層122の異なる反射層は、選択された電磁放射線タイプ/波長曝露光に対する高い反射性をもたらす。
反射性構造物12の各フィルムペアの厚さは、曝露光の波長および入射角度に基づいて、決定され得る。具体的には、第1の層121および第2の層122の厚さは、各インターフェースにおいて回析される曝露光の最大の強め合う干渉および曝露光の最小吸収がもたらされ得るように、決定される。たとえば、EUVフォトリソグラフィの目的で、第1の層121(たとえば、シリコン層)は、約4nmの厚さを有し、第2の層122(たとえば、モリブデン層)は、反射性構造物12がEUV範囲内の放射光に約70%の反射率を示すように配置されて、約3nmの厚さを有する。
キャッピング層13は、回路基板11とは反対側の反射性構造物12の側面に配置され、パターン定義層14の形成の間に基礎的反射性構造物12を保護するように構成される。たとえば、キャッピング層13は、パターン定義層14とは異なるエッチング特性を示す材料から選択される。結果として、キャッピング層13は、パターン定義層14のパターニングまたは修理/クリーニングにおいてエッチング停止層の役割を果たす。キャッピング層13の非制限的例には、酸化チタン(Tio)またはルテニウム(Ru)が含まれる。キャッピング層13は、約2nmから約4nmの範囲の厚さを有する適切なフィルム堆積技法を通して反射性構造物12上に形成され得る。
パターン定義層14は、キャッピング層13上に配置され、回路基板11のパターン領域103内に位置するキャッピング層13の一部分を覆う。パターン定義層14は、半導体ウエハ上にコーティングされたフォトレジスト材料上に対応するパターンを刻印するために、レチクル10によって反射された曝露光の画像を定義するために使用される、非常に細かいパターンを含む。たとえば、パターン定義層14は、いくつかのパターントレンチ17を有するようにエッチングされる。パターントレンチ17は、パターン定義層14を通過し、キャッピング層13または反射性構造物12の部分を露光し、フォトリソグラフィ工程において半導体ウエハ上にいくつかのビアホールおよび/またはトレンチ線を定義するために使用される。いくつかの実施形態では、パターン定義層14は、半導体ウエハ上にコーティングされたフォトレジスト材料を露光するように曝露光がパターン定義層14に作用することおよび反射構造物12によって反射されることを可能にするように、薄暗い。本明細書では、パターン定義層14は、曝露光に対するパターン定義層14の透過率が0%より大きい(すなわち、パターン定義層は曝露光に対して半透明である)ということを意味する、「薄暗い」であり、いくつかの実施形態では、曝露光(たとえば、EUV光)に対するパターン定義層14の透過率は、50%より大きく、1つの好ましい実施形態では、曝露光に対するパターン定義層14の透過率は、約60%から約70%の範囲にある。パターン定義層14の材料の非制限的例としては、モリブデン(Mo)、ニオビウム(Nb)、パラジウム(Pd)、もしくはルテニウム(Ru)またはその混合物が挙げられる。
吸収層15は、反射性構造物12上に配置され、レチクル10の周辺領域101に位置するキャッピング層13の一部分を覆う。いくつかの実施形態では、吸収層15は、同じ曝露光におけるパターン定義層14の吸光度よりも大きい曝露光における吸光度を有する。たとえば、吸収層15は、曝露光において少なくとも50%の吸光度を有し、パターン定義層14は、同じ曝露光において50%未満の吸光度を有する。いくつかの実施形態では、吸収層15の反射率は、EUV範囲内で曝露光において約2.7%である。
吸収層15は、パターン定義層14の材料とは異なる材料で作られている。1つの実施形態では、吸収層15は、本質的に無酸素のタンタル系の材料、たとえば、タンタルシリサイド系の材料(TaSi)、窒素化ホウ化タンタル系の材料(TaBN:nitrogenized tantalum boride-based material)、および窒化タンタル系の材料(TaN)を含む。別の実施形態では、吸収層15は、タンタルおよび酸素系の材料、たとえば、酸化および窒素化タンタルおよびシリコン系の材料(TaSiON)、タンタルホウ素酸化物系の材料(TaBO:tantalum boron oxide-based material)、および酸化および窒素化タンタル系の材料(TaON)を含む。吸収層15は、パターンなし(すなわち、半導体ウエハ上に回路パターンを形成するための特徴がない)でもよく、吸収層15の上部表面151は、平面である。1つまたは複数のアラインメントマークが、吸収層15において形成され得る。
いくつかの実施形態では、吸収層15の上部表面151およびパターン定義層14の上部表面141は、同じ平面に位置する。すなわち、吸収層15およびパターン定義層14は、反射性構造物12に対して同じ高さを有する。いくつかの実施形態では、吸収層15は、レチクルポッドにおけるレチクル10の保存中にレチクル10の支持平面の役割を果たす。上部表面151と同レベルを有する上部表面141を形成することによって、パターン定義層14において形成される細かい特徴は、レチクルポッドの内表面との衝突による損傷から保護され得る。
いくつかの実施形態では、フレームトレンチ19は、低い反射率を有する反射性構造物12の下で回路基板11を露光するために、吸収層15、キャッピング層13および反射性構造物12をエッチングすることによって、形成される。半導体ウエハ上のダイが、密な間隔で配置されるとき、1つの露光ショットの画像ボーダは、隣接するダイの部分に重なることになり、ダイの縁において形成された特徴の重大な次元およびコントラストに不利に影響する。フォトリソグラフィ工程中のそのような不必要な曝露光を減らすために、黒い境界領域102における反射性構造物12は、黒い境界領域102内のレチクル10から曝露光が殆どまたはまったく反射されないように、取り除かれる。いくつかの実施形態では、レチクル10の黒い境界領域102における反射率は、たとえば、約0.5%以下、または特に約0.05%以下である。いくつかの実施形態では、黒い境界領域102は、フレーム状であり、パターン領域103を取り囲む。いくつかの実施形態では、フレームトレンチ19の幅は、たとえば、約2mmから約3mmの範囲にある。
図2は、本開示のいくつかの実施形態による、露光装置1におけるレチクル10の使用を有するフォトリソグラフィ工程を実行するための方法の1つの段階を示す。簡潔にするために、露光装置1において曝露光を送信するための光学は、省略される。いくつかの実施形態では、レチクル10が、露光装置1に転写されるとき、レチクル10は、パターン定義層14の上部表面141および吸収層15の上部表面151が下を向いて、露光装置1のレチクルチャック8によって、保持される。レチクル10は、下に位置する半導体ウエハ5と平行に配置され得る。
フォトリソグラフィ工程の前に、半導体ウエハ5は、感光性材料を含むフォトレジスト層6でコーティングされ得る。フォトレジスト層6は、ポジティブトーンまたは別法としてネガティブトーンでもよい。示された実施形態では、フォトレジスト層6は、光に露光されたフォトレジストの一部分が、フォトレジスト現像薬に溶けなくなり、フォトレジストの露光されていない部分が、フォトレジスト現像薬によって溶かされる、ネガティブトーンフォトレジストである。ネガティブトーンフォトレジストの材料の非制限的例は、金属酸化物レジストである。
フォトリソグラフィ工程を実装するために、曝露光9、たとえば、EUV光が、光源(図示せず)から生成され、レチクル10のパターン定義層14に投影される。曝露光9は、次いで、反射性構造物12によって反射され、半導体ウエハ5上にコーティングされたフォトレジスト層6にレチクル10からパターンを転写する。
具体的には、図2に示すように、パターン定義層14の透明性質により、曝露光9の一部分は、パターン定義層14への入射であり、パターン定義層14の下で反射性構造物12によって反射されて、パターン定義層14に関連するパターンを有する反射光Taを生成する。さらに、曝露光9の別の部分は、パターントレンチ17を通過し、反射性構造物12によって反射されて、パターン定義層14のパターントレンチ17に関連するパターンを有する反射光Tbを生成する。
曝露光9は、パターン定義層14を通過しながら位相変化を被ることができ、反射光Taと反射光Tbとの間の位相差を引き起こす。図2の図(i)は、反射光Taおよび反射光Tbがレチクル10を離れるときの反射光Taおよび反射光Tbの波位相を示す。図(i)に示すように、反射光Taの位相は、反射光Tbの位相とは異なる。反射光Taと反射光Tbとの間の位相差は、パターン定義層14における反射光Taの移動距離によって異なり得る。いくつかの実施形態では、パターン定義層14の厚さは、反射光Taと反射光Tbとの間の位相差が約πから約1.3πの範囲にあるように、選択される。
反射光Taと反射光Tbとの間の位相差で、波の干渉が生じる。結果として、図2の図(ii)に示すように、パターントレンチ17のうちの1つに関連するフォトレジスト層6の領域602は、最低強度を有する光によって露光される。対照的に、パターン定義層14に関連するフォトレジスト層6の領域601は、より大きな強度を有する光によって露光される。フォトレジスト層6がネガティブトーンである構成では、領域602で露光される曝露光の強度は、フォトレジスト層6の化学構造が変更されないように低く、したがって、その後の現像工程の後に取り除かれる。
レチクル10のそれと類似の位相シフト現象を示すレチクルの様々な例については、後述する。後述では、図1に示されたレチクル10の構造的特徴と類似のレチクルの構造的特徴は、簡潔にするために、繰り返されない。
図3Aは、本開示のいくつかの実施形態によるフォトレジスト層6の垂直相互接続アクセスホールを定義するために使用されるレチクル10aからの放射光に露光されているネガティブトーンフォトレジストとしてのフォトリソグラフィ工程の1つの段階の概略図を示す。図中のレチクル10aのパターントレンチおよびフォトレジスト層6の開口部は、発明を説明するために図解されており、必ずしも実際の条件を満たすように拡大縮小されていない、ということを理解されたい。1つの実施形態では、レチクル10aのパターントレンチの次元は、フォトレジスト層6の開口部の次元の4倍である。いくつかの実施形態では、レチクル10aは、薄暗い材料で形成されたパターン定義層14aを有し、いくつかのパターントレンチ17aが、パターン定義層14aにおいて形成される。図3Aの底面図から分かるように、パターントレンチ17aは、約60nmから約100nmの幅を有する正方形の形状を有し得る。隣同士に配置された2つのパターントレンチ17aの間の間隔W1は、20nmから数マイクロメートルに及ぶ。ネガティブトーンを有するフォトレジスト層6のパターントレンチ17aに関連するいくつかの開口部61を形成するために、レチクル10aのパターンを伝える曝露光が、フォトレジスト層6に投影される。その後、フォトレジスト層6は、曝露光によって露光されていないまたはフォトレジスト層6における化学構造変化をトリガするために必要な強度より低い強度を有する光によって露光されたフォトレジスト層6の一部分を取り除くために、現像液にさらされる。
ポジティブトーンフォトレジスト上でビアホールを定義するための従来の暗視野バイナリレチクルを使用する(すなわち、パターントレンチが、レチクルのパターン領域において形成された不透明吸収材料において形成される)フォトリソグラフィ工程と比べて、図3Aに示したフォトリソグラフィ工程は、より小さいレジストぼけを有する。1つのシミュレーション結果によれば、前者の工程は、3.5nmのレジストぼけを有し、後者の工程は、2nm未満のレジストぼけを有する。したがって、小さな間隔(たとえば、40nm未満)を有するビアホールは、単一レチクルの使用で同時に形成することさえもでき、したがって、製造時間およびコストは有意に低減される。加えて、パターン定義層14aの薄暗い性質により、曝露光を生成するための出力電力は、従来のバイナリレチクルと比べて、低減することができ、したがって、このフォトリソグラフィ工程のための電力需要は、低減される。さらに、図3Aに示すフォトリソグラフィ工程は、より優れた重大な次元の均一性およびより優れたホール円形を示す。
ネガティブトーンフォトレジスト上にビアホールを定義するために従来の明視野バイナリレチクルを使用する(すなわち、ドット様の吸収構造が、レチクルのパターン領域内の反射性構造物上に形成される)フォトリソグラフィ工程と比べて、図3Aに示すフォトリソグラフィ工程は、より優れた画像コントラストを提示する。結果として、レチクル10aによって形成された開口部61は、より優れた重大な次元均一性およびより優れたホール円形を有する。加えて、図3Aに示すフォトリソグラフィ工程は、明視野バイナリレチクルを使用するフォトリソグラフィ工程と比較して、粒子または残留物(たとえば、レチクル10a上に蓄積された、図3Aに示された粒子4)による画像欠陥を抑制するためのより優れた能力を有する。
図3Bは、本開示のいくつかの実施形態によるフォトレジスト層6上のトレンチ線を定義するために使用されるレチクル10bからの放射光に露光されているネガティブトーンフォトレジストとしてのフォトリソグラフィ工程の1つの段階の概略図を示す。レチクル10bのパターントレンチおよびフォトレジスト層6のトレンチは、発明を説明するために示されており、必ずしも実際の条件を満たすように拡大縮小されていない、ということを理解されたい。1つの実施形態では、レチクル10b上のパターントレンチの次元は、フォトレジスト層6のトレンチの次元の4倍である。いくつかの実施形態では、レチクル10bは、薄暗い材料で形成されたパターン定義層14bを有し、いくつかのパターントレンチ17bが、パターン定義層14bにおいて形成される。図3Bの底面図から分かるように、パターントレンチ17bは、長方形の形状を有し得る。隣同士に配置された2つのパターントレンチ17bの間の間隔W2は、12nmから数マイクロメートルに及ぶ。ネガティブトーンを有するフォトレジスト層6のパターントレンチ17bに関連するいくつかのトレンチ62を形成するために、レチクル10bのパターンを伝える曝露光が、フォトレジスト層6上に投影される。その後、フォトレジスト層6は、曝露光によって露光されていないまたはフォトレジスト層6における化学構造変化をトリガするために必要な強度より低い強度を有する光によって露光されたフォトレジスト層6の一部分を取り除くために、現像液にさらされる。
従来のフォトリソグラフィ工程において、トレンチ線は、以下の段階によって形成される:ネガティブトーンフォトレジスト上にパターンを定義するために暗視野バイナリレチクルを使用することによって回路基板上にフォトレジスト線を形成することと、回路基板上にフォトレジスト線とは異なるエッチング特性を示すリバースマテリアルを堆積させることと、フォトレジスト線をエッチバックすること。しかしながら、前述のフォトリソグラフィ工程では、パターン線は、リバースマテリアルの堆積の間にまたは前にくずれ得る。これに反して、トレンチ線が、リバース工程を実行せずに直接形成され得るので、図3Bに示されたフォトリソグラフィ工程は、パターン衝突の懸念を効果的に軽減する。
ネガティブトーンフォトレジスト上にトレンチ線を定義するために従来の明視野バイナリレチクルを使用するフォトリソグラフィ工程と比べて、図3Bに示すフォトリソグラフィ工程は、より優れた画像コントラストを提示する。結果として、レチクル10bによって形成された開口部62は、より優れた線幅の粗さ(LWR:line width roughness)および重大な次元均一性を有する。加えて、図3Bに示されたフォトリソグラフィ工程は、明視野バイナリレチクルを使用するフォトリソグラフィ工程と比較して、レチクル10b上に蓄積される粒子または残留物(たとえば、図3Bに示す粒子4)による画像欠陥を抑制するためのより優れた能力を有する。
本開示の発明者らは、反射光Ta(図2)の強度が反射光Tb(図2)の強度により近いときに、反射光Taおよび反射光Tbの波の干渉が増幅する、ということを発見した。言い換えれば、パターントレンチ17において堆積させられた媒体の透過率に対するパターン定義層14の透過率の比率が大きいほど、半導体ウエハに投影されたパターンの画像コントラストはよくなる。一般に、EUVフォトリソグラフィ工程は、超真空環境で実行され、したがって、パターントレンチ17は、ガスがない(すなわち、パターントレンチ17において堆積させられた媒体の透過率は、ほぼ1と等しい)。パターントレンチ17において堆積させられた媒体の透過率に対するパターン定義層14の透過率の比率を増やすために、本開示の別の目的は、パターン定義層14内のパターントレンチに位置する1つまたは複数の位相シフタを有するレチクルを提供し、それによって、反射光Taおよび反射光Tbの干渉を強めることである。
図4は、図1のレチクル10に類似のレチクル10cの横断面図を示し、レチクル10cとレチクル10との差は、パターントレンチ17がいくつかの位相シフタ18で満たされていることを含む。いくつかの実施形態では、レチクル10cのパターン領域103内の反射性構造物12の上部表面の全域は、反射性構造物12の第1の領域R1および第2の領域R2で構成されている。図4に示すように、パターン定義層14は、反射性構造物12の第1の領域R1を覆う。パターン定義層14は、反射性構造物12の第1の領域R1上に配置され得、キャッピング層13は、反射性構造物12とパターン定義層14との間に位置する。位相シフタ18は、パターントレンチ17内に位置し、反射性構造物12の第2の領域R2を覆う。位相シフタ18は、反射性構造物12の第2の領域R2に配置され得、キャッピング層13は、反射性構造物12とそれぞれの位相シフタ18との間に位置する。
いくつかの実施形態では、パターン定義層14は、第1の材料で形成され、位相シフタ18は、第1の材料とは異なる第2の材料で形成される。本開示のいくつかの実施形態による、第1の材料および第2の材料の例示的組合せが、表1および表2に示されている。
表1:パターン定義層14(第1の材料)および位相シフタ18(第2の材料)の材料の組合せとπの位相差を有するパターン定義層14および位相シフタ18から光が放射するときの関連パラメータ
Figure 2023550464000002
表2:パターン定義層14(第1の材料)および位相シフタ18(第2の材料)の材料の組合せと1.2πの位相差を有するパターン定義層14および位相シフタ18から光が放射するときの関連パラメータ
Figure 2023550464000003
表1は、パターントレンチ17が、Zr、La、Si、CまたはBから選択された材料を含む位相シフタ18で満たされているとき、位相シフタ18に対するパターン定義層14の透過率比率が、真空に対するパターン定義層14の透過率比率より大きい(すなわち、位相シフタ18がパターントレンチ17において形成されない)、ということを示している。表2は、パターントレンチ17が、La、Si、SiCまたはpSiから選択された材料を含む位相シフタ18で満たされているとき、位相シフタ18に対するパターン定義層14の透過率比率が、真空に対するパターン定義層14の透過率比率より大きい、ということを示している。位相シフタ18に対するパターン定義層14の透過率比率の増加は、レチクル10cによって反射された光の波の干渉が強化されることと、画像コントラストが有意に改善されることを表す。たとえば、図5に示すように、レチクル10cが、フォトリソグラフィ工程で使用されるとき、曝露光9の一部分は、パターン定義層14への入射であり、パターン定義層14の下で反射性構造物12によって反射されて、パターン定義層14に関連するパターンを有する反射光Taを生成する。さらに、曝露光9の別の部分は、位相シフタ18への入射であり、位相シフタ18の下で反射性構造物12によって反射されて、位相シフタ18に関連するパターンを有する反射光Tcを生成する。
曝露光9は、パターン定義層14および位相シフタ18を通過しながら、反射光Taと反射光Tcとの位相差を引き起こす、位相変化を被る。具体的には、図5の図(i)は、反射光Taおよび反射光Tcがレチクル10cを離れるときの反射光Taおよび反射光Tcの波位相を示し、図5の図(ii)は、半導体ウエハ5に投影された曝露光9の強度を示す。図5の図(i)に示すように、反射光Taの位相は、反射光Tcの位相とは異なり、反射光Tcの位相の振幅は、反射光Taの位相の振幅より大きい。結果として、図5の図(ii)に示すように、位相シフタ18のうちの1つに関連するフォトレジスト層6の領域602は、最低強度を有する光によって露光される。対照的に、パターン定義層14に関連するフォトレジスト層6の領域601は、最高強度を有する光によって露光される。さらに、反射光Tcの強い振幅によってもたらされて、位相シフタ18から送信される曝露光Tcは、パターン定義層14から送信される曝露光Taの強度より低い強度を示し、それは、レチクル10cによって反射される光の干渉が強化され、それによって、画像コントラストが有意に改善されることを意味する。
いくつかの実施形態では、パターン定義層14(第1の材料)と位相シフタ18(第2の材料)との両方が、複数の要素原子によって形成された合金である。パターン定義層14の例は、たとえば、Ni-Alになり得る。たとえば、(1)第2の材料に対する第1の材料(パターン定義層)の透過率の比率が、真空の透過率に対する第1の材料の透過率の比率より大きく、(2)他の光学パラメータと他の関連パラメータとの組合せ、第1の材料および第2の材料の厚さが、約πから約1.3πに及ぶ、好ましくは1.2πの、第1の材料および第2の材料を通過する光の位相差を作ることになる限り、第2の材料(位相シフタ)としての合金の選択は、そのようになり得る。
パターン定義層は、単一の要素または合金のいずれかになり得、位相シフタもまた、単一の要素または合金になり得る。システム全体は、パターン定義層および位相シフタによる要素および合金の組合せになり得る。
表1および2はまた、いくつかの特定の組合せ、たとえば、Mo/La、Mo/SiおよびNb/Laにおいて、パターン定義層14および位相シフタ18の厚さは、50nmにほぼ等しい、ということを示す。そのような薄い厚さを有する、パターン定義層14および位相シフタ18はまた、陰影効果の防止およびレチクル10cの光反射率の改善を容易にすることができる。すなわち、半導体ウエハの生産収率が、改善され得る。いくつかの実施形態では、パターン定義層14および位相シフタ18は、約44nmから約53nmの厚さを有する。
前述の利点に加えて、パターントレンチ17における位相シフタ18の堆積はまた、露光装置の電力消費を減らすことおよび関連コストを下げることによって、利益をもたらす。1つのシミュレーション結果によれば、パターン定義層14が、Moで作られており、位相シフタ18が、シリコンで作られているとき、フォトレジストを効果的に露光するための単一の露光ショットの電力は、パターントレンチ17において堆積された位相シフタ18を有さないレチクルと比較して、約36.2mj/cmから約35.0mj/cmに3%低減される。
前述の利益を利用するために、位相シフトレチクル、レチクルによって生み出される位相差は、曝露光の波長によって決定され得る、ということに留意されたい。本開示では、パターン定義層14および位相シフタ18から放射する光は、1πから1.3πの位相差を有し得、位相シフトレチクルの関連パラメータは、以下の表3に示される。
表3:πから1.3πの位相差を有するパターン定義層14(たとえば、モリブデン)および位相シフタ18(たとえば、ランタンまたはシリコン)から光が放射するときの関連パラメータ
Figure 2023550464000004
図6Aから6Lは、本発明の1つの実施形態による、レチクル10d(図6K)を形成するための方法を説明する一連の概略的横断面図を示す。付加的段階が、本方法の前、間、および後に提供され得、記載されたいくつかの段階は、付加的実施形態に関して置き換える、削除する、または移動することができる。方法は、一例であり、特許請求の範囲において明示的に列挙されたものを超えて本開示を制限することは意図されていない。
図6Aを参照すると、レチクル10dを形成するための方法は、順に回路基板11上に反射性構造物12、キャッピング層13およびパターン定義層14dを形成することを含む。パターン定義層14dは、モリブデン(Mo)、ニオビウム(Nb)、パラジウム(Pd)、ルテニウム(Ru)、または、Ni-Alなどの合金から選択され得る。反射性構造物12、キャッピング層13およびパターン定義層14dは、化学蒸着堆積(CVD)、物理蒸着堆積(PVD)、原子層堆積(ALD)、および/または別の適切な1つもしくは複数の工程によって、形成され得る。いくつかの実施形態では、反射性構造物12は、交互のMoおよびSi層を含む多層であり、MoおよびSi層の各ペアは、約3nmの厚さを有するMo層と約4nmの厚さを有するSi層とを有する。キャッピング層13は、ルテニウム(Ru)、RuSi、またはその組合せを含み、約2nmから約20nmの範囲の厚さを有する。パターン定義層14dは、モリブデン(Mo)またはニオビウム(Nb)を含み、約40nmから約130nmの範囲の厚さを有する。
図6Aをさらに参照すると、レチクル10dを形成するための方法はさらに、周辺領域101および黒い境界領域102に関連してパターン定義層14dの領域をパターニングすることを含む。パターニング工程は、適切な工程、たとえばスピンオンコーティングによってパターン定義層14d上にフォトレジスト21の層を形成することと、次いでフォトレジスト21の層を露光および現像してフォトレジスト特徴210を形成することとを含み得る。フォトレジスト特徴210は、周辺領域101および黒い境界領域102に関連してパターン定義層14dの上部表面を露光するための長方形の形状でもよい。
レチクル10dを形成するための方法はさらに、エッチング工程を実行してフォトレジスト特徴210のパターンを下にあるパターン定義層14dに転写することを含む。エッチング工程では、フォトレジスト21によって覆いを外されたパターン定義層14dの部分が、図6Bに示すように、そこに開口部140dを形成するために、取り除かれる。フォトレジスト21の層は、パターン定義層14dのエッチング工程の後に取り除かれ得る。
図6Cを参照すると、レチクル10dを形成するための方法はさらに、化学蒸着堆積(CVD)、物理蒸着堆積(PVD)、原子層堆積(ALD)、および/または別の適切な1つもしくは複数の工程によってパターン定義層14d上に吸収材料150dを形成することを含む。吸収材料150dは、タンタルシリサイド系の材料、窒素化ホウ化タンタル系の材料、および窒化タンタル系の材料を含み得る。
図6Dに示すように、吸収材料150dの形成の後、除去工程が、パターン定義層14dおよび開口部140dの上にある吸収材料150dの一部分を取り除いて吸収層15を形成するために、実行される。除去工程は、化学的機械的研磨(CMP)工程またはドライエッチ工程を含み得る。除去工程の後、開口部140d内の吸収層15およびパターン定義層14dは、反射性構造物12に対して同じ高さを有する。パターン定義層14dの上部表面141dは、パターン定義層14dおよび吸収層15がレチクル10dの平面の(平らな)上表面を構成するように、吸収層15の上部表面151と実質的に同一表面上にある。
図6Eを参照すると、レチクル10dを形成するための方法はさらに、パターン領域103に位置するパターン定義層14dの一部分をパターニングすることを含む。パターニング工程は、適切な工程、たとえば、スピンオンコーティングによってパターン定義層14dおよび吸収層15上にフォトレジスト22の層を形成することと、次いでフォトレジスト22の層を露光および現像してフォトレジスト特徴220を形成することとを含み得る。フォトレジスト特徴220は、集積回路の層の回路パターンに従ってパターニングされ得る。いくつかの実施形態では、フォトレジスト特徴220は、集積回路のビアホールまたはトレンチ線に従ってパターニングされる。
レチクル10dを形成するための方法はさらに、下にあるパターン定義層14dにフォトレジスト特徴220のパターンを転写するためにエッチング工程を実行することを含む。エッチング工程では、フォトレジスト22によって覆いを外されたパターン定義層14dの部分は、図6Fに示すように、そこにいくつかのパターントレンチ17dを形成するために、取り除かれる。フォトレジスト22の層は、パターン定義層14dのエッチング工程の後に取り除かれ得る。
パターントレンチ17dの形成の後、レチクル10dを形成するための方法はさらに、パターントレンチ17dにおいていくつかの位相シフタを形成することを含む。いくつかの実施形態では、位相シフタは、自己組織化単分子膜(SAM:self-assembled monolayer)工程およびエリア選択性堆積(ASD:area selective deposition)工程によってパターントレンチ17dにおいて形成される。
具体的には、図6Gに示すように、SAM工程において、阻害物質23が、パターン定義層14dおよび吸収層15上に選択的に形成される。すなわち、阻害物質23は、パターン定義層14dの上部表面141dおよび吸収層15の上部表面151を覆い、パターントレンチ17dを覆いのないままにする。阻害物質23は、パターン定義層14dおよび吸収層15上のその後の堆積を抑えることができる材料を含む。いくつかの実施形態では、阻害物質23は、液体および/または蒸着堆積工程によって形成され得る。ASD工程では、2つの化学物質が、パターン定義層14dおよび吸収層15上に、複数回、交互に供給される。阻害物質23は、ASD工程の化学物質と不活性なので、化学物質は、阻害物質23に接合するのを妨げられる。結果として、図6Hに示すように、位相シフタ18dは、パターントレンチ17dにおいて選択的に形成され得、位相シフタの材料の薄いフィルム180dは、ASD工程の後にパターン定義層14dおよび吸収層15上に形成され得る。その後、図6Iに示すように、阻害物質上の薄いフィルム180dと併せて阻害物質の形成が、取り除かれる。いくつかの実施形態では、位相シフタ18dは、Zr、La、Si、CまたはBを含む材料から選択される。
位相シフタ18dの形成の後、レチクル10dを形成するための方法はさらに、レチクル10dの黒い境界領域102においてフレームトレンチ19を形成することを含む。いくつかの実施形態では、図6Jに示すように、フレームトレンチ19の形成は、適切な工程、たとえば、スピンオンコーティングによってパターン定義層14dおよび吸収層15上にフォトレジスト24の層を形成することと、次いでフォトレジスト24の層を露光および現像してフォトレジスト特徴240を形成することとを含み得る。フォトレジスト特徴240は、黒い境界領域102に位置する吸収層15の上部表面を露光するための長方形の形状を有し得る。その後、エッチング工程は、フォトレジスト特徴240のパターンを下にある吸収層15に転写するために、実行される。エッチング工程において、吸収層15と、キャッピング層13と、フォトレジスト24によって覆いを外された反射性構造物12とが、図6Kに示すように、そこにフレームトレンチ19を形成するために、取り除かれる。フォトレジスト24の層は、フレームトレンチ19を形成するためのエッチング工程の後に取り除かれ得る。いくつかの実施形態では、反射性構造物12が、完全に取り除かれ、回路基板11の表面で終了する。
いくつかの実施形態では、パターン定義層14dにおける開口部またはパターントレンチ17のすべては、位相シフタ18dで満たされ、パターン領域103内のレチクル10dの上面105dは、平坦面である。本開示では、「平坦面」は、理想的な平坦面に制限されず、位相シフタ18dの高さの5%以下の高さに変量を有する表面も含む。たとえば、図6Lに示すように、位相シフタ18dのうちの1つの上部表面181dは、パターン定義層14dの上部表面141dとは異なるレベルに位置する。位相シフタ18dの上部表面181dは、パターン定義層14dの上部表面141dより低くてもよい。位相シフタ18dの上部表面181dとパターン定義層14dの上部表面141dとの高さ差H1は、位相シフタ18dの高さの約3%から約4%の範囲内でもよい。示された実施形態では、位相シフタ18dの上部表面181dとパターン定義層14dの上部表面141dとの高さ差H1は、約2nmである。いくつかの実施形態では、位相シフタ18dのうちの2つは、パターン定義層14dの上部表面141dに対して異なる高さを有し、したがって、レチクル10dの上面105dの異なる領域は、高さにおいて異なる変量を有する。
図7Aから7Gは、本発明の1つの実施形態による、レチクル10e(図7F)を形成するための方法を説明する一連の概略的横断面図を示す。付加的段階が、本方法の前、間、および後に提供され得、記載されたいくつかの段階は、付加的実施形態に関して置き換える、削除する、または移動することができる。方法は、一例であり、特許請求の範囲において明示的に列挙されたものを超えて本開示を制限することは意図されていない。
図7Aを参照すると、レチクル10eを形成するための方法は、反射性構造物12、キャッピング層13、パターン定義層14e、吸収層15およびその上に形成されたバッファ層25を回路基板11に提供することを含む。パターン定義層14eは、モリブデン(Mo)、ニオビウム(Nb)、パラジウム(Pd)、ルテニウム(Ru)、または、Ni-Alなどの合金から選択され得る。吸収層15は、パターン定義層14eの周りに形成され、パターン定義層14eおよびバッファ層25が、パターニングされる。吸収層15を形成するならびにパターン定義層14eおよびバッファ層25をパターニングするための方法は、図6Aから6Fに示されたそれらの段階と類似しており、したがって、簡潔にするために、繰り返されない。
図7Bを参照すると、パターン定義層14eおよびバッファ層25が、パターニングされた後、レチクル10eを形成するための方法は、化学蒸着堆積(CVD)、物理蒸着堆積(PVD)、原子層堆積(ALD)、および/または別の適切な1つもしくは複数の工程によってバッファ層25上に位相シフタ材料180eを形成することを含む。位相シフタ材料180eは、たとえば、Zr、La、Si、CまたはBから選択された材料を含み得る。位相シフタ材料180eの形成の後、パターン定義層14eにおけるパターントレンチ17eは、位相シフタ材料180eで満たされる。
その後、除去工程が、バッファ層25およびパターントレンチ17eの上にある位相シフタ材料180eの一部分を取り除いてパターントレンチ17eにおいていくつかの位相シフタ18e、たとえば、Zr、La、Si、C、Bまたはその合金を形成するために、実行される。いくつかの実施形態では、図7Cに示すように、除去工程が行われる。除去工程は、エッチング工程、CMP工程、または、エッチング工程およびCMP工程の組合せを含み得る。いくつかの実施形態では、エッチング工程は、位相シフタ材料180eの一部分を取り除いてバッファ層25を露光するために、実行される。エッチング工程の間に、バッファ層25は、エッチング工程を停止するための停止層の役割を果たし得る。次いで、CMP工程が、図7Dに示すように、パターントレンチ17e上のバッファ層25および残りの位相シフタ材料180eを取り除いてパターントレンチ17eにおいて位相シフタ18eを形成するために、提供される。いくつかの代替実施形態では、CMP工程が、バッファ層25が露光されるまで、先ず実行され、次いで、エッチング工程が、パターン定義層14eより上のバッファ層25および位相シフタ材料180eを取り除くために、適用される。
位相シフタ18eの形成の後、レチクル10eを形成するための方法はさらに、レチクル10eの黒い境界領域102においてフレームトレンチ19を形成することを含む。フレームトレンチ19の形成は、図7Eに示すように、適切な工程、たとえば、スピンオンコーティングによってパターン定義層14eおよび吸収層15上にフォトレジスト26の層を形成することと、次いでフォトレジスト26の層を露光および現像してフォトレジスト特徴260を形成することとを含み得る。フォトレジスト特徴260は、黒い境界領域102に位置する吸収層15の上部表面を露光するための環形状を有し得る。その後、エッチング工程が、フォトレジスト特徴260のパターンを下にある吸収層15に転写するために、実行される。エッチング工程では、図7Fに示すように、吸収層15、キャッピング層13、および、フォトレジスト26によって覆いを外された反射性構造物12が、そこにフレームトレンチ19を形成するために、取り除かれる。フォトレジスト26の層は、フレームトレンチ19を形成するためのエッチング工程の後に取り除かれ得る。別の実施形態では、反射性構造物12は、完全に取り除かれ、回路基板11の表面で終わる。
いくつかの実施形態では、パターン定義層14eにおける開口部またはパターントレンチ17eのすべては、位相シフタ18eで満たされ、したがって、パターン領域103内のレチクル10eの上面105e全体は、平坦面である。いくつかの実施形態では、図7Gに示すように、位相シフタ18eのうちの1つの上部表面181eおよびパターン定義層14eの上部表面141eは、同レベルに位置する。すなわち、位相シフタ18eの上部表面181eとパターン定義層14eの上部表面141eとの高さ差は存在しない。いくつかの代替実施形態では、くぼみは、位相シフタ材料180eの除去工程の間に形成され得、したがって、位相シフタ18eのうちの1つの上部表面181eは、パターン定義層14eの上部表面141eより低くてもよい。位相シフタ18eの上部表面181eとパターン定義層14eの上部表面141eとの高さ差は、位相シフタ18eの高さの約3%から約4%の範囲内でもよい。
いくつかの実施形態では、図8Fに示すように、レチクル10fの周辺領域101内の吸収層15fは、レチクル10fのパターン領域103内のパターン定義層14と同じ材料で作られている。図8Aから8Fは、本発明の1つの実施形態による、レチクル10f(図8F)を形成するための方法を説明する一連の概略的横断面図を示す。付加的ステップが、方法の前、間、または後に提供され得、記載されたいくつかのステップは、付加的実施形態に関して置き換える、削除する、または移動することができる。方法は、一例であり、特許請求の範囲において明示的に列挙されたものを超えて本開示を制限することは意図されていない。
図8Aを参照すると、レチクル10fを形成するための方法は、順に回路基板11上に反射性構造物12、キャッピング層13および透光性材料140fを形成することを含む。反射性構造物12、キャッピング層13および透光性材料140fは、化学蒸着堆積(CVD)、物理蒸着堆積(PVD)、原子層堆積(ALD)、および/または別の適切な1つもしくは複数の工程によって、形成され得る。いくつかの実施形態では、反射性構造物12は、交互のMoおよびSi層を含む多層である。キャッピング層13は、ルテニウム(Ru)、RuSi、またはその組合せを含み、約2nmから約20nmの範囲の厚さを有する。透光性材料140fは、モリブデン(Mo)またはニオビウム(Nb)を含み、約40nmから約130nmの厚さを有する。
図8Bを参照すると、レチクル10fを形成するための方法はさらに、パターン領域103内に位置する透光性材料140fの一部分をパターニングすることを含む。パターニング工程は、適切な工程、たとえば、スピンオンコーティングによって透光性材料140f上にフォトレジスト22の層を形成することと、次いでフォトレジスト22の層を露光および現像してフォトレジスト特徴220を形成することとを含み得る。フォトレジスト特徴220は、集積回路の層の回路パターンに従ってパターニングされ得る。いくつかの実施形態では、フォトレジスト特徴220は、集積回路のビアホールまたはトレンチ線に従ってパターニングされる。
レチクル10fを形成するための方法はさらに、フォトレジスト特徴220のパターンを下にある透光性材料140fに転写するためのエッチング工程を実行することを含む。エッチング工程では、図8Cに示すように、フォトレジスト22によって覆いを外された透光性材料140fの部分は、その中にいくつかのパターントレンチ17fを形成するために、取り除かれる。フォトレジスト22の層は、透光性材料140fのエッチング工程の後に取り除かれ得る。
パターントレンチ17fの形成の後、レチクル10fを形成するための方法はさらに、図8Dに示すように、パターントレンチ17dにおいていくつかの位相シフタ18fを形成することを含む。位相シフタ18fは、図6Gから6Iに示されたそれらの工程としての自己組織化単分子膜(SAM)工程およびエリア選択性堆積(ASD)工程によって、パターントレンチ17fにおいて形成され得る。別法として、位相シフタ18fは、パターン定義層14f上に位相シフタ材料を堆積させることと、図7Aから7Dに示されたそれらの工程としての除去工程を実行することとによって、パターントレンチ17fにおいて形成され得る。
位相シフタ18fの形成の後、レチクル10fを形成するための方法はさらに、レチクル10fの黒い境界領域102においてフレームトレンチ19を形成することを含む。いくつかの実施形態では、図8Eに示すように、フレームトレンチ19の形成は、適切な工程、たとえば、スピンオンコーティングによって透光性材料140f上にフォトレジスト24の層を形成することと、次いでフォトレジスト24の層を露光および現像してフォトレジスト特徴240を形成することとを含み得る。フォトレジスト特徴240は、黒い境界領域102内に位置する透光性材料140fの上部表面を露光するための長方形の形状を有し得る。その後、エッチング工程は、フォトレジスト特徴240のパターンを下にある透光性材料140fに転写するために、実行される。エッチング工程では、図8Fに示すように、透光性材料140f、キャッピング層13、およびフォトレジスト24によって覆いを外された反射性構造物12が、そこにフレームトレンチ19を形成するために、取り除かれる。フレームトレンチ19の形成の後、黒い境界領域102内の透光性材料140fは、吸収層15と呼ばれ、周辺領域101内の透光性材料140fは、パターン定義層14fと呼ばれる。フォトレジスト24の層は、フレームトレンチ19を形成するためのエッチング工程の後に取り除かれ得、レチクル10fを形成するための工程は、完了する。
本開示のいくつかの例示的実施形態についてこのように説明して、さらに他の実施形態が添付の特許請求の範囲内で行われ得るおよび使用され得る、ということが当業者には容易に理解されよう。本文書に包含される本開示の多数の利点が、前述に明記されてある。しかしながら、本開示は、多くの点で単に例示である、ということが理解されよう。詳細への変更が、本開示の範囲を超えずに、特に、部分の形状、サイズ、および配置に関して行われ得る。勿論、本開示の範囲は、添付の特許請求の範囲が表現されている言語において定義される。

Claims (20)

  1. 回路基板、
    前記回路基板上に配置された反射性構造物、
    前記反射性構造物上に堆積させられた、第1の材料を含む、パターン定義層であって、パターントレンチを含むパターン定義層、および、
    前記パターントレンチに配置された、第2の材料を含む、位相シフタであって、前記第2の材料の透過率が、前記第1の材料の透過率とは異なる、位相シフタ
    を備える、レチクル。
  2. 前記第1の材料の前記透過率および前記第2の材料の前記透過率が、ともに50%より大きい、請求項1に記載のレチクル。
  3. 前記第2の材料の透過率に対する前記第1の材料の前記透過率の比率が、約0.62から約0.98の範囲にある、請求項1に記載のレチクル。
  4. 前記位相シフタから送信された曝露光が、前記パターン定義層から送信された前記曝露光の強度より低い強度を示すように、前記第1の材料および前記第2の材料が選択される、請求項1に記載のレチクル。
  5. 前記第1の材料が、モリブデン(Mo)またはニオビウム(Nb)を含む、請求項1に記載のレチクル。
  6. 前記第2の材料が、ランタン(La)またはシリカ(Si)を含む、請求項1に記載のレチクル。
  7. 前記パターン定義層から送信された曝露光および前記位相シフタから送信された曝露光が、πから1.3πの位相差を有する、請求項1に記載のレチクル。
  8. 前記パターン定義層および前記位相シフタが、曝露光を受け入れるための前記レチクルの上表面を集合的に形成し、前記上表面が、実質的に平面である、請求項1に記載のレチクル。
  9. 前記パターン定義層の厚さと前記位相シフタの厚さとの差が、2nm未満である、請求項1に記載のレチクル。
  10. 黒い境界領域および前記黒い境界領域によって囲まれたパターン領域を有するレチクルであって、
    回路基板と、
    前記回路基板上に堆積させられた反射性構造物であって、フレームトレンチが、前記レチクルの前記黒い境界領域に関連して前記反射性構造物において形成される、反射性構造物と、
    前記レチクルの前記パターン領域に関連して前記反射性構造物上に堆積させられた、およびパターン定義層において形成されたパターントレンチを有する、パターン定義層と、
    前記パターントレンチにおいて堆積させられた位相シフタであって、前記パターン定義層および前記位相シフタによって集合的に定義された、前記パターン領域に関連する前記レチクルの上表面が、平面である、位相シフタと
    を備える、レチクル。
  11. 前記パターン定義層が、第1の材料を含み、
    前記位相シフタが、第2の材料を含み、前記第2の材料の透過率が、前記第1の材料の透過率とは異なる、請求項10に記載のレチクル。
  12. 前記位相シフタの透過率に対する前記パターン定義層の透過率の比率が、約0.62から約0.98の範囲にある、請求項10に記載のレチクル。
  13. 前記パターン定義層の透過率および前記位相シフタの透過率が、ともに50%より大きい、請求項10に記載のレチクル。
  14. 前記パターン定義層から送信された曝露光および前記位相シフタから送信された曝露光が、πから1.3πの位相差を有する、請求項10に記載のレチクル。
  15. 前記パターン定義層が、約44nmから約53nmの厚さを有する、請求項10に記載のレチクル。
  16. レチクルを製造するための方法であって、
    回路基板を提供することと、
    前記回路基板上に反射性構造物を形成することと、
    前記反射性構造物上に、第1の材料を含む、パターン定義層を堆積させることと、
    前記パターン定義層においてパターントレンチを形成することと、
    前記パターントレンチ内に、前記第2の材料を含む、位相シフタを堆積させることであって、前記第2の材料の透過率が、前記第1の材料の透過率とは異なる、位相シフタを堆積させることと
    を含む、方法。
  17. 前記第1の材料の前記透過率および前記第2の材料の前記透過率が、ともに50%より大きい、請求項16に記載の方法。
  18. 前記第1の材料が、モリブデン(Mo)またはニオビウム(Nb)を含む、請求項16に記載の方法。
  19. 前記第2の材料が、ランタン(La)またはシリカ(Si)を含む、請求項16に記載の方法。
  20. 前記パターン定義層の厚さと前記位相シフタの厚さとの差が、2nm未満である、請求項16に記載の方法。
JP2023530595A 2020-11-20 2021-11-18 フォトリソグラフィで使用するための位相シフトレチクル Pending JP2023550464A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202063116423P 2020-11-20 2020-11-20
US63/116,423 2020-11-20
PCT/US2021/059942 WO2022109169A1 (en) 2020-11-20 2021-11-18 Phase-shift reticle for use in photolithography

Publications (1)

Publication Number Publication Date
JP2023550464A true JP2023550464A (ja) 2023-12-01

Family

ID=81656981

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2023530595A Pending JP2023550464A (ja) 2020-11-20 2021-11-18 フォトリソグラフィで使用するための位相シフトレチクル

Country Status (7)

Country Link
US (1) US20220163881A1 (ja)
EP (1) EP4248270A1 (ja)
JP (1) JP2023550464A (ja)
KR (1) KR20230098678A (ja)
CN (1) CN116635784A (ja)
TW (1) TWI816234B (ja)
WO (1) WO2022109169A1 (ja)

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3219502B2 (ja) * 1992-12-01 2001-10-15 キヤノン株式会社 反射型マスクとその製造方法、並びに露光装置と半導体デバイス製造方法
TW497165B (en) * 1999-06-30 2002-08-01 Hitachi Ltd Method for manufacturing semiconductor integrated circuit device, optical mask used therefor, method for manufacturing the same, and mask blanks used therefor
US6361904B1 (en) * 2000-06-14 2002-03-26 Taiwan Semiconductor Manufacturing Company Method for repairing the shifter layer of an alternating phase shift mask
US20060083997A1 (en) * 2003-10-15 2006-04-20 Taiwan Semiconductor Manufacturing Company, Ltd. Photomask with wavelength reduction material and pellicle
US20050100798A1 (en) * 2003-10-15 2005-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Device and method for providing wavelength reduction with a photomask
KR20080001475A (ko) * 2006-06-29 2008-01-03 주식회사 하이닉스반도체 정렬 마크를 포함하는 무크롬 포토 마스크 및 제조 방법
KR101361130B1 (ko) * 2007-12-26 2014-02-12 삼성전자주식회사 반사형 포토마스크 및 상기 반사형 포토마스크의 층 두께최적화 방법
JP5479074B2 (ja) * 2009-12-21 2014-04-23 Hoya株式会社 光学素子の製造方法、光学素子
JP2018044979A (ja) * 2016-09-12 2018-03-22 大日本印刷株式会社 反射型マスクおよびその製造方法
US10962873B2 (en) * 2017-09-29 2021-03-30 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet mask and method of manufacturing the same
US11086215B2 (en) * 2017-11-15 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet mask with reduced mask shadowing effect and method of manufacturing the same
EP3486721A1 (en) * 2017-11-17 2019-05-22 IMEC vzw Mask for extreme-uv lithography and method for manufacturing the same
SG11202002928WA (en) * 2017-11-24 2020-04-29 Hoya Corp Mask blank, phase shift mask, and method of manufacturing semiconductor device
US11048158B2 (en) * 2018-04-18 2021-06-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method for extreme ultraviolet lithography mask treatment
JP6927177B2 (ja) * 2018-09-26 2021-08-25 信越化学工業株式会社 位相シフト型フォトマスクブランク及び位相シフト型フォトマスク

Also Published As

Publication number Publication date
WO2022109169A1 (en) 2022-05-27
US20220163881A1 (en) 2022-05-26
EP4248270A1 (en) 2023-09-27
CN116635784A (zh) 2023-08-22
TWI816234B (zh) 2023-09-21
KR20230098678A (ko) 2023-07-04
TW202232226A (zh) 2022-08-16

Similar Documents

Publication Publication Date Title
US9618837B2 (en) Extreme ultraviolet lithography process and mask with reduced shadow effect and enhanced intensity
US11086227B2 (en) Method to mitigate defect printability for ID pattern
US9285671B2 (en) Mask for use in lithography
US9116435B2 (en) Extreme ultraviolet lithography mask
US9442387B2 (en) Extreme ultraviolet lithography process
US9442384B2 (en) Extreme ultraviolet lithography process and mask
US11809075B2 (en) EUV lithography mask with a porous reflective multilayer structure
KR101690373B1 (ko) 향상된 결함 복원성을 갖는 집적 회로를 제조하는 방법
CN105278256B (zh) 一种印制低图案密度部件的极紫外线光刻工艺
TWI816234B (zh) 用於微影的相位移光罩及用於製造其的方法
KR101788377B1 (ko) 2-상태 마스크를 이용한 해상도 강화를 위한 리소그래피 방법 및 구조
US9417534B2 (en) Lithography method and structure for resolution enhancement with a two-state mask

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230719

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20240424

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20240604