JP2023080566A - エッチング方法及びプラズマ処理装置 - Google Patents

エッチング方法及びプラズマ処理装置 Download PDF

Info

Publication number
JP2023080566A
JP2023080566A JP2021193985A JP2021193985A JP2023080566A JP 2023080566 A JP2023080566 A JP 2023080566A JP 2021193985 A JP2021193985 A JP 2021193985A JP 2021193985 A JP2021193985 A JP 2021193985A JP 2023080566 A JP2023080566 A JP 2023080566A
Authority
JP
Japan
Prior art keywords
gas
chamber
film
etching
protective film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2021193985A
Other languages
English (en)
Inventor
隆 加古
Takashi Kako
龍 永井
Ryu Nagai
康基 田中
Koki Tanaka
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2021193985A priority Critical patent/JP2023080566A/ja
Priority to KR1020220161341A priority patent/KR20230081663A/ko
Priority to US18/070,469 priority patent/US20230170189A1/en
Priority to CN202211511505.5A priority patent/CN116206968A/zh
Publication of JP2023080566A publication Critical patent/JP2023080566A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/3255Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

【課題】チャンバ内の表面を反応種から保護する技術を提供する。【解決手段】開示されるエッチング方法は、プラズマ処理装置のチャンバ内の表面に炭素を含有する保護膜を形成する工程(a)を含む。エッチング方法は、チャンバ内でフッ化水素ガス及びハイドロフルオロカーボンガスを含むエッチングガスから生成されたプラズマにより、基板のエッチング膜をエッチングする工程(b)を更に含む。基板は、シリコン含有膜であるエッチング膜及び炭素を含有し該エッチング膜上に設けられたマスクを含む。【選択図】図1

Description

本開示の例示的実施形態は、エッチング方法及びプラズマ処理装置に関するものである。
プラズマ処理装置がエッチングといったプラズマ処理において用いられている。プラズマ処理装置は、チャンバを備える。チャンバ内の表面は、プラズマ処理に用いられる反応種に晒されて、当該反応種によって削られる。チャンバ内の表面を反応種から保護するために、基板に対するプラズマ処理を行う前にチャンバ内の表面上に保護膜を形成する技術が用いられている。下記の特許文献1~3は、このような技術を開示している。
特開2016-76625号公報 特開2009-188257号公報 米国特許第6071573号明細書
本開示は、チャンバ内の表面を反応種から保護する技術を提供する。
一つの例示的実施形態において、エッチング方法が提供される。エッチング方法は、プラズマ処理装置のチャンバ内の表面に炭素を含有する保護膜を形成する工程(a)を含む。エッチング方法は、チャンバ内でフッ化水素ガス及びハイドロフルオロカーボンガスを含むエッチングガスから生成されたプラズマにより、基板のエッチング膜をエッチングする工程(b)を更に含む。基板は、シリコン含有膜であるエッチング膜及び炭素を含有し該エッチング膜上に設けられたマスクを含む。
一つの例示的実施形態によれば、チャンバ内の表面を反応種から保護することが可能となる。
一つの例示的実施形態に係るエッチング方法の流れ図である。 一例の基板の一部拡大断面図である。 一つの例示的実施形態に係るプラズマ処理装置を概略的に示す図である。 一つの例示的実施形態に係るプラズマ処理装置のチャンバ内の表面上に保護膜が形成された状態を示す図である。 エッチングが行われた後の状態の一例の基板の部分拡大断面図である。 一つの例示的実施形態に係るプラズマ処理装置においてエッチングが行われた後のチャンバの状態を示す図である。 一つの例示的実施形態に係るプラズマ処理装置においてドライクリーニングが行われた後のチャンバの状態を示す図である。 別の例示的実施形態に係る保護膜を示す断面図である。 図9の(a)は更に別の例示的実施形態に係る保護膜の厚み方向における炭素含有量の分布の例を示す図であり、図9の(b)は保護膜を形成するための成膜ガスの全流量に対する炭素含有ガスの流量の割合の例を示す図である。
以下、種々の例示的実施形態について説明する。
一つの例示的実施形態において、エッチング方法が提供される。エッチング方法は、プラズマ処理装置のチャンバ内の表面に炭素を含有する保護膜を形成する工程(a)を含む。エッチング方法は、チャンバ内でフッ化水素ガス及びハイドロフルオロカーボンガスを含むエッチングガスから生成されたプラズマにより、基板のエッチング膜をエッチングする工程(b)を更に含む。基板は、シリコン含有膜であるエッチング膜及び炭素を含有し該エッチング膜上に設けられたマスクを含む。
上記実施形態では、エッチング膜のエッチング前にチャンバ内の表面に保護膜が形成される。保護膜は、マスクの材料と同種の材料、即ち炭素を含有する材料から形成されるので、エッチングされ難い。したがって、上記実施形態によれば、チャンバ内の表面を反応種からより効果的に保護することが可能となる。
一つの例示的実施形態において、保護膜は、炭素含有ガスを用いた化学気相成長、分子層堆積、又はスパッタ堆積により形成されてもよい。
一つの例示的実施形態において、保護膜は、二つ以上の異なる膜を含む積層膜であってもよい。一つの例示的実施形態において、保護膜は、シリコンを含有する第1の膜及び炭素を含有し該第1の膜上に形成された第2の膜を含んでいてもよい。
一つの例示的実施形態において、保護膜は、チャンバ内の表面からのその厚み方向における距離に応じて増加する炭素の含有量を有していてもよい。一つの例示的実施形態では、工程(a)において、保護膜は、成膜ガスを用いて形成され、成膜ガスの全流量に対する該成膜ガス中の炭素含有ガスの流量の割合が経時的に増加されてもよい。
別の例示的実施形態においても、エッチング方法が提供される。エッチング方法は、プラズマ処理装置のチャンバ内の表面に保護膜を形成する工程(a)を含む。エッチング方法は、チャンバ内でフッ化水素ガス及び水素含有ガスを含むエッチングガスから生成されたプラズマにより、基板のエッチング膜をエッチングする工程(b)を更に含む。基板は、エッチング膜及び該エッチング膜上に設けられたマスクを含む。保護膜は、マスクの材料と同種の材料から形成される。
上記実施形態では、エッチング膜のエッチング前にチャンバ内の表面に保護膜が形成される。保護膜は、マスクの材料と同種の材料から形成されるので、エッチングされ難い。したがって、上記実施形態によれば、チャンバ内の表面を反応種からより効果的に保護することが可能となる。
一つの例示的実施形態において、水素含有ガスは、H、CH、C、C、CH、CHF、CHF、HO、HCl、HBr、及びHIからなる群から選択される少なくとも一つを含んでいてもよい。
一つの例示的実施形態において、プラズマ処理装置は、容量結合型のプラズマ処理装置であってもよい。プラズマ処理装置は、チャンバ内に設けられた基板支持器及び該基板支持器上に設けられた上部電極を含んでいてもよい。
一つの例示的実施形態において、上部電極のチャンバの内部空間の側の表面は、シリコンから形成された天板により提供されていてもよい。
一つの例示的実施形態において、エッチング方法は、工程(a)の実行中又は工程(a)の後且つ工程(b)の前に、上部電極に負の直流電圧を印加する工程(c)を更に含んでいてもよい。この実施形態によれば、保護膜が緻密化される。
一つの例示的実施形態において、エッチング方法は、工程(a)の前にチャンバ内の表面を改質する工程(d)を更に含んでいてもよい。この実施形態によれば、チャンバ内の表面に対する保護膜の密着性が改善される。工程(d)においては、チャンバークリーニングを行った後に、チャンバ内で貴ガスからプラズマが生成されてもよい。
一つの例示的実施形態において、エッチング方法は、工程(a)の後且つ工程(b)の前に、保護膜の表面の粗化処理を行う工程(e)を更に含んでいてもよい。この実施形態によれば、工程(b)において発生する反応種の保護膜の表面に対する密着性が高められる。工程(e)においては、チャンバ内で水素ガスからプラズマが生成されてもよい。
別の例示的実施形態においては、プラズマ処理装置が提供される。プラズマ処理装置は、チャンバ、ガス供給部、プラズマ生成部、及び制御部を含む。ガス供給部は、チャンバ内にガスを供給するように構成されている。プラズマ生成部は、チャンバ内でガスからプラズマを生成するように構成されている。制御部は、チャンバ内の表面に炭素を含有する保護膜を形成するために成膜ガスをチャンバ内に供給するようガス供給部を制御する。制御部は、チャンバ内で基板のエッチング膜をエッチングするために、フッ化水素ガス及びハイドロフルオロカーボンガスを含むエッチングガスから生成されたプラズマを生成するようガス供給部及びプラズマ生成部を制御する。
以下、図面を参照して種々の例示的実施形態について詳細に説明する。なお、各図面において同一又は相当の部分に対しては同一の符号を附すこととする。
図1は、一つの例示的実施形態に係るエッチング方法の流れ図である。図1に示すエッチング方法(以下、「方法MT」という)は、基板のエッチング膜(etch film)をエッチングするために実行される。
図2は、一例の基板の一部拡大断面図である。方法MTは、図2に示す基板Wに適用され得る。基板Wは、膜EF及びマスクMKを有する。基板Wは、下地領域URを更に有していてもよい。膜EFは、下地領域UR上に設けられていてもよい。
膜EFは、方法MTにおいてエッチングされるエッチング膜である。膜EFは、例えばシリコン含有膜である。シリコン含有膜は、単層膜又は多層膜であってもよい。単層膜は、例えば、シリコン酸化膜、シリコン窒化膜、多結晶シリコン膜、又はシリコン含有低誘電率膜である。多層膜は、シリコン酸化膜、シリコン窒化膜、及び多結晶シリコン膜のうち二つ以上から形成される。多層膜は、シリコン酸化膜及びシリコン窒化膜を含む積層膜であってもよい。膜EFは、他の材料から形成されていてもよい。例えば、膜EFは金属から形成されていてもよい。
マスクMKは、膜EF上に設けられている。マスクMKは、膜EFがマスクMKに対して選択的にエッチングされる限り、任意の材料から形成され得る。マスクMKは、炭素を含有する膜、例えば、フォトレジスト膜、アモルファスカーボン膜、又はスピンオンカーボン膜のような有機膜から形成されていてもよい。或いは、マスクMKは、シリコン含有膜、又は金属含有膜から形成されてもよい。シリコン含有膜は、多結晶シリコン膜又はシリコン酸化膜である。金属含有膜は、チタン、窒化チタン、炭化チタン、酸化チタン、タングステン、炭化タングステン、ルテニウム、酸化ルテニウム、モリブデン、炭化モリブデン等から形成される。マスクMKは、膜EFに転写されるパターンを有している。即ち、マスクMKは、開口OPを提供している。
方法MTでは、プラズマ処理装置が用いられる。図3は、一つの例示的実施形態に係るプラズマ処理装置を概略的に示す図である。図3に示すプラズマ処理装置1は、容量結合型のプラズマ処理装置である。
プラズマ処理装置1は、チャンバ10を備えている。チャンバ10は、その中に内部空間10sを提供している。チャンバ10の中心軸線は、鉛直方向に延びる軸線AXである。一実施形態において、チャンバ10は、チャンバ本体12を含んでいる。チャンバ本体12は、略円筒形状を有している。内部空間10sは、チャンバ本体12の中に提供されている。チャンバ本体12は、例えばアルミニウムから構成されている。チャンバ本体12は、電気的に接地されている。チャンバ本体12の内壁面上には、耐腐食性を有する膜が設けられている。耐腐食性を有する膜は、酸化アルミニウム、酸化イットリウムといったセラミックから形成された膜であり得る。
チャンバ本体12は、その側壁において通路12pを提供している。基板Wは、内部空間10sとチャンバ10の外部との間で搬送されるときに、通路12pを通過する。通路12pは、ゲートバルブ12gにより開閉可能となっている。ゲートバルブ12gは、チャンバ本体12の側壁に沿って設けられている。
プラズマ処理装置1は、基板支持器16を更に備えている。基板支持器16は、チャンバ10内で基板Wを支持するように構成されている。基板Wは、略円盤形状を有し得る。基板支持器16は、支持体15によって支持されていてもよい。支持体15は、チャンバ本体12の底部から上方に延在している。支持体15は、略円筒形状を有している。支持体15は、石英といった絶縁材料から形成されている。
基板支持器16は、下部電極18及び静電チャック20を含んでいてもよい。基板支持器16は、電極プレート19を更に含んでいてもよい。電極プレート19は、アルミニウムといった導電性材料から形成されている。電極プレート19は略円盤形状を有しており、その中心軸線は軸線AXである。下部電極18は、電極プレート19上に設けられている。下部電極18は、アルミニウムといった導電性材料から形成されている。下部電極18は略円盤形状を有しており、その中心軸線は軸線AXである。下部電極18は、電極プレート19に電気的に接続されている。
下部電極18は、その内部において流路18fを提供している。流路18fは、熱交換媒体(例えば冷媒)用の流路である。流路18fは、供給装置(例えば、チラーユニット)からの熱交換媒体を、配管23aを介して受ける。この供給装置は、チャンバ10の外部に設けられている。流路18fに供給された熱交換媒体は、流路18fを流れて、配管23bを介して供給装置に戻される。熱交換媒体の供給装置は、プラズマ処理装置1の温度調整機構を構成する。
静電チャック20は、下部電極18上に設けられている。基板Wは、静電チャック20の上面の上に載置される。静電チャック20は、本体及び電極を有する。静電チャック20の本体は、誘電体から形成されている。静電チャック20及びその本体の各々は、略円盤形状を有しており、その中心軸線は軸線AXである。静電チャック20の電極は、膜状の電極であり、静電チャック20の本体内に設けられている。静電チャック20の電極は、スイッチを介して直流電源に接続されている。直流電源からの電圧が静電チャック20の電極に印加されると、静電チャック20と基板Wとの間で静電引力が発生する。発生した静電引力により、基板Wは、静電チャック20に引き付けられ、静電チャック20によって保持される。
基板支持器16は、その上に配置されるエッジリングERを更に支持してもよい。エッジリングERは、シリコン、炭化シリコン、又は石英から形成され得る。基板Wは、静電チャック20上且つエッジリングERによって囲まれた領域内に配置される。
プラズマ処理装置1は、ガス供給ライン25を更に備えていてもよい。ガス供給ライン25は、ガス供給機構からの伝熱ガス(例えばHeガス)を、静電チャック20の上面と基板Wの裏面(下面)との間の間隙に供給する。
プラズマ処理装置1は、筒状部28及び絶縁部29を更に備え得る。筒状部28は、チャンバ本体12の底部から上方に延在している。筒状部28は、支持体15の外周に沿って延在している。筒状部28は、導電性材料から形成されており、略円筒形状を有している。筒状部28は、電気的に接地されている。絶縁部29は、筒状部28上に設けられている。絶縁部29は、絶縁性を有する材料から形成されている。絶縁部29は、例えば石英といったセラミックから形成されている。絶縁部29は、略円筒形状を有している。絶縁部29は、電極プレート19の外周、下部電極18の外周、及び静電チャック20の外周に沿って延在している。
プラズマ処理装置1は、上部電極30を更に備えている。上部電極30は、基板支持器16の上方に設けられている。上部電極30は、部材32を介して、チャンバ本体12の上部に支持されている。部材32は、絶縁性を有する材料から形成されている。上部電極30と部材32は、チャンバ本体12の上部開口を閉じている。
上部電極30は、天板34及び支持体36を含んでいてもよい。天板34の下面は、内部空間10sの側の下面であり、内部空間10sを画成している。天板34は、ジュール熱の少ない低抵抗の導電体又は半導体から形成され得る。一実施形態においては、天板34は、シリコンから形成されている。天板34は、複数のガス孔34aを提供している。複数のガス孔34aは、天板34をその板厚方向に貫通している。
支持体36は、天板34を着脱自在に支持する。支持体36は、アルミニウムといった導電性材料から形成される。支持体36は、その内部においてガス拡散室36aを提供している。支持体36は、複数のガス孔36bを更に提供している。複数のガス孔36bは、ガス拡散室36aから下方に延びている。複数のガス孔36bは、複数のガス孔34aにそれぞれ連通している。支持体36は、ガス導入口36cを更に提供している。ガス導入口36cは、ガス拡散室36aに接続している。ガス導入口36cには、ガス供給管38が接続されている。
ガス供給管38には、バルブ群41、流量制御器群42、及びバルブ群43を介して、ガスソース群40が接続されている。ガスソース群40、バルブ群41、流量制御器群42、及びバルブ群43は、ガス供給部GSを構成している。ガスソース群40は、複数のガスソースを含んでいる。ガスソース群40の複数のガスソースは、方法MTで利用される複数のガスのソースを含んでいる。バルブ群41及びバルブ群43の各々は、複数の開閉バルブを含んでいる。流量制御器群42は、複数の流量制御器を含んでいる。流量制御器群42の複数の流量制御器の各々は、マスフローコントローラ又は圧力制御式の流量制御器である。ガスソース群40の複数のガスソースの各々は、バルブ群41の対応の開閉バルブ、流量制御器群42の対応の流量制御器、及びバルブ群43の対応の開閉バルブを介して、ガス供給管38に接続されている。
プラズマ処理装置1は、シールド46を更に備えていてもよい。シールド46は、チャンバ本体12の内壁面に沿って着脱自在に設けられている。シールド46は、チャンバ本体12にプラズマ処理の副生物が付着することを防止する。シールド46は、例えば、アルミニウムから形成された部材の表面に耐腐食性を有する膜を形成することにより構成される。耐腐食性を有する膜は、酸化イットリウムといったセラミックから形成された膜であり得る。
プラズマ処理装置1は、バッフル部材48を更に備えていてもよい。バッフル部材48は、基板支持器16を囲む部材(例えば、筒状部28)とシールド46との間に設けられている。バッフル部材48は、例えば、アルミニウムから形成された部材の表面に耐腐食性を有する膜を形成することにより構成される。耐腐食性を有する膜は、酸化イットリウムといったセラミックから形成された膜であり得る。バッフル部材48は、複数の貫通孔を提供している。バッフル部材48の下方、且つ、チャンバ本体12の底部には、排気口が設けられている。排気口には、排気装置50が、排気管52を介して接続されている。排気装置50は、圧力調整弁及びターボ分子ポンプといった真空ポンプを有している。
プラズマ処理装置1は、高周波電源61及びバイアス電源62を更に備えている。高周波電源61は、高周波電力(以下、「高周波電力HF」という)を発生するように構成されている。高周波電力HFは、プラズマの生成に適した周波数を有する。高周波電力HFの周波数は、例えば27MHz以上、100MHz以下である。高周波電源61は、整合器61mを介して下部電極18に接続されている。整合器61mは、高周波電源61の負荷側(下部電極18側)のインピーダンスを、高周波電源61の出力インピーダンスに整合させるための回路を有している。高周波電源61は、一実施形態において、プラズマ生成部を構成し得る。なお、高周波電源61は、整合器61mを介して、上部電極30に接続されていてもよい。
バイアス電源62は、電気バイアスEBを下部電極18に与えるように構成されている。電気バイアスEBは、基板Wにイオンを引き込むのに適した周波数を有する。電気バイアスEBの周波数は、例えば100kHz以上、40.68MHz以下である。電気バイアスEBが高周波電力HFと共に用いられる場合には、電気バイアスEBは高周波電力HFの周波数よりも低い周波数を有する。
一実施形態において、電気バイアスEBは、高周波バイアス電力(以下、「高周波電力LF」という)であってもよい。この実施形態において、バイアス電源62は、整合器62mを介して下部電極18に接続されている。整合器62mは、バイアス電源62の負荷側(下部電極18側)のインピーダンスを、バイアス電源62の出力インピーダンスに整合させるための回路を有している。なお、プラズマ処理装置1は、高周波電力LFのみを用いてプラズマを生成するように構成されていてもよい。この場合には、バイアス電源62は、一実施形態のプラズマ生成部を構成する。この場合には、プラズマ処理装置1は、高周波電源61及び整合器61mを備えていなくてもよい。
一実施形態において、電気バイアスEBは、電圧のパルスであってもよい。この実施形態において、電圧のパルスは、周期的に下部電極18に印加される。電圧のパルスは、プラズマからのイオンを基板Wに引き込むことができるように基板Wの電位を設定することができれば、任意の極性を有していてもよい。電圧のパルスは、負の極性を有していてもよく、負の直流電圧であってもよい。電圧のパルスは、矩形波、三角波、他の波形のような任意の波形を有していてもよい。
プラズマ処理装置1は、電源70を更に備えていてもよい。電源70は、上部電極30に電気的に接続されている。電源70は、上部電極に負の電圧、例えば負の直流電圧を印加するように構成されている。
プラズマ処理装置1は、制御部80を更に備える。制御部80は、プロセッサ、記憶装置、入力装置、表示装置等を備えるコンピュータであり、プラズマ処理装置1の各部を制御する。具体的に、制御部80は、記憶装置に記憶されている制御プログラムを実行し、当該記憶装置に記憶されているレシピデータに基づいてプラズマ処理装置1の各部を制御する。制御部80による制御により、レシピデータによって指定されたプロセスがプラズマ処理装置1において実行される。方法MTは、制御部80によるプラズマ処理装置1の各部の制御により、プラズマ処理装置1において実行され得る。
再び図1を参照し、方法MTについて詳細に説明する。以下、プラズマ処理装置1を用いて図2に示す基板Wに対して方法MTが適用される場合を例にとって、方法MTについて説明する。以下の説明では、更に図4~図7を参照する。図4は、一つの例示的実施形態に係るプラズマ処理装置のチャンバ内の表面上に保護膜が形成された状態を示す図である。図5は、エッチングが行われた後の状態の一例の基板の部分拡大断面図である。図6は、一つの例示的実施形態に係るプラズマ処理装置においてエッチングが行われた後のチャンバの状態を示す図である。図7は、一つの例示的実施形態に係るプラズマ処理装置においてドライクリーニングが行われた後のチャンバの状態を示す図である。
図1に示すように、方法MTは、工程STa及び工程STbを含んでいる。工程STaでは、チャンバ10内の表面に保護膜PFが形成される。チャンバ10内の表面は、工程STaにおいてその上に保護膜PFが形成されなければ工程STbのエッチングにおいて発生する反応生成物が付着し得る表面である。一例では、チャンバ10内の表面は、上部電極30の下面(即ち、天板34の下面)、シールド46の表面、絶縁部29の表面、及びエッジリングERの表面を含む。工程STbでは、基板Wの膜EFがエッチングされる。
方法MTは、工程STm、工程STv、工程STr、及び工程STcのうち一つ以上の工程を更に含んでいてもよい。工程STmは、工程STaの前に行われる。工程STmでは、チャンバ10内の表面が改質される。工程STmによれば、チャンバ10内の表面に対する保護膜PFの密着性が改善される。
工程STmでは、チャンバークリーニングを行った後に、貴ガスのプラズマがチャンバ10内で生成されてもよい。貴ガスは、Arガス、Krガス、及びXeガスのような複数種の貴ガスのうち一つ以上を含み得る。工程STmにおいて、貴ガスから生成されたプラズマからイオンを上部電極30に引き込むために、バイアス電圧が上部電極30に印加されてもよい。バイアス電圧は、電源70からの電圧(例えば、負の電圧)であってもよい。工程STmにおいて貴ガスのプラズマからのイオンのような化学種がチャンバ10内の表面に供給されることにより、チャンバ10の表面が改質される。
工程STmにおいて、制御部80は、貴ガスをチャンバ10内に供給するよう、ガス供給部GSを制御する。制御部80は、チャンバ10内のガスの圧力を指定された圧力に設定するよう、排気装置50を制御する。制御部80は、チャンバ10内で貴ガスのプラズマを生成するよう、プラズマ生成部を制御する。プラズマ処理装置1では、制御部80は、高周波電力HF及び/又は電気バイアスEBを供給するよう、高周波電源61及び/又はバイアス電源62を制御する。また、工程STmにおいて、制御部80は、電圧を上部電極30に印加するよう、電源70を更に制御してもよい。
工程STaでは、図4に示すように、保護膜PFが、チャンバ10内の表面に形成される。保護膜PFは、50nm~200nmの範囲内の厚さ、例えば約100nmの厚さを有していてもよい。図4に示すように、工程STaは、基板支持器16上にダミー基板DWが載置されている状態で実行されてもよい。
保護膜PFは、マスクMKの材料と同種の材料から形成されてもよい。マスクMKが炭素を含有する膜から形成されている場合には、保護膜PFは炭素含有物質から形成されてもよい。マスクMKが、シリコン含有膜から形成されている場合には、保護膜PFはシリコン含有物質(例えば、多結晶シリコン、酸化シリコン、又は炭素を含むシリコン含有膜)から形成されてもよい。炭素を含むシリコン含有膜は、例えば炭化シリコンである。マスクMKが金属含有膜から形成されている場合には、保護膜PFは金属含有物質から形成されてもよい。金属含有物質は、チタン、窒化チタン、炭化チタン、酸化チタン、タングステン、炭化タングステン、ルテニウム、酸化ルテニウム、モリブデン、炭化モリブデン等のうち何れかを含む。
保護膜PFは、チャンバ10内で成膜ガスを用いて形成され得る。炭素含有物質製の保護膜PFを形成するために用いられる成膜ガスは、炭素含有ガスを含む。成膜ガスは、フッ素を含有しないガスであり得る。炭素含有ガスは、COガス、COガス、COSガス、及び炭化水素ガスのうち一つ以上を含む。炭化水素ガスは、CHガス、Cガス、Cガス等である。炭素含有物質製の保護膜PFは、CVD(化学気相成長)法又はMLD(分子層堆積)法により形成され得る。CVD法は、プラズマ支援CVD法又は熱CVD法であってもよい。MLD法は、プラズマ支援MLD法又は熱MLD法であってもよい。MLD法では、ウレア結合を有する保護膜が、二種類以上のガスを混合することにより形成され得る。
工程STaにおいて、炭素含有物質製の保護膜PFを形成するために、制御部80は、成膜ガスをチャンバ10内に供給するよう、ガス供給部GSを制御する。制御部80は、チャンバ10内のガスの圧力を指定された圧力に設定するよう、排気装置50を制御する。制御部80は、チャンバ10内で成膜ガスのプラズマを生成するよう、プラズマ生成部を制御する。プラズマ処理装置1では、制御部80は、高周波電力HF及び/又は電気バイアスEBを供給するよう、高周波電源61及び/又はバイアス電源62を制御する。
シリコン含有物質製の保護膜PFは、CVD法、ALD(原子層堆積)法、分子層堆積法、又はスパッタ堆積法を用いて形成される。CVD法は、プラズマ支援CVD法又は熱CVD法であってもよい。ALD法は、プラズマ支援ALD法又は熱ALD法であってもよい。シリコン含有物質製の保護膜PFを形成する場合には、成膜ガスは、例えば、SiHガス、SiClガス、SiClガス、又はSiFガスを含む。成膜ガスは、アルゴン等の貴ガスを更に含んでいてもよい。酸化シリコン製の保護膜PFが形成される場合には、成膜ガスは、前駆体と反応する酸素を含む酸素含有ガスを更に含んでいてもよい。炭化シリコン製の保護膜PFが形成される場合には、成膜ガスは、上述したような炭素含有ガスを更に含んでいてもよい。
工程STaにおいてシリコン含有物質製の保護膜PFを形成するために、制御部80は、成膜ガスをチャンバ10内に供給するよう、ガス供給部GSを制御する。制御部80は、チャンバ10内のガスの圧力を指定された圧力に設定するよう、排気装置50を制御する。制御部80は、チャンバ10内で成膜ガスのプラズマを生成するよう、プラズマ生成部を制御してもよい。プラズマ処理装置1では、制御部80は、高周波電力HF及び/又は電気バイアスEBを供給するよう、高周波電源61及び/又はバイアス電源62を制御してもよい。
金属含有物質製の保護膜PFは、化学気相成長法、有機金属気相成長(MOCVD)法、又は原子層堆積(ALD)法を用いて形成される。これらの成膜法を用いる場合には、前駆体として金属含有物質を含む成膜ガスがチャンバ10内に供給される。成膜ガスは、例えば、WF、TiCl、C24Ti、RuCl、又はMoClを含む。工程STaにおいて金属含有物質製の保護膜PFを形成するために、制御部80は、成膜ガスをチャンバ10内に供給するよう、ガス供給部GSを制御する。
保護膜PFがタングステンから形成される場合には、成膜ガスは、WFを含み得る。成膜ガスは、前駆体であるWFがチャンバ10内の表面に吸着するように、チャンバ10内に供給される。WFは、チャンバ10内で生成される水素含有ガス(例えば、Hガス)のプラズマからの化学種により改質される。その結果、タングステン製の保護膜PFが、チャンバ10内の表面上に形成される。或いは、タングステン製の保護膜PFは、WFを含む成膜ガスを用いたプラズマ支援CVD法又は熱CVD法により形成されてもよい。
保護膜PFがチタンから形成される場合には、成膜ガスは、例えばTiCl及びHを含み、保護膜PFは、プラズマ支援CVD法又は熱CVD法により形成される。保護膜PFが窒化チタンから形成される場合には、成膜ガスは、例えばTiCl及びNを含み、保護膜PFは、プラズマ支援CVD法又は熱CVD法により形成される。或いは、保護膜PFが窒化チタンから形成される場合には、成膜ガスは、例えば、Ti[N(CH)Cを含み、保護膜PFは、MOCVD法により形成される。
一実施形態においては、工程STa又は工程STaの後且つ工程STbの前に、工程STvが行われてもよい。工程STvでは、電源70から上部電極30に負の電圧(例えば、負の直流電圧)が印加される。なお、工程STvが、工程STaの後且つ工程STbの前に行われる場合には、チャンバ10内で不活性ガスのプラズマが生成されている状態で、電源70から上部電極30に負の電圧(例えば、負の直流電圧)が印加され得る。不活性ガスは、例えば、貴ガス及び水素ガスのうち一つ以上を含み得る。工程STvでは、例えばプラズマによる入熱により保護膜PF内の結晶の再配列が促され得る。或いは又は加えて、工程STvでは、プラズマからのイオンが保護膜PFに衝突することにより、保護膜PFから不純物(例えば、水素若しくはその分子又はハロゲン元素又はそれを含む分子)が除去され得る。したがって、この工程では、保護膜PFが緻密化される。なお、工程STvでは、電源70は、制御部80によって制御される。
一実施形態においては、工程STaの後且つ工程STbの前に、工程STrが行われてもよい。工程STrは、工程STvの後に行われてもよい。工程STrでは、保護膜PFの表面の粗化処理が行われる。工程STrによれば、工程STbにおいて発生する反応種の保護膜PFの表面に対する密着性が高められる。工程STrにおいて、チャンバ10内で水素ガスからプラズマが生成されてもよい。
工程STrにおいて、制御部80は、水素ガスをチャンバ10内に供給するよう、ガス供給部GSを制御する。制御部80は、チャンバ10内のガスの圧力を指定された圧力に設定するよう、排気装置50を制御する。制御部80は、チャンバ10内で水素ガスのプラズマを生成するよう、プラズマ生成部を制御してもよい。プラズマ処理装置1では、制御部80は、高周波電力HF及び/又は電気バイアスEBを供給するよう、高周波電源61及び/又はバイアス電源62を制御してもよい。
方法MTでは、次いで、基板Wが基板支持器16上に載置される。そして、工程STbが実行される。工程STbでは、膜EFが、図5に示すように、エッチングされる。工程STbにおける膜EFのエッチングにより、開口OPの深さが増加する。工程STbでは、膜EFは、下地領域URが露出する状態までエッチングされ得る。
工程STbにおいて、膜EFは、チャンバ10内で、フッ化水素を用いてエッチングされる。フッ化水素を生成するために、工程STbでは、チャンバ10内にエッチングガスが供給され、チャンバ10内でエッチングガスからプラズマが生成される。
エッチングガスは、フッ化水素(HF)ガスを含んでいてもよい。一実施形態において、エッチングガスは、フッ化水素ガスに加えて、ハイドロフルオロカーボンガスを更に含んでいてもよい。ハイドロフルオロカーボンガスは、C、CH、CHF、CHF等のうち一つ以上を含んでいてもよい。エッチングガスは、フッ化水素ガスに加えて、水素含有ガスを更に含んでいてもよい。水素含有ガスは、H、CH、C、CH、CHF、CHF、HO、HCl、HBr、及びHIからなる群から選択される少なくとも一つを含んでいてもよい。
或いは、エッチングガスは、フッ素源であるフッ素含有ガス及び水素源である水素含有ガスを含んでいてもよい。エッチングガスは、水素源として、H、CH、C、CH、CHF、CHF、HO、HF、HCl、HBr、HI等のうち一つ以上を含んでいてもよい。エッチングガスは、フッ素源として、CF、C、C、C、C、SF6、NF、XeF、PF,PF、CFI、CI、CI、IF、IF、WF、HF、SiF等のうち一つ以上を含んでいてもよい。
エッチングガスは、一つ以上のリン含有分子を更に含んでいてもよい。一つ以上のリン含有分子は、十酸化四リン(P10)、八酸化四リン(P)、六酸化四リン(P)のような酸化物を含んでいてもよい。十酸化四リンは、五酸化二リン(P)と呼ばれることがある。一つ以上のリン含有分子は、三フッ化リン(PF)、五フッ化リン(PF)、三塩化リン(PCl)、五塩化リン(PCl)、三臭化リン(PBr)、五臭化リン(PBr)、ヨウ化リン(PI)のようなハロゲン化物を含んでいてもよい。一つ以上のリン含有分子は、フッ化ホスホリル(POF)、塩化ホスホリル(POCl)、臭化ホスホリル(POBr)のようなハロゲン化ホスホリルを含んでいてもよい。一つ以上のリン含有分子は、ホスフィン(PH)、リン化カルシウム(Ca等)、リン酸(HPO)、リン酸ナトリウム(NaPO)、ヘキサフルオロリン酸(HPF)等を含んでいてもよい。一つ以上のリン含有分子は、フルオロホスフィン類(HPF)を含んでいてもよい。ここで、xとyの和は、3又は5である。フルオロホスフィン類としては、HPF、HPFが例示される。
一例において、エッチングガスは、フッ化水素ガス、Cガスのようなフルオロカーボンガス、及びリン含有ガスを含む混合ガスであり得る。リン含有ガスは、上述の一つ以上のリン含有分子を含む。
工程STbにおいて膜EFをエッチングするために、制御部80は、エッチングガスをチャンバ10内に供給するよう、ガス供給部GSを制御する。制御部80は、チャンバ10内のガスの圧力を指定された圧力に設定するよう、排気装置50を制御する。制御部80は、チャンバ10内でエッチングガスのプラズマを生成するよう、プラズマ生成部を制御する。プラズマ処理装置1では、制御部80は、高周波電力HF及び/又は電気バイアスEBを供給するよう、高周波電源61及び/又はバイアス電源62を制御する。なお、工程STbにおいて、流路18fに供給される熱交換媒体の温度が-20℃以下の温度であるよう、熱交換媒体の供給装置が、制御部80によって制御されてもよい。
工程STbのエッチングが行われると、反応生成物RP(反応種)が生成される。反応生成物RPは、フッ素と炭素を含有する物質を含み得る。反応生成物RPは、図6に示すように、チャンバ10内の表面に付着する。
方法MTでは、工程STbの実行後に基板Wがチャンバ10の内部から搬出される。そして、工程STcが実行される。工程STcでは、チャンバ10のドライクリーニングが行われる。工程STcのドライクリーニングは、基板支持器16上にダミー基板が載置されているか或いは載置されていない状態で実行され得る。
工程STcでは、クリーニングガスがチャンバ10内に供給されて、クリーニングガスからプラズマが生成される。生成されたクリーニングガスからの化学種により、保護膜PFの少なくとも一部と共に反応生成物RPが除去される。工程STcのドライクリーニングは、図7に示すように、保護膜PFを完全に除去してもよい。工程STcのドライクリーニングは、保護膜PFがチャンバ10内の表面を覆った状態で保護膜PFが部分的に残るように行われてもよい。
保護膜PFが炭素含有物質製である場合には、酸素含有ガス(例えばOガス)が、クリーニングガスとして用いられる。保護膜PFがシリコン含有物質製である場合には、ハロゲン含有ガスが、クリーニングガスとして用いられる。保護膜PFが金属含有物質製である場合には、クリーニングガスは、NF、Cl、BCl、SiCl、O、CHOH、COH等を含む。
工程STcにおいてドライクリーニングを行うために、制御部80は、クリーニングガスをチャンバ10内に供給するよう、ガス供給部GSを制御する。制御部80は、チャンバ10内のガスの圧力を指定された圧力に設定するよう、排気装置50を制御する。制御部80は、チャンバ10内でクリーニングガスのプラズマを生成するよう、プラズマ生成部を制御する。プラズマ処理装置1では、制御部80は、高周波電力HF及び/又は電気バイアスEBを供給するよう、高周波電源61及び/又はバイアス電源62を制御する。
方法MTは、工程STJを更に含んでいてもよい。工程STJでは、停止条件が満たされるか否かが判定される。停止条件は、更にエッチングされるべき基板が存在する場合には満たされない。一方、停止条件は、全ての基板に対するエッチングが完了している場合に満たされる。
工程STJにおいて停止条件が満たされていないと判定されると、別の基板Wのエッチングのために処理が継続される。工程STcにおけるドライクリーニングによって保護膜PFが除去されてチャンバ10内の表面が露出されている場合には、工程STaが再び実行される。しかる後に、別の基板Wが基板支持器16上に載置されて、工程STbが実行される。或いは、工程STcにおけるドライクリーニング後にチャンバ10内の表面を覆うように保護膜PFが残されている場合には、工程STaを行うことなく、別の基板Wが基板支持器16上に載置されて、工程STbが実行される。工程STJにおいて停止条件が満たされているものと判定されると、方法MTは終了する。
方法MTでは、膜EFのエッチング前にチャンバ10内の表面に保護膜PFが形成される。保護膜PFは、マスクMKの材料と同種の材料(例えば、炭素含有材料)から形成されるので、エッチングされ難い。したがって、方法MTによれば、チャンバ10内の表面を反応種からより効果的に保護することが可能となる。その結果、工程STbのエッチングによるチャンバ10の表面の腐食が抑制される。ひいては、プロセス(工程STb)の経時変化が抑制される。また、方法MTによれば、保護膜PFによってチャンバ10内の表面が保護されるので、チャンバ10の表面の耐スパッタ効果が得られ、チャンバ10の表面を構成する材料の粒子が飛散することが抑制される。
以下、図8を参照する。図8は、別の例示的実施形態に係る保護膜を示す断面図である。保護膜PFは、二つ以上の異なる膜を含む積層膜であってもよい。一実施形態においては、保護膜PFは、第1の膜PF1及び第2の膜PF2を含んでいてもよい。第1の膜PF1は、チャンバ10の表面10f(例えば、天板34の下面)に形成される。第2の膜PF2は、第1の膜PF1上に形成される。
第1の膜PF1は、シリコン又は炭化シリコンから形成されていてもよい。第2の膜PF2は、炭素を含有していてもよい。工程STaにおいて、シリコン又は炭化シリコンから形成された膜及び炭素を含有する膜を形成する方法については、上述の説明を参照されたい。一例においては、第1の膜PF1は、SiClガス及びHガスを含む成膜ガス、SiHガスを含む成膜ガス、又はSiHガス及び炭素含有ガス(例えばCHガスのような炭化水素ガス)を含む成膜ガスを用いたCVD法により形成される。一例において、第2の膜PF2は、炭素含有ガス(例えばCHガスのような炭化水素ガス)を含む成膜ガスを用いたCVD法により形成される。
保護膜PFは、第1の膜PF1と第2の膜PF2との間に設けられた中間膜PFmを更に含んでいてもよい。この場合に、第1の膜PF1は、シリコンから形成されていてもよく、中間膜PFmは、炭化シリコンから形成されていてもよく、第2の膜PF2は、炭素を含有していてもよい。工程STaにおいて、シリコンから形成された膜、炭化シリコンから形成された膜、及び炭素を含有する膜を形成する方法については、上述の説明を参照されたい。一例においては、第1の膜PF1は、SiClガス及びHガスを含む成膜ガス又はSiHガスを含む成膜ガスを用いたCVD法により形成される。一例においては、中間膜PFmは、SiHガス及び炭素含有ガス(例えばCHガスのような炭化水素ガス)を含む成膜ガスを用いたCVD法により形成される。一例において、第2の膜PF2は、炭素含有ガス(例えばCHガスのような炭化水素ガス)を含む成膜ガスを用いたCVD法により形成される。
以下、図9を参照する。図9の(a)は更に別の例示的実施形態に係る保護膜の厚み方向における炭素含有量の分布の例を示す図であり、図9の(b)は保護膜を形成するための成膜ガスの全流量に対する炭素含有ガスの流量の割合の例を示す図である。図9の(a)において、横軸は、チャンバ10の表面からの保護膜PFの厚み方向の距離を示しており、縦軸は、保護膜PFの炭素含有量(又は炭素濃度)を示している。図9の(b)において、横軸は、工程STaの期間内の時間を示しており、縦軸は、工程STaにおいて用いられる成膜ガスの全流量に対する炭素含有ガスの流量の割合を表している。
一実施形態において、保護膜PFは、シリコン及び炭素を含む。図9の(a)に示すように、保護膜PFにおける炭素含有量(又は炭素濃度)は、チャンバ10の表面からの距離の増加に伴い増加していてもよい。保護膜PFにおけるシリコン含有量(又はシリコン濃度)は、チャンバ10の表面からの距離の増加に伴い減少していてもよい。
工程STaにおいて、保護膜PFは、SiHガスのようなシリコン含有ガス及び炭素含有ガス(例えばCHガスのような炭化水素ガス)を含む成膜ガスを用いたCVD法により形成される。工程STaにおいては、図9の(b)に示すように、成膜ガスの全流量に対する炭素含有ガスの流量の割合が、経時的に増加されてもよい。成膜ガスの全流量に対するシリコン含有ガスの流量の割合は、工程STaにおいて経時的に減少されてもよい。
以上、種々の例示的実施形態について説明してきたが、上述した例示的実施形態に限定されることなく、様々な追加、省略、置換、及び変更がなされてもよい。また、異なる実施形態における要素を組み合わせて他の実施形態を形成することが可能である。
例えば、方法MTの実行に用いられるプラズマ処理装置は、プラズマ処理装置1とは異なる容量結合型のプラズマ処理装置であってもよい。方法MTの実行に用いられるプラズマ処理装置は、容量結合型とは異なるタイプのプラズマ処理装置であってもよい。そのようなプラズマ処理装置は、例えば、誘導結合型のプラズマ処理装置、電子サイクロトロン共鳴(ECR)プラズマ処理装置、又はマイクロ波といった表面波によりガスからプラズマを生成するプラズマ処理装置である。
また、工程STaが行われているときに、即ち、保護膜PFの形成中に、上部電極30がヒータにより加熱されてもよい。例えば、工程STaにおいて、上部電極30は150℃に加熱されてもよい。
以上の説明から、本開示の種々の実施形態は、説明の目的で本明細書で説明されており、本開示の範囲及び主旨から逸脱することなく種々の変更をなし得ることが、理解されるであろう。したがって、本明細書に開示した種々の実施形態は限定することを意図しておらず、真の範囲と主旨は、添付の特許請求の範囲によって示される。
1…プラズマ処理装置、10…チャンバ、61…高周波電源、62…バイアス電源、GS…ガス供給部、80…制御部、W…基板、EF…膜、MK…マスク。

Claims (16)

  1. (a)プラズマ処理装置のチャンバ内の表面に炭素を含有する保護膜を形成する工程と、
    (b)前記チャンバ内でフッ化水素ガス及びハイドロフルオロカーボンガスを含むエッチングガスから生成されたプラズマにより、基板のエッチング膜をエッチングする工程と、
    を含み、
    前記基板は、シリコン含有膜である前記エッチング膜及び炭素を含有し該エッチング膜上に設けられたマスクを含み、
    エッチング方法。
  2. 前記保護膜は、炭素含有ガスを用いた化学気相成長、分子層堆積、又はスパッタ堆積により形成される、請求項1に記載のエッチング方法。
  3. 前記保護膜は、二つ以上の異なる膜を含む積層膜である、請求項1又は2に記載のエッチング方法。
  4. 前記保護膜は、シリコンを含有する第1の膜及び炭素を含有し該第1の膜上に形成された第2の膜を含む、請求項3に記載のエッチング方法。
  5. 前記保護膜は、前記チャンバ内の前記表面からのその厚み方向における距離に応じて増加する炭素の含有量を有する、請求項1又は2に記載のエッチング方法。
  6. 前記(a)において、前記保護膜は、成膜ガスを用いて形成され、
    前記(a)において、前記成膜ガスの全流量に対する該成膜ガス中の炭素含有ガスの流量の割合が経時的に増加される、
    請求項5に記載のエッチング方法。
  7. (a)プラズマ処理装置のチャンバ内の表面に保護膜を形成する工程と、
    (b)前記チャンバ内でフッ化水素ガス及び水素含有ガスを含むエッチングガスから生成されたプラズマにより、基板のエッチング膜をエッチングする工程と、
    を含み、
    前記基板は、前記エッチング膜及び該エッチング膜上に設けられたマスクを含み、
    前記保護膜は、前記マスクの材料と同種の材料から形成される、
    エッチング方法。
  8. 前記水素含有ガスは、H、CH、C、C、CH、CHF、CHF、HO、HCl、HBr、及びHIからなる群から選択される少なくとも一つを含む、請求項7に記載のエッチング方法。
  9. 前記プラズマ処理装置は、容量結合型のプラズマ処理装置であり、前記チャンバ内に設けられた基板支持器及び該基板支持器上に設けられた上部電極を含む、請求項1~8の何れか一項に記載のエッチング方法。
  10. 前記上部電極の前記チャンバの内部空間の側の表面は、シリコンから形成された天板により提供されている、請求項9に記載のエッチング方法。
  11. (c) 前記(a)の実行中又は前記(a)の後且つ前記(b)の前に、前記上部電極に負の直流電圧を印加する工程を更に含む、請求項9又は10に記載のエッチング方法。
  12. (d)前記(a)の前に前記チャンバ内の表面を改質する工程を更に含む、請求項1~11の何れか一項に記載のエッチング方法。
  13. 前記(d)において、チャンバークリーニングを行った後に、前記チャンバ内で貴ガスからプラズマが生成される、請求項12に記載のエッチング方法。
  14. (e)前記(a)の後且つ前記(b)の前に、前記保護膜の表面の粗化処理を行う工程を更に含む、請求項1~13の何れか一項に記載のエッチング方法。
  15. 前記(e)において、前記チャンバ内で水素ガスからプラズマが生成される、請求項14に記載のエッチング方法。
  16. チャンバと、
    前記チャンバ内にガスを供給するように構成されたガス供給部と、
    前記チャンバ内でガスからプラズマを生成するように構成されたプラズマ生成部と、
    前記ガス供給部及び前記プラズマ生成部を制御するように構成された制御部と、
    を備え、
    前記制御部は、
    前記チャンバ内の表面に炭素を含有する保護膜を形成するために成膜ガスを前記チャンバ内に供給するようガス供給部を制御し、
    前記チャンバ内で基板のエッチング膜をエッチングするために、フッ化水素ガス及びハイドロフルオロカーボンガスを含むエッチングガスから生成されたプラズマを生成するよう前記ガス供給部及び前記プラズマ生成部を制御する、
    よう構成されている、
    プラズマ処理装置。
JP2021193985A 2021-11-30 2021-11-30 エッチング方法及びプラズマ処理装置 Pending JP2023080566A (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2021193985A JP2023080566A (ja) 2021-11-30 2021-11-30 エッチング方法及びプラズマ処理装置
KR1020220161341A KR20230081663A (ko) 2021-11-30 2022-11-28 에칭 방법 및 플라즈마 처리 장치
US18/070,469 US20230170189A1 (en) 2021-11-30 2022-11-29 Etching method and plasma processing apparatus
CN202211511505.5A CN116206968A (zh) 2021-11-30 2022-11-29 蚀刻方法及等离子体处理装置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2021193985A JP2023080566A (ja) 2021-11-30 2021-11-30 エッチング方法及びプラズマ処理装置

Publications (1)

Publication Number Publication Date
JP2023080566A true JP2023080566A (ja) 2023-06-09

Family

ID=86499328

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021193985A Pending JP2023080566A (ja) 2021-11-30 2021-11-30 エッチング方法及びプラズマ処理装置

Country Status (4)

Country Link
US (1) US20230170189A1 (ja)
JP (1) JP2023080566A (ja)
KR (1) KR20230081663A (ja)
CN (1) CN116206968A (ja)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116673273B (zh) * 2023-08-03 2023-10-27 北京奇峰蓝达光学科技发展有限公司 一种氟化钙原料表面去杂方法及其装置

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009188257A (ja) 2008-02-07 2009-08-20 Tokyo Electron Ltd プラズマエッチング方法及びプラズマエッチング装置並びに記憶媒体
JP6298391B2 (ja) 2014-10-07 2018-03-20 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置

Also Published As

Publication number Publication date
US20230170189A1 (en) 2023-06-01
KR20230081663A (ko) 2023-06-07
CN116206968A (zh) 2023-06-02

Similar Documents

Publication Publication Date Title
KR102459129B1 (ko) 기판 처리 방법 및 플라즈마 처리 장치
KR20220150845A (ko) 기판 처리 방법 및 플라즈마 처리 장치
JP2021090039A (ja) 基板処理方法及びプラズマ処理装置
JP2023182828A (ja) プラズマ処理装置
US20230170189A1 (en) Etching method and plasma processing apparatus
US20220246443A1 (en) Substrate processing method and substrate processing apparatus
JP7412257B2 (ja) エッチング方法、基板処理装置、及び基板処理システム
US20210233778A1 (en) Etching method, substrate processing apparatus, and substrate processing system
JP2021118347A (ja) エッチング方法、基板処理装置、及び基板処理システム
JP2022077710A (ja) エッチング方法
JP7343461B2 (ja) エッチング方法及びプラズマ処理装置
WO2022234640A1 (ja) 基板処理方法及び基板処理装置
JP7309799B2 (ja) エッチング方法及びプラズマ処理装置
WO2022230118A1 (ja) エッチング方法
WO2022059440A1 (ja) エッチング方法、プラズマ処理装置、及び基板処理システム
WO2022234647A1 (ja) 基板処理方法及び基板処理装置
TW202245051A (zh) 基板處理方法及基板處理裝置
CN115692190A (zh) 等离子体处理方法、等离子体处理装置以及***
TW202245056A (zh) 基板處理方法及基板處理裝置
TW202229613A (zh) 於階梯式結構上沉積材料的方法
JP2023020916A (ja) プラズマ処理方法及びプラズマ処理装置
JP2020177958A (ja) 基板処理方法及び基板処理装置
CN112786441A (zh) 蚀刻方法及等离子体处理装置
JP2022039910A (ja) 基板処理方法及びプラズマ処理装置
CN112838002A (zh) 基板处理方法及等离子体处理装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20240312