JP2022529165A - 3次元相変化メモリを伴う3次元メモリデバイス - Google Patents

3次元相変化メモリを伴う3次元メモリデバイス Download PDF

Info

Publication number
JP2022529165A
JP2022529165A JP2021561775A JP2021561775A JP2022529165A JP 2022529165 A JP2022529165 A JP 2022529165A JP 2021561775 A JP2021561775 A JP 2021561775A JP 2021561775 A JP2021561775 A JP 2021561775A JP 2022529165 A JP2022529165 A JP 2022529165A
Authority
JP
Japan
Prior art keywords
array
layer
bonding
memory
semiconductor structure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2021561775A
Other languages
English (en)
Other versions
JP7427022B2 (ja
Inventor
ジュン・リュウ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Yangtze Memory Technologies Co Ltd
Original Assignee
Yangtze Memory Technologies Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from PCT/CN2019/085237 external-priority patent/WO2020220280A1/en
Application filed by Yangtze Memory Technologies Co Ltd filed Critical Yangtze Memory Technologies Co Ltd
Publication of JP2022529165A publication Critical patent/JP2022529165A/ja
Application granted granted Critical
Publication of JP7427022B2 publication Critical patent/JP7427022B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • H10B43/35EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region with cell select transistors, e.g. NAND
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/005Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor comprising combined but independently operative RAM-ROM, RAM-PROM, RAM-EPROM cells
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/401Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming cells needing refreshing or charge regeneration, i.e. dynamic cells
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/56Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using storage elements with more than two stable states represented by steps, e.g. of voltage, current, phase, frequency
    • G11C11/5621Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using storage elements with more than two stable states represented by steps, e.g. of voltage, current, phase, frequency using charge storage in a floating gate
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/56Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using storage elements with more than two stable states represented by steps, e.g. of voltage, current, phase, frequency
    • G11C11/5678Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using storage elements with more than two stable states represented by steps, e.g. of voltage, current, phase, frequency using amorphous/crystalline phase transition storage elements
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C13/00Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00
    • G11C13/0002Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using resistive RAM [RRAM] elements
    • G11C13/0004Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using resistive RAM [RRAM] elements comprising amorphous/crystalline phase transition cells
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C14/00Digital stores characterised by arrangements of cells having volatile and non-volatile storage properties for back-up when the power is down
    • G11C14/0009Digital stores characterised by arrangements of cells having volatile and non-volatile storage properties for back-up when the power is down in which the volatile element is a DRAM cell
    • G11C14/0018Digital stores characterised by arrangements of cells having volatile and non-volatile storage properties for back-up when the power is down in which the volatile element is a DRAM cell whereby the nonvolatile element is an EEPROM element, e.g. a floating gate or metal-nitride-oxide-silicon [MNOS] transistor
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/04Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS
    • G11C16/0483Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS comprising cells having several storage transistors connected in series
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/06Auxiliary circuits, e.g. for writing into memory
    • G11C16/10Programming or data input circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/185Joining of semiconductor bodies for junction formation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3114Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed the device being a chip scale package, e.g. CSP
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/27Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/73Means for bonding being of different types provided for in two or more of groups H01L24/10, H01L24/18, H01L24/26, H01L24/34, H01L24/42, H01L24/50, H01L24/63, H01L24/71
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/16Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different main groups of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. forming hybrid circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/04Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/033Making the capacitor or connections thereto the capacitor extending over the transistor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/05Making the transistor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/31DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells having a storage electrode stacked over the transistor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/50Peripheral circuit region structures
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/40EEPROM devices comprising charge-trapping gate insulators characterised by the peripheral circuit region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/50EEPROM devices comprising charge-trapping gate insulators characterised by the boundary region between the core and peripheral circuit regions
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B61/00Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices
    • H10B61/10Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices comprising components having two electrodes, e.g. diodes or MIM elements
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/10Phase change RAM [PCRAM, PRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/30Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having three or more electrodes, e.g. transistors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/80Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays
    • H10B63/84Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays arranged in a direction perpendicular to the substrate, e.g. 3D cell arrays
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C2213/00Indexing scheme relating to G11C13/00 for features not covered by this group
    • G11C2213/70Resistive array aspects
    • G11C2213/71Three dimensional array
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04042Bonding areas specifically adapted for wire connectors, e.g. wirebond pads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05569Disposition the external layer being disposed on a redistribution layer on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05617Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05624Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05644Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05647Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05657Cobalt [Co] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05684Tungsten [W] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/29186Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32135Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/32145Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73215Layer and wire connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8034Bonding interfaces of the bonding area
    • H01L2224/80357Bonding interfaces of the bonding area being flush with the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/83894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/83895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/83894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/83896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06524Electrical connections formed on device or on substrate, e.g. a deposited or grown layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06555Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking
    • H01L2225/06565Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking the devices having the same size and there being no auxiliary carrier between the devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L24/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)

Abstract

3D相変化メモリ(PCM)を伴う3次元(3D)メモリデバイス、3Dメモリデバイスを形成するための方法、および、3Dメモリデバイスを動作させるための方法の実施形態が開示されている。例において、3Dメモリデバイスは、周辺回路と、3D PCMセルのアレイと、複数の第1のボンディングコンタクトを含む第1のボンディング層とを含む第1の半導体構造体を含む。3Dメモリデバイスは、3D NANDメモリストリングのアレイと、複数の第2のボンディングコンタクトを含む第2のボンディング層とを含む第2の半導体構造体もさらに含む。3Dメモリデバイスは、第1のボンディング層と第2のボンディング層との間にボンディングインターフェースをさらに含む。第1のボンディングコンタクトはボンディングインターフェースにおいて第2のボンディングコンタクトと接触している。

Description

関連出願の相互参照
本出願は、2019年4月30日に出願された「THREE-DIMENSIONAL MEMORY DEVICE WITH EMBEDDED DYNAMIC RANDOM-ACCESS MEMORY」という標題の国際出願第PCT/CN2019/085237号の優先権の利益を主張し、その文献は、その全体が参照により本明細書に組み込まれている。
本開示の実施形態は、3次元(3D)メモリデバイスと、その製作方法および動作方法とに関する。
平面状のメモリセルが、プロセス技術、回路設計、プログラミングアルゴリズム、および製作プロセスを向上させることで、より小さいサイズへと縮小されている。しかしながら、メモリセルの形体寸法が下限に近付くにつれて、平面のプロセスおよび製作技術は困難になり、コストが掛かるようになる。結果として、平面状のメモリセルについての記憶密度が上限に近付いている。
3Dメモリアーキテクチャは、平面状のメモリセルにおける密度の限度に対処することができる。3Dメモリアーキテクチャは、メモリアレイと、メモリアレイへの、およびそこからの信号を制御するための周辺装置とを含む。
3D相変化メモリ(PCM)を伴う3Dメモリデバイス、ならびにその製作方法および動作方法の実施形態が、本明細書において開示されている。
1つの例において、3Dメモリデバイスは、周辺回路と、3D PCMセルのアレイと、複数の第1のボンディングコンタクトを含む第1のボンディング層とを含む第1の半導体構造体を含む。3Dメモリデバイスは、3D NANDメモリストリングのアレイと、複数の第2のボンディングコンタクトを含む第2のボンディング層とを含む第2の半導体構造体もさらに含む。3Dメモリデバイスは、第1のボンディング層と第2のボンディング層との間のボンディングインターフェースをさらに含む。第1のボンディングコンタクトは、ボンディングインターフェースにおいて、第2のボンディングコンタクトと接触している。
別の例において、3Dメモリデバイスを形成するための方法が開示されている。第1の半導体構造体が形成される。第1の半導体構造体は、周辺回路と、3D PCMセルのアレイと、複数の第1のボンディングコンタクトを含む第1のボンディング層とを含む。第2の半導体構造体が形成される。第2の半導体構造体は、3D NANDメモリストリングのアレイと、複数の第2のボンディングコンタクトを含む第2のボンディング層とを含む。第1の半導体構造体および第2の半導体構造体は、第1のボンディングコンタクトがボンディングインターフェースにおいて第2のボンディングコンタクトと接触するように、向かい合った様式で接合される。
さらなる別の例において、3Dメモリデバイスを動作させるための方法が開示されている。3Dメモリデバイスは、入力/出力回路と、3D PCMセルのアレイと、3D NANDメモリストリングのアレイとを同じチップに含む。データが、入力/出力回路を通して、3D PCMセルのアレイへと転送される。データは、3D PCMセルのアレイにおいてバッファリングされる。データは、3D PCMセルのアレイから3D NANDメモリストリングのアレイにおいて記憶される。
添付の図面は、本明細書に組み込まれており、明細書の一部を形成しており、添付の図面は、本開示の実施形態を図示しており、さらに、説明とともに本開示の原理を説明する役割を果たし、また、当業者が本開示を作製および使用することを可能にする役割を果たす。
いくつかの実施形態による、3D PCMを伴う例示的な3Dメモリデバイスの断面の概略図である。 いくつかの実施形態による、3D PCMを伴う別の例示的な3Dメモリデバイスの断面の概略図である。 いくつかの実施形態による、周辺回路と3D PCMとを有する例示的な半導体構造体の概略平面図である。 いくつかの実施形態による、3D PCMを伴う例示的な3Dメモリデバイスの断面図である。 いくつかの実施形態による、3D PCMを伴う別の例示的な3Dメモリデバイスの断面図である。 いくつかの実施形態による、周辺回路と3D PCMとを有する例示的な半導体構造体を形成するための製作プロセスの図である。 いくつかの実施形態による、周辺回路と3D PCMとを有する例示的な半導体構造体を形成するための製作プロセスの図である。 いくつかの実施形態による、周辺回路と3D PCMとを有する例示的な半導体構造体を形成するための製作プロセスの図である。 いくつかの実施形態による、3D NANDメモリストリングを有する例示的な半導体構造体を形成するための製作プロセスを図示する図である。 いくつかの実施形態による、3D NANDメモリストリングを有する例示的な半導体構造体を形成するための製作プロセスを図示する図である。 いくつかの実施形態による、3D PCMを伴う例示的な3Dメモリデバイスを形成するための製作プロセスの図である。 いくつかの実施形態による、3D PCMを伴う例示的な3Dメモリデバイスを形成するための製作プロセスの図である。 いくつかの実施形態による、3D PCMを伴う3Dメモリデバイスの例示的な動作を示す図である。 いくつかの実施形態による、3D PCMを伴う3Dメモリデバイスを形成するための例示的な方法の流れ図である。 いくつかの実施形態による、3D PCMを伴う3Dメモリデバイスを動作させるための例示的な方法の流れ図である。
本開示の実施形態が、添付の図面を参照して説明されることとなる。
特定の構成および配置が議論されているが、これは、単に例示目的のためだけに行われているということが理解されるべきである。本開示の要旨および範囲から逸脱することなく、他の構成および配置が使用され得るということを、当業者は認識することとなる。本開示は、さまざまな他の用途においても用いられ得るということが、当業者に明らかであることとなる。
本明細書における「1つの実施形態」、「ある実施形態」、「ある例示的な実施形態」、「いくつかの実施形態」などに対する言及は、説明されている実施形態が、特定の特徴、構造体、または特質を含むことが可能であるが、すべての実施形態が、必ずしも、その特定の特徴、構造体、または特質を含むとは限らない可能性があるということを示しているということが留意される。そのうえ、そのような語句は、必ずしも、同じ実施形態を指しているとは限らない。さらに、特定の特徴、構造体、または特質が、実施形態に関連して説明されているときには、明示的に説明されているかどうかにかかわらず、他の実施形態に関連して、そのような特徴、構造体、または特質に影響を与えることは、当業者の知識の範囲内であることとなる。
一般的に、専門用語は、文脈における使用法から少なくとも部分的に理解され得る。たとえば、本明細書で使用されているような「1つまたは複数の」という用語は、少なくとも部分的に文脈に応じて、単数形の意味で、任意の特徴、構造体、または特質を説明するために使用され得るか、または、複数形の意味で、特徴、構造体、または特質の組合せを説明するために使用され得る。同様に、「a」、「an」、または「the」などのような用語は、繰り返しになるが、少なくとも部分的に文脈に応じて、単数形の使用法を伝えるということ、または、複数形の使用法を伝えるということを理解され得る。加えて、「基づく」という用語は、必ずしも、排他的な要因のセットを伝えることを意図しているとは限らないということが理解され得、その代わりに、繰り返しになるが、少なくとも部分的に文脈に応じて、必ずしも明示的に記載されていない追加的な要因の存在を可能にする可能性がある。
本開示における「の上に」、「の上方に(above)」、および「の上方に(over)」の意味は、最も広い様式で解釈されるべきであり、「の上に」は、何か「の上に直接的に」を意味するだけではなく、中間特徴または層がそれらの間にある状態で、何か「の上に」を意味することも含むようになっており、「の上方に(above)」または「の上方に(over)」は、何か「の上方に(above)」または「の上方に(over)」を意味するだけでなく、中間特徴または層がそれらの間にない状態で、それが何か「の上方に(above)」または「の上方に(over)」(すなわち、何かの上に直接的に)あることを意味することも含むことが可能であるということが容易に理解されるべきである。
さらに、「の下に」、「の下方に」、「下側」、「の上方に」、および「上側」などのような、空間的に相対的な用語は、説明を容易にするために、図に図示されているような別のエレメントまたは特徴に対する1つのエレメントまたは特徴の関係を説明するために本明細書で使用され得る。空間的に相対的な用語は、図に示されている配向に加えて、使用中または動作中のデバイスの異なる配向を包含することを意図している。装置は、その他の方法で配向され得(90度回転させられるか、または、他の配向で)、本明細書で使用されている空間的に相対的な記述子は、同様にそのように解釈され得る。
本明細書で使用されているように、「基板」という用語は、後続の材料層がその上に追加される材料を指す。基板自体が、パターニングされ得る。基板の上に追加された材料は、パターニングされ得、または、パターニングされないままであることが可能である。そのうえ、基板は、シリコン、ゲルマニウム、ガリウムヒ素、リン化インジウムなどのような、多様な半導体材料を含むことが可能である。代替的に、基板は、ガラス、プラスチック、またはサファイヤウェハなどのような、非導電性材料から作製され得る。
本明細書で使用されているように、「層」という用語は、所定の厚さを有する領域を含む材料部分を指す。層は、下にあるもしくは上にある構造体の全体にわたって延在することが可能であり、または、下にあるもしくは上にある構造体の延在よりも小さい延在を有することが可能である。さらに、層は、連続的な構造体の厚さよりも小さい厚さを有する均質なまたは不均質な連続的な構造体の領域であることが可能である。たとえば、層は、連続的な構造体の上部表面と底部表面との間において(または、上部表面および底部表面において)、水平方向の平面の任意のペアの間に位置付けされ得る。層は、水平方向に、垂直方向に、および/または、テーパー付きの表面に沿って延在することが可能である。基板は、層であることが可能であり、その中に1つまたは複数の層を含むことが可能であり、ならびに/または、その上に、その上方に、および/もしくはその下方に、1つまたは複数の層を有することが可能である。層は、複数の層を含むことが可能である。たとえば、相互接続層は、1つまたは複数の導体および接触層(相互接続ラインおよび/またはビアコンタクトが、その中に形成されている)ならびに1つまたは複数の誘電体層を含むことが可能である。
本明細書で使用されているように、「公称の/公称的に」という用語は、所望の値の上方および/または下方の値の範囲とともに、製品またはプロセスの設計フェーズの間に設定される、コンポーネントまたはプロセス動作に関する特質またはパラメーターの所望の(または、ターゲット)値を指す。値の範囲は、製造プロセスまたは公差におけるわずかな変動に起因する可能性がある。本明細書で使用されているように、「約」という用語は、対象の半導体デバイスに関連付けられる特定のテクノロジーノードに基づいて変化し得る所与の量の値を示している。特定のテクノロジーノードに基づいて、「約」という用語は、たとえば、値の10~30%(たとえば、値の±10%、±20%、または±30%)以内で変化する所与の量の値を示すことが可能である。
本明細書で使用されているように、「3Dメモリデバイス」という用語は、メモリストリングが基板に対して垂直方向に延在するように横方向に配向された基板の上のメモリセルトランジスターの垂直方向に配向されたストリング(本明細書において、NANDメモリストリングなどの「メモリストリング」と称される)を伴う半導体装置を指す。本明細書で使用されているように、「垂直方向の/垂直方向に」という用語は、基板の横方向の表面に対して公称的に垂直であるということを意味している。
ある種類のPCMとしての3D XPointメモリが、メモリシステム性能を向上させるために、3D NANDフラッシュメモリを伴う同じプリント回路基板(PCB)に配置されている。しかしながら、個別の3D XPointメモリチップと3D NANDフラッシュメモリチップとの間の距離は比較的長く(たとえば、数センチメートル)、それによって2つのメモリチップの間のデータ転送速度を制限する。別個のメモリコントローラーも両方のチップに必要とされ、これは、より低い全体のデバイス性能を引き起こすオーバーヘッドをもたらす。
一方、従来の3D NANDメモリチップでは、同じ平面の上のメモリセルアレイの外側に形成される周辺回路は、デバイスチップの大きな領域を占め、それによって不十分なアレイ効率、大きなダイサイズ、および高いコストをもたらす可能性がある。また、メモリセルアレイを処理することに関連するサーマルバジェットは、周辺回路性能の要件を制限し、3D NANDメモリの大きな入力/出力(I/O)速度を達成することを難しくさせる。
本開示によるさまざまな実施形態は、3D XPointメモリなどのオンチップ3D PCMを有する3Dメモリデバイスに、向上したI/O速度、スループット、および記憶密度を提供する。いくつかの実施形態において、3D NANDメモリの周辺回路(たとえば、制御回路およびセンシング回路)は、高速先進論理デバイス処理を用いて別個の基板の上に形成される。3D PCM(たとえば、3D XPointメモリ)が、空のウェハ空間を利用し、高速不揮発性メモリバッファーとして作用するために、周辺回路と同じ基板の上に形成され得る。3D NANDメモリは、別の基板の上に形成でき、周辺回路および3D PCMが上に形成される基板に接合できる。いくつかの実施形態において、頻繁にアクセスされるデータは、高速のアクサスが可能とされたランダムアクセスを伴うより速い3D XPointメモリに記憶される一方で、より大きい密度およびより低いコストのストレージのために、あまり使用されないデータをより遅い3D NANDメモリに置く。
結果として、メモリアレイの効率は増加させられ、ダイサイズおよびビットコストは低下させられ、それによってメモリデバイス性能を大きく引き上げる。チップ-ツー-チップデータバスを排除し、RC遅れを低下させることで、より大きなI/O速度とより低いパワー消費とが実現できる。高速不揮発性メモリ(たとえば、3D XPointメモリ)と高密度/容量不揮発性メモリ(たとえば、3D NANDメモリ)とを1つのチップにおいて一体化することで、高性能な不揮発性データストレージが得られる。たとえば、本明細書に開示されている3D PCMを伴う3Dメモリデバイスは、その高速な不揮発性のデータストレージ能力のため、モバイルデバイスまたはコンピューターにおいて瞬時オンの特徴を可能にすることができる。
図1Aは、いくつかの実施形態による、3D PCMを伴う例示的な3Dメモリデバイス100の断面の概略図を図示している。3Dメモリデバイス100は、接合されたチップの例を表している。3Dメモリデバイス100のコンポーネント(たとえば、3D PCM/周辺回路およびNANDメモリ)は、異なる基板の上に別個に形成され、次いで、接合されたチップを形成するために接合され得る。3Dメモリデバイス100は、周辺回路および3D PCMセルのアレイを含む第1の半導体構造体102を含むことが可能である。周辺回路および3D PCMセルアレイの両方は、先進的なロジックプロセス(たとえば、90nm、65nm、45nm、32nm、28nm、20nm、16nm、14nm、10nm、7nm、5nm、3nmなどのテクノロジーノード)によって実装され、高い速度を実現することが可能である。いくつかの実施形態において、第1の半導体構造体の中の周辺回路および3D PCMセルアレイは、相補型金属酸化膜半導体(CMOS)技術を使用する。
いくつかの実施形態において、周辺回路は、3Dメモリデバイス100の動作を促進させるために使用される任意の適切なデジタル、アナログ、および/または混合信号回路を含む。たとえば、周辺回路は、ページバッファー、デコーダー(たとえば、行デコーダーおよび列デコーダー)、センスアンプ、ドライバー、チャージポンプ、電流もしくは電圧リファレンス、または、回路(たとえば、トランジスター、ダイオード、抵抗器、またはキャパシターなど)の任意のアクティブもしくはパッシブコンポーネントのうちの1つまたは複数を含むことが可能である。3D PCMは、論理回路(たとえば、周辺回路)の同じダイの上で一体化でき、これはより広いバスおよびより大きな動作速度を可能にする。3D PCMのためのメモリコントローラーが、周辺回路の一部として埋め込むことができる。周辺回路は、いくつかの実施形態によれば、第2の半導体構造体104の中に3D NANDメモリの周辺回路を含み、第1の半導体構造体102の中に3D PCMの周辺回路を含む。
PCM(「PCRAM」としても知られている)が、相変化材料を熱電学的に加熱および急冷することに基づくアモルファスの抵抗率と相変化材料(たとえば、カルコゲニド合金)における結晶相の抵抗率との間の違いを利用できる。PCMセルの中の相変化材料は、2つの電極の間に位置付けでき、データを保存するために2つの層の間で材料(または、電流路を妨げる材料の少なくとも一部分)を繰り返し切り替えるために電流が加えられ得る。PCMセルは、3D PCMを形成するために3Dで垂直方向にスタックさせることができる。いくつかの実施形態において、3D PCMは3D XPointメモリを含み、3D XPointメモリは、ビットアドレス指定可能となるように、スタック可能な交差した格子とされたデータアクセスアレイとの組合せで、バルク材料の性質の抵抗(たとえば、高抵抗状態または低抵抗状態)における変化に基づいてデータを記憶する。3D XPointメモリは、垂直導体の交差部にセレクターおよびメモリセルを位置決めするトランジスターの少ないクロスポイントアーキテクチャを有する。垂直導体によって接続された3D XPointメモリセルは、シングルビットのデータを各々記憶でき、各々のセレクターに加えられる電圧を変化させることで書き込みまたは読み取りでき、これはトランジスターへの必要性を排除する。各々のメモリセルは、各々のセルと接触している上導体および下導体を通して加えられる電流によって個別にアクセスされ得る。ストレージ密度を向上させるために、3D XPointメモリセルが垂直方向に(3Dで)スタックされ得る。
3Dメモリデバイス100は、3D NANDメモリストリングのアレイを有する3D NANDメモリを含む第2の半導体構造体104を含むことも可能である。つまり、第2の半導体構造体104は、メモリセルがNANDメモリストリングのアレイの形態で提供されるNANDフラッシュメモリデバイスであり得る。いくつかの実施形態において、NAND技術(たとえば、メモリスタックにおける階/階層の数)に応じて、3D NANDメモリストリングは、32個から256個のNANDメモリセルから典型的には成る。3D NANDメモリストリングはページへと組織化でき、次に、それらページは、各々の3D NANDメモリストリングがビット線(BL)と呼ばれる分離線へと接続されるブロックへと組織化される。3D NANDメモリストリングの同じ階におけるすべてのセルは、ワードライン(WL)によって制御ゲートを通して接続され得る。第2の半導体構造体104は、1つまたは複数のメモリプレーンと、読み取り動作、書き込み動作、プログラム動作、および消去動作のすべてを実施するために必要とされる周辺回路が第1の半導体構造体102に含まれ得る。
他の実施形態において、3D NANDメモリストリングのアレイが、各々がフローティングゲートトランジスターを含む2D NANDメモリセルのアレイで一部または完全に置き換えることができることは、理解される。2D NANDメモリセルのアレイは、複数の2D NANDメモリストリングを含み、そのそれぞれは、いくつかの実施形態によれば、直列に接続されている複数のメモリセル(たとえば、32個から128個のメモリセル)(NANDゲートに似ている)および2つの選択トランジスターを含む。それぞれの2D NANDメモリストリングは、いくつかの実施形態によれば、基板の上の同じ平面の中に(2Dに)配置されている。
図1Aに示されているように、3Dメモリデバイス100は、垂直方向に第1の半導体構造体102と第2の半導体構造体104との間に、ボンディングインターフェース106をさらに含む。下記に詳細に説明されているように、第1のおよび第2の半導体構造体102および104は、別個に(および、いくつかの実施形態では、並列に)製作され得、第1のおよび第2の半導体構造体102および104のうちの1つを製作するサーマルバジェットが、第1のおよび第2の半導体構造体102および104のうちの別のものを製作するプロセスを制限しないようになっている。そのうえ、多数の相互接続部(たとえば、ハイブリッドボンディングを介したボンディングコンタクト)が、ボンディングインターフェース106を通して形成され、PCBの上の長距離のチップ-ツー-チップデータバスとは対照的に、第1の半導体構造体102と第2の半導体構造体104との間で、直接的な短い電気的接続を作製することが可能であり、それによって、チップインターフェース遅延を回避し、低減されたパワー消費によって高速I/Oスループットを実現する。第2の半導体構造体104の中の3D NANDメモリストリングのアレイと第1の半導体構造体102の中の3D PCMセルのアレイとの間のデータ転送は、ボンディングインターフェース106を横切る相互接続部(たとえば、ボンディングコンタクト)を通して実施され得る。さらに、第1および第2の半導体構造体102および104を垂直方向に一体化することによって、チップサイズが低減され得、メモリセル密度が増加させられ得る。
スタックされた第1のおよび第2の半導体構造体102および104の相対的位置は、制限されないということが理解される。図1Bは、いくつかの実施形態による、3D PCMを伴う別の例示的な3Dメモリデバイス101の断面の概略図を図示している。3D NANDメモリストリングのアレイを含む第2の半導体構造体104が、周辺回路および3D PCMセルのアレイを含む第1の半導体構造体102の上方にある図1Aの3Dメモリデバイス100とは異なり、図1Bの3Dメモリデバイス101では、周辺回路および3D PCMセルのアレイ(たとえば、3D XPointメモリセル)を含む第1の半導体構造体102が、3D NANDメモリストリングのアレイを含む第2の半導体構造体104の上方にある。それにもかかわらず、いくつかの実施形態によれば、ボンディングインターフェース106は、3Dメモリデバイス101の中で垂直方向に第1の半導体構造体102と第2の半導体構造体104との間に形成されており、第1および第2の半導体構造体102および104は、ボンディング(たとえば、ハイブリッドボンディング)を通して垂直方向に接合されている。第2の半導体構造体104の中の3D NANDメモリストリングのアレイと第1の半導体構造体102の中の3D PCMセルのアレイ(たとえば、3D XPointメモリセル)との間のデータ転送は、ボンディングインターフェース106を横切る相互接続部(たとえば、ハイブリッドボンディングを介したボンディングコンタクト)を通して実施され得る。
図2は、いくつかの実施形態による、周辺回路と3D PCM206とを有する例示的な半導体構造体200の概略平面図を図示している。半導体構造体200は、第1の半導体構造体102の1つの例であることが可能である。半導体構造体200は、ワードラインドライバー202、ページバッファー204、および任意の他の適切な回路を含む、3D NANDメモリおよび/または3D PCM206を制御およびセンシングするための周辺回路を含むことが可能である。半導体構造体200は、周辺回路と同じダイの上に3D PCM206(たとえば、3D XPointメモリ)をさらに含むことが可能であり、周辺回路と同じロジックプロセスを使用して製作され得る。図2は、周辺回路(たとえば、ワードラインドライバー202、ページバッファー204)および3D PCM206の例示的なレイアウトを示しており、そこでは、周辺回路(たとえば、ワードラインドライバー202、ページバッファー204)および3D PCM206は、同じ平面の上の異なる領域の中に形成されている。たとえば、3D PCM206は、周辺回路(たとえば、ワードラインドライバー202、ページバッファー204)の外側に形成され得る。半導体構造体200のレイアウトは、図2の例示的なレイアウトに限定されないということが理解される。いくつかの実施形態において、周辺回路(たとえば、ワードラインドライバー202、ページバッファー204)および3D PCM206(たとえば、3D XPointメモリ)は、互いの上方に、つまり、異なる平面の上にスタックされている。たとえば、3D PCM206(たとえば、3D XPointメモリ)は、周辺回路(たとえば、ワードラインドライバー202、ページバッファー204)の上方または下方に形成され、チップサイズをさらに低減させることが可能である。
図3は、いくつかの実施形態による、3D PCMを伴う例示的な3Dメモリデバイス300の断面図を図示している。図1Aに関して上記に説明されている3Dメモリデバイス100の1つの例として、3Dメモリデバイス300は、第1の半導体構造体302と、第1の半導体構造体302の上方にスタックされた第2の半導体構造体304とを含む、接合されたチップである。第1および第2の半導体構造体302および304は、それらの間のボンディングインターフェース306において接合されている。第1の半導体構造体302に含まれる3D PCMは、図3に関する3D XPointメモリとして説明される。図3に示されているように、第1の半導体構造体302は、基板308を含むことが可能であり、基板308は、シリコン(たとえば、単結晶シリコン)、シリコンゲルマニウム(SiGe)、ガリウムヒ素(GaAs)、ゲルマニウム(Ge)、シリコンオンインシュレーター(SOI)、または任意の他の適切な材料を含むことが可能である。
3Dメモリデバイス300の第1の半導体構造体302は、基板308の上方にデバイス層310を含むことが可能である。3Dメモリデバイス300の中のコンポーネントの空間的関係をさらに図示するために、x軸およびy軸が図3に追加されているということが留意される。基板308は、x方向(横方向または幅方向)に横方向に延在している2つの横方向表面(たとえば、上部表面および底部表面)を含む。本明細書で使用されているように、1つのコンポーネント(たとえば、層またはデバイス)が半導体デバイス(たとえば、3Dメモリデバイス300)の別のコンポーネント(たとえば、層またはデバイス)の「上に」、「上方に」、または「下方に」あるかどうかは、基板がy方向(垂直方向または層のスタックする方向)に半導体デバイスの最も低い平面の中に位置決めされているときには、半導体デバイスの基板(たとえば、基板308)に対してy方向に決定される。空間的関係を説明するための同じ概念が、本開示の全体を通して適用される。
いくつかの実施形態において、デバイス層310が、基板308の上の高速ロジックトランジスター312およびセンシング&コントローラー回路314の周辺回路と、周辺回路の上方の3D XPointメモリセル318のアレイとを含む。いくつかの実施形態において、高速ロジックトランジスター312またはセンシング&コントローラー回路314の各々の周辺回路は、それに限定されないが、ページバッファー、デコーダー(たとえば、行デコーダーおよび列デコーダー)、センスアンプ、ドライバー、チャージポンプ、電流もしくは電圧リファレンスを含む、3Dメモリデバイス300の動作を促進するために使用される任意の適切なデジタル、アナログ、および/または混合信号周辺回路を形成する複数のトランジスター316を含む。トランジスター316は、基板308の「上に」形成され得、トランジスター316の全体または一部は、基板308の中に(たとえば、基板308の上部表面の下方に)および/または基板308の直ぐ上に形成されている。アイソレーション領域(たとえば、シャロートレンチアイソレーション(STI))およびドープ領域(たとえば、トランジスター316のソース領域およびドレイン領域)が、同様に基板308の中に形成され得る。センシング&コントローラー回路314および/または高速ロジックトランジスター312の周辺回路は、3D XPointメモリセル318のアレイに電気的に接続され得る。
いくつかの実施形態において、各々の3D XPointメモリセル318は、ワードライン(WL)360およびビットライン(BL)362を有するクロスポイント構造の中で垂直に配置された導体を含む。ワードライン360およびビットライン362は、それに限定されないが、タングステン(W)、コバルト(Co)、銅(Cu)、アルミニウム(Al)、シリサイド、または、それらの任意の組合せを含む導電性材料を含み得る。各々の3D XPointメモリセル318は、セル材料におけるバルク特性変化を通してデータのビットを記憶するために、ワードライン360およびビットライン362の対の交差部においてメモリエレメント364をさらに含み得る。いくつかの実施形態において、各々の3D XPointメモリセル318は、メモリエレメント364とワードライン360またはビットライン362との間で垂直方向にセレクター(図示せず)をさらに含む。つまり、セレクターおよびメモリエレメント364は、二段にスタックされたストレージ/セレクターの構造体であり得る。メモリエレメント364の材料は、いくつかの実施形態によれば、GST(Ge-Sb-Te)合金もしくは任意の他の適切な相変化材料などのカルコゲニドに基づく合金(カルコゲナイドガラス)、抵抗性酸化材料、または導電性ブリッジ材料を含む。セレクターの材料は、ZnTe、GeTe、Nb、SiAsTeなどの任意の適切なオボニック閾値スイッチ(OTS)材料を含み得る。3D XPointメモリセル318のアレイの構造体、構成、および材料が図3の例に限定されず、任意の適切な構造体、構成、および材料を含み得ることは、理解される。
いくつかの実施形態において、3Dメモリデバイス300の第1の半導体構造体302は、高速ロジックトランジスター312およびセンシング&コントローラー回路314の周辺回路、ならびに3D XPointメモリセル318のアレイへ、またそれらから電気信号を転送するために、デバイス層310において3D XPointメモリセル318のアレイの上方に相互接続層322をさらに含む。相互接続層322は、複数の相互接続部(本明細書では「コンタクト」とも称される)を含むことが可能であり、それは、横方向の相互接続ラインおよび垂直方向の相互接続アクセス(ビア)コンタクトを含む。本明細書で使用されているように、「相互接続部」という用語は、ミドルエンドオブライン(MEOL)相互接続部およびバックエンドオブライン(BEOL)相互接続部などのような、任意の適切なタイプの相互接続部を広く含むことが可能である。相互接続層322は、1つまたは複数の層間誘電体(ILD)層(「金属間誘電体(IMD)層」としても知られる)をさらに含むことが可能であり、相互接続ラインおよびビアコンタクトを、1つまたは複数のILD層の中に形成することが可能である。すなわち、相互接続層322は、複数のILD層の中に相互接続ラインおよびビアコンタクトを含むことが可能である。相互接続層322の中の相互接続ラインおよびビアコンタクトは、導電性材料を含むことが可能であり、それは、それに限定されないが、W、Co、Cu、Al、シリサイド、または、それらの任意の組合せを含む。相互接続層322の中のILD層は、それに限定されないが、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、低誘電率(低k)誘電体、または、それらの任意の組合せを含む、誘電材料を含むことが可能である。
図3に示されているように、3Dメモリデバイス300の第1の半導体構造体302は、ボンディングインターフェース306において、ならびに、相互接続層322およびデバイス層310(高速ロジックトランジスター312およびセンシング&コントローラー回路314の周辺回路ならびに3D XPointメモリセル318のアレイを含む)の上方に、ボンディング層324をさらに含むことが可能である。ボンディング層324は、複数のボンディングコンタクト326と、ボンディングコンタクト326を電気的に隔離する誘電体とを含むことが可能である。ボンディングコンタクト326は、導電性材料を含むことが可能であり、それは、それに限定されないが、W、Co、Cu、Al、シリサイド、または、それらの任意の組合せを含む。ボンディング層324の残りのエリアは、それに限定されないが、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、低k誘電体、または、それらの任意の組合せを含む、誘電体によって形成され得る。ボンディング層324におけるボンディングコンタクト326および周囲の誘電体は、ハイブリッドボンディングのために使用され得る。
同様に、図3に示されているように、3Dメモリデバイス300の第2の半導体構造体304は、また、ボンディングインターフェース306において、および、第1の半導体構造体302のボンディング層324の上方に、ボンディング層328を含むことが可能である。ボンディング層328は、複数のボンディングコンタクト330と、ボンディングコンタクト330を電気的に隔離する誘電体とを含むことが可能である。ボンディングコンタクト330は、導電性材料を含むことが可能であり、それは、それに限定されないが、W、Co、Cu、Al、シリサイド、または、それらの任意の組合せを含む。ボンディング層328の残りのエリアは、それに限定されないが、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、低k誘電体、または、それらの任意の組合せを含む、誘電体によって形成され得る。ボンディング層328におけるボンディングコンタクト330および周囲の誘電体は、ハイブリッドボンディングのために使用され得る。
上記に説明されているように、第2の半導体構造体304は、ボンディングインターフェース306において、第1の半導体構造体302の上に向かい合った様式で接合され得る。いくつかの実施形態において、ボンディングインターフェース306は、ハイブリッドボンディング(「金属/誘電体ハイブリッドボンディング」としても知られる)の結果として、ボンディング層324とボンディング層328との間に配設されており、ハイブリッドボンディングは、直接的なボンディング技術(たとえば、中間層(たとえば、はんだまたは接着剤など)を使用することなく表面同士の間にボンディングを形成する)であり、金属-金属ボンディングおよび誘電体-誘電体ボンディングを同時に取得することが可能である。いくつかの実施形態において、ボンディングインターフェース306は、ボンディング層324および328が出会って接合される場所にある。実際には、ボンディングインターフェース306は、第1の半導体構造体302のボンディング層324の上部表面および第2の半導体構造体304のボンディング層328の底部表面の一部を含む、特定の厚さを有する層であることが可能である。
いくつかの実施形態において、3Dメモリデバイス300の第2の半導体構造体304は、ボンディング層328の上方に相互接続層332をさらに含み、電気信号を転送する。相互接続層332は、複数の相互接続部(たとえば、MEOL相互接続部およびBEOL相互接続部など)を含むことが可能である。相互接続層332は、1つまたは複数のILD層をさらに含むことが可能であり、相互接続ラインおよびビアコンタクトを、1つまたは複数のILD層の中に形成することが可能である。相互接続層332の中の相互接続ラインおよびビアコンタクトは、それに限定されないが、W、Co、Cu、Al、シリサイド、または、それらの任意の組合せを含む、導電性材料を含むことが可能である。相互接続層332の中のILD層は、それに限定されないが、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、低k誘電体、または、それらの任意の組合せを含む、誘電材料を含むことが可能である。
いくつかの実施形態において、3Dメモリデバイス300の第2の半導体構造体304は、NANDフラッシュメモリデバイスを含み、そこでは、メモリセルが、相互接続層332およびボンディング層328の上方に、3D NANDメモリストリング338のアレイの形態で提供されている。いくつかの実施形態によれば、それぞれの3D NANDメモリストリング338は、導体層334および誘電体層336をそれぞれ含む複数のペアを通って垂直方向に延在している。スタックされたおよび交互配置された導体層334および誘電体層336は、本明細書でメモリスタック333とも称される。いくつかの実施形態によれば、メモリスタック333の中の交互配置された導体層334および誘電体層336は、垂直方向に交互になっている。換言すれば、メモリスタック333の上部または底部にあるものを除いて、それぞれの導体層334は、両側において2つの誘電体層336によって隣接され得、それぞれの誘電体層336は、両側において2つの導体層334によって隣接され得る。導体層334は、同じ厚さまたは異なる厚さをそれぞれ有することが可能である。同様に、誘電体層336は、同じ厚さまたは異なる厚さをそれぞれ有することが可能である。導体層334は、それに限定されないが、W、Co、Cu、Al、ドープトシリコン、シリサイド、または、それらの任意の組合せを含む、導体材料を含むことが可能である。誘電体層336は、それに限定されないが、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、または、それらの任意の組合せを含む、誘電材料を含むことが可能である。
いくつかの実施形態において、それぞれの3D NANDメモリストリング338は、半導体チャネル342およびメモリフィルム340を含む「チャージトラップ」タイプのNANDメモリストリングである。いくつかの実施形態において、半導体チャネル342は、シリコン、たとえば、アモルファスシリコン、ポリシリコン、または単結晶シリコンなどを含む。いくつかの実施形態において、メモリフィルム340は、トンネリング層、ストレージ層(「チャージトラップ/ストレージ層」としても知られる)、およびブロッキング層を含む、複合誘電体層である。それぞれの3D NANDメモリストリング338は、シリンダー形状(たとえば、ピラー形状)を有することが可能である。いくつかの実施形態によれば、半導体チャネル342、メモリフィルム340のトンネリング層、ストレージ層、およびブロッキング層は、中心からピラーの外側表面に向かう方向に沿って、この順序で配置されている。トンネリング層は、酸化ケイ素、酸窒化ケイ素、または、それらの任意の組合せを含むことが可能である。ストレージ層は、窒化ケイ素、酸窒化ケイ素、シリコン、または、それらの任意の組合せを含むことが可能である。ブロッキング層は、酸化ケイ素、酸窒化ケイ素、高誘電率(高k)誘電体、または、それらの任意の組合せを含むことが可能である。1つの例において、ブロッキング層は、酸化ケイ素/酸窒化ケイ素/酸化ケイ素(ONO)の複合層を含むことが可能である。別の例において、ブロッキング層は、酸化アルミニウム(Al)、または酸化ハフニウム(HfO)、または酸化タンタル(Ta)層などのような、高k誘電体層を含むことが可能である。
いくつかの実施形態において、3D NANDメモリストリング338は、複数の制御ゲート(それぞれがワードラインの一部である)をさらに含む。メモリスタック333の中のそれぞれの導体層334は、3D NANDメモリストリング338のそれぞれのメモリセルのための制御ゲートとして作用することが可能である。いくつかの実施形態において、それぞれの3D NANDメモリストリング338は、垂直方向におけるそれぞれの端部において、2つのプラグ344および346を含む。プラグ344は、半導体材料(たとえば、単結晶シリコンなど)を含むことが可能であり、それは、半導体層348からエピタキシャル成長させられる。プラグ344は、3D NANDメモリストリング338のソース選択ゲートによって制御されるチャネルとして機能することが可能である。プラグ344は、3D NANDメモリストリング338の上側端部にあり、半導体チャネル342と接触していることが可能である。本明細書で使用されているように、基板308が3Dメモリデバイス300の最も低い平面に位置決めされているときに、コンポーネント(たとえば、3D NANDメモリストリング338)の「上側端部」は、y方向に基板308から遠くに離れている方の端部であり、コンポーネント(たとえば、3D NANDメモリストリング338)の「下側端部」は、y方向に基板308に近い方の端部である。別のプラグ346は、半導体材料(たとえば、ポリシリコン)を含むことが可能である。3Dメモリデバイス300の製作の間に3D NANDメモリストリング338の上側端部をカバーすることによって、プラグ346は、エッチング停止層として機能し、3D NANDメモリストリング338の中に充填されている酸化ケイ素および窒化ケイ素などの誘電体のエッチングを防止することが可能である。いくつかの実施形態において、プラグ346は、3D NANDメモリストリング338のドレインとして機能する。
いくつかの実施形態において、第1の半導体構造体302は、メモリスタック333および3D NANDメモリストリング338の上方に配設されている半導体層348をさらに含む。半導体層348は、薄くされた基板であることが可能であり、メモリスタック333および3D NANDメモリストリング338が、その上に形成されている。いくつかの実施形態において、半導体層348は、単結晶シリコンを含み、プラグ344は、単結晶シリコンからエピタキシャル成長させられ得る。いくつかの実施形態において、半導体層348は、ポリシリコン、アモルファスシリコン、SiGe、GaAs、Ge、シリサイド、または任意の他の適切な材料を含むことが可能である。また、半導体層348は、アイソレーション領域およびドープ領域(たとえば、3D NANDメモリストリング338のためのアレイコモンソース(ACS)として機能する、図示せず)を含むことが可能である。アイソレーション領域(図示せず)は、半導体層348の厚さ全体または厚さの一部を横切って延在し、ドープ領域を電気的に隔離することが可能である。いくつかの実施形態において、酸化ケイ素を含むパッド酸化物層が、メモリスタック333と半導体層348との間に配設されている。
3D NANDメモリストリング338は、「チャージトラップ」タイプの3D NANDメモリストリングに限定されず、他の実施形態では、「フローティングゲート」タイプの3D NANDメモリストリングであることが可能であるということが理解される。メモリスタック333が、単一デッキの構造体を有することに限定されず、3D NANDメモリストリング338の電気的接続のための異なるデッキの間に中間デッキプラグを伴う複数デッキの構造体を有することも可能であることも理解される。半導体層348は、「フローティングゲート」タイプの3D NANDメモリストリングのソースプレートとして、ポリシリコンを含むことが可能である。
図3に示されているように、3Dメモリデバイス300の第2の半導体構造体304は、半導体層348の上方にパッドアウト相互接続層350をさらに含むことが可能である。パッドアウト相互接続層744は、1つまたは複数のILD層の中に、相互接続部、たとえば、接触パッド352を含むことが可能である。パッドアウト相互接続層350および相互接続層332は、半導体層348の反対側に形成され得る。いくつかの実施形態において、パッドアウト相互接続層350の中の相互接続部は、たとえば、パッドアウト目的のために、3Dメモリデバイス300と外側回路との間で電気信号を転送することが可能である。
いくつかの実施形態において、第2の半導体構造体304は、1つまたは複数のコンタクト354をさらに含み、1つまたは複数のコンタクト354は、半導体層348を通って延在し、パッドアウト相互接続層350と相互接続層332および322とを電気的に接続している。結果として、3D XPointメモリセル318のアレイは、相互接続層322および332ならびにボンディングコンタクト326および330を通して、3D NANDメモリストリング338のアレイに電気的に接続され得る。また、3D NANDメモリストリング338の高速ロジックトランジスター312の周辺回路は、相互接続層322および332ならびにボンディングコンタクト326および330を通して、3D NANDメモリストリング338のアレイに電気的に接続され得る。そのうえ、高速ロジックトランジスター312およびセンシング&コントローラー回路314の周辺回路、ならびに、3D XPointメモリセル318のアレイおよび3D NANDメモリストリング338のアレイは、コンタクト354およびパッドアウト相互接続層350を通して、外側回路に電気的に接続され得る。
図4は、いくつかの実施形態による、3D PCMを伴う別の例示的な3Dメモリデバイス400の断面図を図示している。図3において上記に説明されている3Dメモリデバイス300と同様に、3Dメモリデバイス400は、3D NANDメモリストリングを含む第1の半導体構造体402と、周辺回路および3D PCMセルを含む第2の半導体構造体404とを有する接合されたチップの例を表しており、第1の半導体構造体402と第2の半導体構造体404とは、別個に形成され、ボンディングインターフェース406において向かい合った様式で接合させられる。第2の半導体構造体404に含まれる3D PCMは、図4に関する3D XPointメモリとして説明される。周辺回路および3D XPointメモリセルを含む第1の半導体構造体302が、3D NANDメモリストリングを含む第2の半導体構造体304の下方にある図3において上記に説明されている3Dメモリデバイス300と異なり、図4の3Dメモリデバイス400は、3D NANDメモリストリングを含む第1の半導体構造体402の上方に配設されている周辺回路および3D XPointメモリセルを含む第2の半導体構造体404を含む。3Dメモリデバイス300および400の両方の中の同様の構造体の詳細(たとえば、材料、製作プロセス、機能など)は、繰り返されていない可能性があるということが理解される。
3Dメモリデバイス400の第1の半導体構造体402は、基板408およびメモリスタック410を含むことが可能であり、メモリスタック410は、基板408の上方に交互配置された導体層412および誘電体層414を含む。いくつかの実施形態において、3D NANDメモリストリング416のアレイは、基板408の上方のメモリスタック410の中の交互配置された導体層412および誘電体層414を通って垂直方向にそれぞれ延在している。それぞれの3D NANDメモリストリング416は、半導体チャネル420およびメモリフィルム418を含むことが可能である。それぞれの3D NANDメモリストリング416は、それぞれ、その下側端部および上側端部において、2つのプラグ422および424をさらに含む。3D NANDメモリストリング416は、「チャージトラップ」タイプの3D NANDメモリストリング、または、「フローティングゲート」タイプの3D NANDメモリストリングであることが可能である。いくつかの実施形態において、酸化ケイ素を含むパッド酸化物層が、メモリスタック410と基板408との間に配設されている。
いくつかの実施形態において、3Dメモリデバイス400の第1の半導体構造体402は、また、メモリスタック410および3D NANDメモリストリング416の上方に相互接続層426を含み、3D NANDメモリストリング416へおよびそれから、電気信号を転送する。相互接続層426は、複数の相互接続部を含むことが可能であり、それは、相互接続ラインおよびビアコンタクトを含む。いくつかの実施形態において、相互接続層426の中の相互接続部は、また、ビットラインコンタクトおよびワードラインコンタクトなどのような、局所的相互接続部を含む。いくつかの実施形態において、3Dメモリデバイス400の第1の半導体構造体402は、ボンディングインターフェース406において、ならびに、相互接続層426およびメモリスタック410の上方に、ボンディング層428をさらに含む。ボンディング層428は、複数のボンディングコンタクト430と、ボンディングコンタクト430を取り囲んで電気的に隔離する誘電体とを含むことが可能である。
図4に示されているように、3Dメモリデバイス400の第2の半導体構造体404は、ボンディングインターフェース406において、および、ボンディング層428の上方に、別のボンディング層432を含む。ボンディング層432は、複数のボンディングコンタクト434と、ボンディングコンタクト434を取り囲んで電気的に隔離する誘電体とを含むことが可能である。いくつかの実施形態において、3Dメモリデバイス400の第2の半導体構造体404は、また、ボンディング層432の上方に相互接続層436を含み、電気信号を転送する。相互接続層436は、複数の相互接続部を含むことが可能であり、それは、相互接続ラインおよびビアコンタクトを含む。
3Dメモリデバイス400の第2の半導体構造体404は、相互接続層436およびボンディング層432の上方にデバイス層438をさらに含むことが可能である。いくつかの実施形態において、デバイス層438は、相互接続層436およびボンディング層432の上方に3D XPointメモリセル448のアレイを含み、3D XPointメモリセル448のアレイの上方に周辺回路442および444を含む。周辺回路442および444は、3D NANDメモリストリング416のアレイの周辺回路442と、3D XPointメモリセル448のアレイの周辺回路444とを含み得る。いくつかの実施形態において、各々の周辺回路442または444は、それに限定されないが、ページバッファー、デコーダー(たとえば、行デコーダーおよび列デコーダー)、センスアンプ、ドライバー、チャージポンプ、電流もしくは電圧リファレンスを含む、3Dメモリデバイス400の動作を促進するために使用される任意の適切なデジタル、アナログ、および/または混合信号周辺回路を形成する複数のトランジスター446を含む。トランジスター446は、半導体層440の「上に」形成され得、トランジスター446の全体または一部が、半導体層440の中に、および/または、半導体層440の直ぐ上に形成されている。アイソレーション領域(たとえば、シャロートレンチアイソレーション(STI))およびドープ領域(たとえば、トランジスター446のソース領域およびドレイン領域)は、同様に半導体層440の中に形成され得る。周辺回路444は、3D XPointメモリセル448のアレイに電気的に接続され得る。
いくつかの実施形態において、各々の3D XPointメモリセル448は、ワードライン(WL)460およびビットライン(BL)462を有するクロスポイント構造の中で垂直に配置された導体を含む。ワードライン460およびビットライン462は、それに限定されないが、W、Co、Cu、Al、シリサイド、または、それらの任意の組合せを含む導電性材料を含み得る。各々の3D XPointメモリセル448は、セル材料におけるバルク特性変化を通してデータのビットを記憶するために、ワードライン460およびビットライン462の対の交差部においてメモリエレメント464をさらに含み得る。いくつかの実施形態において、各々の3D XPointメモリセル448は、メモリエレメント464とワードライン460またはビットライン462との間で垂直方向にセレクター(図示せず)をさらに含む。つまり、セレクターおよびメモリエレメント464は、二段にスタックされたストレージ/セレクターの構造体であり得る。メモリエレメント464の材料は、いくつかの実施形態によれば、GST合金もしくは任意の他の適切な相変化材料などのカルコゲニドに基づく合金(カルコゲナイドガラス)、抵抗性酸化材料、または導電性ブリッジ材料を含む。セレクターの材料は、ZnTe、GeTe、Nb、SiAsTeなどの任意の適切なOTS材料を含み得る。3D XPointメモリセル448のアレイの構造体、構成、および材料が図4の例に限定されず、任意の適切な構造体、構成、および材料を含み得ることは、理解される。
いくつかの実施形態において、第2の半導体構造体404は、デバイス層438の周辺回路442および444の上方に配設されている半導体層440をさらに含む。半導体層440は、薄くされた基板であることが可能であり、トランジスター446が、その上に形成されている。いくつかの実施形態において、半導体層440は、単結晶シリコンを含む。いくつかの実施形態において、半導体層440は、ポリシリコン、アモルファスシリコン、SiGe、GaAs、Ge、または任意の他の適切な材料を含むことが可能である。また、半導体層440は、アイソレーション領域およびドープ領域を含むことが可能である。
図4に示されているように、3Dメモリデバイス400の第2の半導体構造体404は、半導体層440の上方にパッドアウト相互接続層452をさらに含むことが可能である。パッドアウト相互接続層452は、1つまたは複数のILD層の中に、相互接続部、たとえば、接触パッド454を含むことが可能である。いくつかの実施形態において、パッドアウト相互接続層452の中の相互接続部は、たとえば、パッドアウト目的のために、3Dメモリデバイス400と外側回路との間で電気信号を転送することが可能である。いくつかの実施形態において、第2の半導体構造体404は、1つまたは複数のコンタクト456をさらに含み、1つまたは複数のコンタクト456は、半導体層440を通って延在し、パッドアウト相互接続層452と相互接続層436および426とを電気的に接続している。結果として、3D XPointメモリセル448は、相互接続層426および436ならびにボンディングコンタクト430および434を通して、3D NANDメモリセル416のアレイに電気的に接続され得る。3D NANDメモリストリング416の周辺回路442は、相互接続層426および436ならびにボンディングコンタクト430および434を通して、3D NANDメモリストリング416のアレイに電気的に接続され得る。そのうえ、周辺回路442および444、3D XPointメモリセル448のアレイ、ならびに3D NANDメモリストリング416のアレイは、コンタクト456およびパッドアウト相互接続層452を通して、外側回路に電気的に接続され得る。
図5A~図5Cは、いくつかの実施形態による、周辺回路と3D PCMとを有する例示的な半導体構造体を形成するための製作プロセスを図示している。図6Aおよび図6Bは、いくつかの実施形態による、3D NANDメモリストリングを有する例示的な半導体構造体を形成するための製作プロセスを図示している。図7Aおよび図7Bは、いくつかの実施形態による、3D PCMを伴う例示的な3Dメモリデバイスを形成するための製作プロセスを図示している。図9は、いくつかの実施形態による、3D PCMを伴う3Dメモリデバイスを形成するための例示的な方法900の流れ図である。図5~図7および図9に示されている3Dメモリデバイスの例は、図3に示されている3Dメモリデバイス300、および、図4に示されている3Dメモリデバイス400を含む。図5~図7および図9は、一緒に説明されることとなる。方法900に示されている動作は、網羅的でないということ、ならびに、他の動作は、図示されている動作のいずれかの前に、後に、またはそれらの間に同様に実施され得るということが理解される。さらに、動作のうちのいくつかは、同時に、または、図9に示されているものとは異なる順序で実施され得る。
図5A~図5Cに示されているように、周辺回路と、3D PCMセルのアレイと、複数の第1のボンディングコンタクトを含む第1のボンディング層とを含む第1の半導体構造体が形成されている。図6Aおよび図6Bに示されているように、3D NANDメモリストリングのアレイと、複数の第2のボンディングコンタクトを含む第2のボンディング層とを含む第2の半導体構造体が形成されている。図7Aおよび図7Bに示されているように、第1の半導体構造体および第2の半導体構造体は、向かい合った様式で接合されており、第1のボンディングコンタクトが、ボンディングインターフェースにおいて、第2のボンディングコンタクトと接触しているようになっている。3D PCMは、図5A~図5C、図6A、図6B、図7A、および図7Bに関する3D XPointメモリとして示されることとなる。
図9を参照すると、方法900は、動作902において開始し、動作902では、周辺回路が第1の基板の上に形成され、次に、3D PCMセルのアレイが周辺回路の上方に形成される。第1の基板は、シリコン基板であることが可能である。いくつかの実施形態において、3D PCMセルのアレイを形成するために、3D XPointメモリセルのアレイが形成される。
図5Aに図示されているように、複数のトランジスター504が、シリコン基板502の上に形成される。トランジスター504は、それに限定されないが、フォトリソグラフィー、ドライ/ウェットエッチング、薄膜堆積、熱膨張、インプランテーション、化学機械研磨(CMP)、および任意の他の適切なプロセスを含む、複数のプロセスによって形成され得る。いくつかの実施形態において、ドープ領域は、イオンインプランテーションおよび/または熱拡散によってシリコン基板502の中に形成され、それは、たとえば、トランジスター504のソース領域および/またはドレイン領域として機能する。いくつかの実施形態において、アイソレーション領域(たとえば、STI)は、また、ウェット/ドライエッチングおよび薄膜堆積によって、シリコン基板502の中に形成されている。トランジスター504が、3D NANDメモリおよび/または3D XPointメモリの周辺回路を形成することが可能である。
図5Bに図示されているように、3D XPointメモリセル506のアレイが、トランジスター504(たとえば、3D XPointメモリセル506のアレイの周辺回路を形成するもの)のうちのいくつかの上方において、それらいくつかと接触して形成されている。3D XPointメモリセル506を形成するために、垂直導体がワードライン(WL)507およびビットライン508として形成され得、メモリエレメント509がワードライン507とビットライン508との交差部に形成され得る。いくつかの実施形態において、セレクター(図示せず)は、垂直方向に各々のメモリエレメント509とワードライン507またはビットライン508との間に形成され、3D XPointメモリセル506は、それに限定されないが、フォトリソグラフィー、ドライ/ウェットエッチング、薄膜堆積、熱膨張、インプランテーション、CMP、および任意の他の適切なプロセスを含む複数のプロセスによって形成され得る。それによって、周辺回路(トランジスター504を有する)と、トランジスター504の上方の3D XPointメモリセル506のアレイとを含むデバイス層510が形成される。
方法900は、図9に図示されているように、動作904に進み、動作904では、第1の相互接続層が3D PCMセルのアレイの上方に形成される。第1の相互接続層は、1つまたは複数のILD層の中に第1の複数の相互接続部を含むことが可能である。図5Cに図示されているように、相互接続層512が、デバイス層510の3D XPointメモリセル506のアレイの上方に形成され得る。相互接続層512は、複数のILD層の中にMEOLおよび/またはBEOLの相互接続部を含み、デバイス層510の3D XPointメモリセル506のアレイおよびトランジスター504(周辺回路を形成する)との電気的接続を作製することが可能である。いくつかの実施形態において、相互接続層512は、複数のILD層と、複数のプロセスにおいて形成されたその中の相互接続部とを含む。たとえば、相互接続層512の中の相互接続部は、1つまたは複数の薄膜堆積プロセスによって堆積された導電性材料を含むことが可能であり、1つまたは複数の薄膜堆積プロセスは、それに限定されないが、化学蒸着(CVD)、物理蒸着(PVD)、原子層堆積(ALD)、電気めっき、無電解めっき、または、それらの任意の組合せを含む。相互接続部を形成するための製作プロセスは、また、フォトリソグラフィー、CMP、ウェット/ドライエッチング、または任意の他の適切なプロセスを含むことが可能である。ILD層は、1つまたは複数の薄膜堆積プロセスによって堆積された誘電材料を含むことが可能であり、薄膜堆積プロセスは、それに限定されないが、CVD、PVD、ALD、または、それらの任意の組合せを含む。図5Cに図示されているILD層および相互接続部は、集合的に相互接続層512と称され得る。
方法900は、図9に図示されているように、動作906に進み、動作906では、第1のボンディング層が、第1の相互接続層の上方に形成される。第1のボンディング層は、複数の第1のボンディングコンタクトを含むことが可能である。図5Cに図示されているように、ボンディング層514は、相互接続層512の上方に形成されている。ボンディング層514は、誘電体によって取り囲まれている複数のボンディングコンタクト516を含むことが可能である。いくつかの実施形態において、誘電体層は、それに限定されないが、CVD、PVD、ALD、または、それらの任意の組合せを含む、1つまたは複数の薄膜堆積プロセスによって、相互接続層512の上部表面の上に堆積される。次いで、パターニングプロセス(たとえば、誘電体層の中の誘電材料のフォトリソグラフィーおよびドライ/ウェットエッチング)を使用して、誘電体層を通る接触孔部を最初にパターニングすることによって、ボンディングコンタクト516が、誘電体層を通して、相互接続層512の中の相互接続部と接触して形成され得る。接触孔部は、導体(たとえば、銅)によって充填され得る。いくつかの実施形態において、接触孔部を充填することは、導体を堆積させる前に、バリア層、接着層、および/またはシード層を堆積させることを含む。
方法900は、図9に図示されているように、動作908に進み、動作908では、メモリスタックが、第2の基板の上方に形成される。第2の基板は、シリコン基板であることが可能である。図6Aに図示されているように、交互配置された犠牲層(図示せず)および誘電体層608が、シリコン基板602の上方に形成されている。交互配置された犠牲層および誘電体層608は、誘電体スタック(図示せず)を形成することが可能である。いくつかの実施形態において、それぞれの犠牲層は、窒化ケイ素の層を含み、それぞれの誘電体層608は、酸化ケイ素の層を含む。交互配置された犠牲層および誘電体層608は、1つまたは複数の薄膜堆積プロセスによって形成され得、薄膜堆積プロセスは、それに限定されないが、CVD、PVD、ALD、または、それらの任意の組合せを含む。いくつかの実施形態において、メモリスタック604は、ゲート交換プロセスによって形成され得、たとえば、誘電体層608に対して選択的な犠牲層のウェット/ドライエッチングを使用して、犠牲層を導体層606と交換し、結果として生じる凹部を導体層606で充填する。結果として、メモリスタック604は、交互配置された導体層606および誘電体層608を含むことが可能である。いくつかの実施形態において、それぞれの導体層606は、金属層(たとえば、タングステンの層など)を含むことが可能である。他の実施形態では、メモリスタック604は、ゲート交換プロセスなしで、導体層(たとえば、ドープされたポリシリコン層)および誘電体層(たとえば、酸化ケイ素層)を交互に堆積させることによって形成され得るということが理解される。いくつかの実施形態において、酸化ケイ素を含むパッド酸化物層が、メモリスタック604とシリコン基板602との間に形成されている。
方法900は、図9に図示されているように、動作910に進み、動作910では、メモリスタックを通って垂直方向に延在する3D NANDメモリストリングのアレイが形成される。図6Aに図示されているように、3D NANDメモリストリング610は、シリコン基板602の上方に形成されており、そのそれぞれは、メモリスタック604の交互配置された導体層606および誘電体層608を通って、垂直方向に延在している。いくつかの実施形態において、3D NANDメモリストリング610を形成するための製作プロセスは、ドライエッチングおよび/またはウェットエッチング(たとえば、ディープ反応性イオンエッチング(DRIE)など)を使用して、メモリスタック604を通してシリコン基板602の中へチャネルホール部を形成することを含み、シリコン基板602からチャネルホール部の下側部分の中にプラグ612をエピタキシャル成長させることがそれに続く。いくつかの実施形態において、3D NANDメモリストリング610を形成させるための製作プロセスは、また、その後に、薄膜堆積プロセス(たとえば、ALD、CVD、PVD、または、それらの任意の組合せなど)を使用して、メモリフィルム614(たとえば、トンネリング層、ストレージ層、およびブロッキング層)および半導体層616などのような、複数の層によってチャネルホール部を充填することを含む。いくつかの実施形態において、3D NANDメモリストリング610を形成するための製作プロセスは、3D NANDメモリストリング610の上側端部において凹部をエッチングすることによって、その後に、薄膜堆積プロセス(たとえば、ALD、CVD、PVD、または、それらの任意の組合せなど)を使用して、半導体材料によって凹部を充填することによって、チャネルホール部の上側部分の中に別のプラグ618を形成することをさらに含む。
方法900は、図9に図示されているように、動作912に進み、動作912では、第2の相互接続層が、3D NANDメモリストリングのアレイの上方に形成される。第2の相互接続層は、1つまたは複数のILD層の中に第2の複数の相互接続部を含むことが可能である。図6Bに図示されているように、相互接続層620は、メモリスタック604および3D NANDメモリストリング610のアレイの上方に形成され得る。相互接続層620は、複数のILD層の中にMEOLおよび/またはBEOLの相互接続部を含み、3D NANDメモリストリング610と電気的接続を行うことが可能である。いくつかの実施形態において、相互接続層620は、複数のILD層と、複数のプロセスにおいて形成されたその中の相互接続部とを含む。たとえば、相互接続層620の中の相互接続部は、1つまたは複数の薄膜堆積プロセスによって堆積された導電性材料を含むことが可能であり、薄膜堆積プロセスは、それに限定されないが、CVD、PVD、ALD、電気めっき、無電解めっき、または、それらの任意の組合せを含む。相互接続部を形成するための製作プロセスは、また、フォトリソグラフィー、CMP、ウェット/ドライエッチング、または任意の他の適切なプロセスを含むことが可能である。ILD層は、1つまたは複数の薄膜堆積プロセスによって堆積された誘電材料を含むことが可能であり、薄膜堆積プロセスは、それに限定されないが、CVD、PVD、ALD、または、それらの任意の組合せを含む。図6Bに図示されているILD層および相互接続部は、集合的に相互接続層620と称され得る。
方法900は、図9に図示されているように、動作914に進み、動作914では、第2のボンディング層が、第2の相互接続層の上方に形成される。第2のボンディング層は、複数の第2のボンディングコンタクトを含むことが可能である。図6Bに図示されているように、ボンディング層622は、相互接続層620の上方に形成されている。ボンディング層622は、誘電体によって取り囲まれている複数のボンディングコンタクト624を含むことが可能である。いくつかの実施形態において、誘電体層は、1つまたは複数の薄膜堆積プロセスによって、相互接続層620の上部表面の上に堆積されており、薄膜堆積プロセスは、それに限定されないが、CVD、PVD、ALD、または、それらの任意の組合せを含む。次いで、パターニングプロセス(たとえば、誘電体層の中の誘電材料のフォトリソグラフィーおよびドライ/ウェットエッチング)を使用して、誘電体層を通る接触孔部を最初にパターニングすることによって、ボンディングコンタクト624が、誘電体層を通して、相互接続層620の中の相互接続部と接触して形成され得る。接触孔部は、導体(たとえば、銅)によって充填され得る。いくつかの実施形態において、接触孔部を充填することは、導体を堆積させる前に、バリア層、接着層、および/またはシード層を堆積させることを含む。
方法900は、図9に図示されているように、動作916に進み、動作916では、第1の基板および第2の基板が、向かい合った様式で接合され、第1のボンディングコンタクトが、ボンディングインターフェースにおいて第2のボンディングコンタクトと接触しているようになっている。ボンディングは、ハイブリッドボンディングであることが可能である。いくつかの実施形態において、周辺回路および3D PCMセルがその上に形成されている第1の基板(たとえば、第1の半導体構造体)が、ボンディングの後に、3D NANDメモリストリングがその上に形成されている第2の基板(たとえば、第2の半導体構造体)の上方に配設されている。いくつかの実施形態において、3D NANDメモリストリングがその上に形成されている第2の基板(たとえば、第2の半導体構造体)が、ボンディングの後に、周辺回路および3D PCMセルがその上に形成されている第1の基板(たとえば、第1の半導体構造体)の上方に配設されている。
図7Aに図示されているように、シリコン基板602およびその上に形成されたコンポーネント(たとえば、3D NANDメモリストリング610)は、逆さまにひっくり返されている。下に向いているボンディング層622は、上に向いているボンディング層514と(すなわち、向かい合った様式で)接合されており、それによって、(図7Bに示されているように)ボンディングインターフェース702を形成している。いくつかの実施形態において、処理プロセス(たとえば、プラズマ処理、ウェット処理、および/または熱処理)が、ボンディングの前にボンディング表面に適用される。図7Aには図示されていないが、シリコン基板502およびその上に形成されたコンポーネント(たとえば、周辺回路を形成するトランジスター504、および3D XPointメモリセル506を含むデバイス層510)は、逆さまにひっくり返され得、下に向いているボンディング層514は、上に向いている、つまり、向かい合った様式でのボンディング層622と接合され得、それによって、ボンディングインターフェース702を形成している。ボンディングの後に、ボンディング層622の中のボンディングコンタクト624、および、ボンディング層514の中のボンディングコンタクト516が整合させられ、互いに接触しており、デバイス層510(たとえば、周辺回路を形成するトランジスター504および3D XPointメモリセル506)が、3D NANDメモリストリング610に電気的に接続され得るようになっている。接合されたデバイスにおいて、3D NANDメモリストリング610は、デバイス層510(たとえば、周辺回路を形成するトランジスター504および3D XPointメモリセル506)の上方または下方のいずれかにあることが可能であるということが理解される。それにもかかわらず、ボンディングインターフェース702は、図7Bに図示されているように、ボンディングの後に、3D NANDメモリストリング610とデバイス層510(たとえば、周辺回路を形成するトランジスター504および3D XPointメモリセル506)との間に形成され得る。
方法900は、図9に図示されているように、動作918に進み、動作918では、第1の基板または第2の基板は、半導体層を形成するために薄くされる。いくつかの実施形態において、第1の半導体構造体の第1の基板は、ボンディングの後に、第2の半導体構造体の第2の基板の上方にあり、第1の半導体構造体の第1の基板は、半導体層を形成するために薄くされている。いくつかの実施形態において、第2の半導体構造体の第2の基板は、ボンディングの後に、第1の半導体構造体の第1の基板の上方にあり、第2の半導体構造体の第2の基板は、半導体層を形成するために薄くされている。
図7Bに図示されているように、接合された3Dメモリデバイス(たとえば、図7Aに示されているようなシリコン基板602)の上部における基板は薄くされており、薄くされた上部基板が、半導体層704(たとえば、単結晶シリコン層)としての役割を果たすことができるようになっている。シリコン基板602は、それに限定されないが、ウェハ研削、ドライエッチング、ウェットエッチング、CMP、任意の他の適切なプロセス、または、それらの任意の組合せを含む、プロセスによって薄くされ得る。1つの例において、薄くされた基板の厚さは、たとえばエッチングとCMPプロセスの組合せを使用して、約1μmから約20μmの間など(たとえば、1μm、2μm、3μm、4μm、5μm、6μm、7μm、8μm、9μm、10μm、15μm、20μm、これらの値のいずれかによる下限によって境界付けられる任意の範囲、または、これらの値のうちの任意の2つによって定められる任意の範囲)、約1μmから約20μmの間であり得る。いくつかの実施形態において、追加のエッチングプロセスをさらに適用することで、薄くされた基板の厚さは、たとえば1ミクロン未満の範囲といった、1μm未満へとさらに小さくされてもよいことは理解される。シリコン基板502が、接合された3Dメモリデバイスの上部における基板であるときには、別の半導体層が、シリコン基板502を薄くすることによって形成され得るということが理解される。
方法900は、図9に図示されているように、動作920に進み、動作920では、パッドアウト相互接続層が、半導体層の上方に形成される。図7Bに図示されているように、パッドアウト相互接続層706は、半導体層704(薄くされた上部基板)の上方に形成されている。パッドアウト相互接続層706は、1つまたは複数のILD層の中に形成された相互接続部(たとえば、パッドコンタクト708など)を含むことが可能である。パッドコンタクト708は、それに限定されないが、W、Co、Cu、Al、ドープトシリコン、シリサイド、または、それらの任意の組合せを含む、導電性材料を含むことが可能である。ILD層は、それに限定されないが、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、低k誘電体、または、それらの任意の組合せを含む、誘電材料を含むことが可能である。いくつかの実施形態において、接合することおよび薄くすることの後に、コンタクト710は、たとえば、ウェット/ドライエッチング(導電性材料を堆積させることがそれに続く)によって、半導体層704を通って垂直方向に延在するように形成される。コンタクト710は、パッドアウト相互接続層706の中の相互接続部と接触していることが可能である。
図8は、いくつかの実施形態による、3D PCM806を伴う3Dメモリデバイス800の例示的な動作を示す。図10は、いくつかの実施形態による、3D PCMを伴う3Dメモリデバイスを動作させるための例示的な方法1000の流れ図である。図8に示されている3Dメモリデバイス800の例は、図3に示されている3Dメモリデバイス300、および、図4に示されている3Dメモリデバイス400を含む。図8および図10は、一緒に説明されることとなる。方法1000に示されている動作は、網羅的でないということ、ならびに、他の動作は、図示されている動作のいずれかの前に、後に、またはそれらの間に同様に実施され得るということが理解される。さらに、動作のうちのいくつかは、同時に、または、図10に示されているものとは異なる順序で実施され得る。図8に図示されているように、3Dメモリデバイス800は、I/O回路804(たとえば、周辺回路の一部)と、3D PCMセルのアレイを有する3D PCM806と、3D NANDメモリストリングのアレイを有する3D NANDメモリ808とを含む。いくつかの実施形態において、3D PCMセルのアレイは、3D XPointメモリセルのアレイを含む。I/O回路804、3D PCM806、および3D NANDメモリ808は、詳細に上記に説明されているのと同じチップの中に形成され得る。
図10を参照すると、方法1000は、動作1002において開始し、動作1002では、データが入力/出力回路を通して3D PCMセルのアレイへと転送される。図8に示されているように、ホスト802によって発生させられる任意の適切な種類のデータが、I/O回路804を通して3Dメモリデバイス800の3D PCM806に転送され得る。ホスト802は、1つまたは複数のプロセッサーなど、データを発生させる任意の適切なデバイスであり得る。いくつかの実施形態において、ホスト802は、中央処理装置(CPU)、グラフィックプロセッサー(たとえば、グラフィック処理装置(GPU))、アプリケーションプロセッサー(AP)、汎用プロセッサー(たとえば、APU、Accelerated Processing Unit; GPGPU、General-Purpose computing on GPU)、または任意の他の適切なプロセッサーを含む。I/O回路804は、周辺回路の一部としての高速でハイスループットのI/O回路であり得る。ホスト802および3Dメモリデバイス800は、たとえば、仮想現実(VR)/拡張現実(AR)デバイス(たとえば、VRヘッドセットなど)、携帯デバイス(たとえば、ダム端末またはスマートフォン、タブレットなど)、ウェアラブルデバイス(たとえば、眼鏡、腕時計など)、自動車制御ステーション、ゲームコンソール、テレビセット、ラップトップコンピューター、デスクトップコンピューター、ノートブックコンピューター、メディアセンター、セットトップボックス、グローバルポジショニングシステム(GPS)、印刷装置、または任意の他の適切なデバイスといった、任意の適切な装置の一部であり得る。
方法1000は、図10に図示されているように、動作1004に進み、動作1004では、データが3D PCMセルのアレイの中にバッファリングされる。図8に図示されているように、3D PCM806は、I/O回路804を通してホスト802から転送されたデータをバッファリングするための3Dメモリデバイス800の一体化された高速のオンチップ不揮発性バッファーとして作動することが可能である。
方法1000は、図10に図示されているように、動作1006に進み、動作1006では、データは、3D PCMセルのアレイから3D NANDメモリストリングのアレイの中に記憶される。図8に図示されているように、3D NANDメモリ808では、3D PCM806の中でバッファリングされたデータが3D NANDメモリ808において記憶され得る。いくつかの実施形態において、データは3D PCM806の中でバッファリングされ、並行して3D NANDメモリ808の中に記憶される。いくつかの実施形態において、データは、3D PCM806の中にバッファリングされることなくI/O回路804から3D NANDメモリ808へと直接的に送信される。いくつかの実施形態において、データの一部は3D PCM806の中にバッファリングされるが、データの一部は3D NANDメモリ808の中に記憶される。たとえば、頻繁にアクセスされるデータは、高速のアクサスが可能とされたランダムアクセスを伴う3D PCM806(たとえば、より速い3D XPointメモリ)の中にバッファリングされ得る一方で、あまり使用されないデータは、より大きな密度/容量のストレージのために3D NANDメモリ808の中に記憶され得る。
ホスト802の瞬時オンの特徴は、パワーオンまたはパワーオフのとき、高速の3D XPointメモリなどでデータを読み取りおよび3D PCM806へと保存することで可能とされ得る。いくつかの実施形態において、ホスト802および/または3Dメモリデバイス800のパワーオフに応じて、使用者データおよび/または動作システムデータのスナップショットが、揮発性メインメモリから3D PCM806へとすぐに保存され、パワーオフの後でも保持され得る。ホスト802および/または3Dメモリデバイス800のパワーオンに応じて、3D PCM806に記憶された使用者データおよび/または動作システムデータのスナップショットは、揮発性メインメモリへとすぐに戻すように転送させることができ、パワーオフの前のホスト802の最後の状態を回復する。
方法1000は、図10に図示されているように、動作1008に進み、動作1008では、データは、複数のボンディングコンタクトを通して3D NANDメモリストリングのアレイと3D PCMセルのアレイとの間で転送される。いくつかの実施形態において、転送は、3Dメモリデバイスのパワーオンまたはパワーオフに応じて起動される。図8に図示されているように、データは、詳細に上記に説明されているように、複数のボンディングコンタクトによる直接的な電気接続を通して、3D PCM806と3D NANDメモリ808との間で転送され得、それは、従来のオンボードのチップ-ツー-チップデータバスと比較して、短縮された距離、より高いスループット、およびより低いパワー消費を有している。
本開示の1つの態様によれば、3Dメモリデバイスは、周辺回路と、3D PCMセルのアレイと、複数の第1のボンディングコンタクトを含む第1のボンディング層とを含む第1の半導体構造体を含む。3Dメモリデバイスは、3D NANDメモリストリングのアレイと、複数の第2のボンディングコンタクトを含む第2のボンディング層とを含む第2の半導体構造体もさらに含む。3Dメモリデバイスは、第1のボンディング層と第2のボンディング層との間にボンディングインターフェースをさらに含む。第1のボンディングコンタクトは、ボンディングインターフェースにおいて、第2のボンディングコンタクトと接触している。
いくつかの実施形態において、第1の半導体構造体は、基板と、基板の上の周辺回路と、周辺回路の上方の3D PCMセルのアレイと、3D PCMセルのアレイの上方の第1のボンディング層とを含む。
いくつかの実施形態において、第2の半導体構造体は、第1のボンディング層の上方の第2のボンディング層と、第2のボンディング層の上方のメモリスタックと、メモリスタックを通って垂直方向に延在する3D NANDメモリストリングのアレイと、3D NANDメモリストリングのアレイの上方にあり、3D NANDメモリストリングのアレイと接触している半導体層とを含む。いくつかの実施形態において、3Dメモリデバイスは、半導体層の上方にパッドアウト相互接続層をさらに含む。
いくつかの実施形態において、半導体層は、ポリシリコンを含む。いくつかの実施形態において、半導体層は、単結晶シリコンを含む。
いくつかの実施形態において、第2の半導体構造体は、基板と、基板の上方のメモリスタックと、メモリスタックを通って垂直方向に延在する3D NANDメモリストリングのアレイと、メモリスタックおよび3D NANDメモリストリングのアレイの上方の第2のボンディング層とを含む。
いくつかの実施形態において、第1の半導体構造体は、第2のボンディング層の上方の第1のボンディング層と、第1のボンディング層の上方の3D PCMセルのアレイと、3D PCMセルのアレイの上方の周辺回路と、周辺回路の上方にあり、周辺回路と接触している半導体層とを含む。いくつかの実施形態において、3Dメモリデバイスは、半導体層の上方にパッドアウト相互接続層をさらに含む。
いくつかの実施形態において、周辺回路は、NANDメモリストリングのアレイの周辺回路と、3D PCMセルのアレイの周辺回路とを含む。
いくつかの実施形態において、各々の3D PCMセルは、3D XPointメモリセルを含む。
いくつかの実施形態において、第1の半導体構造体は、垂直方向に第1のボンディング層と3D PCMセルのアレイとの間に第1の相互接続層を含み、第2の半導体構造体は、垂直方向に第2のボンディング層と3D NANDメモリストリングのアレイとの間に第2の相互接続層を含む。
いくつかの実施形態において、3D PCMセルのアレイは、第1および第2の相互接続層ならびに第1および第2のボンディングコンタクトを通して、3D NANDメモリストリングのアレイに電気的に接続されている。
本開示の別の態様によれば、3Dメモリデバイスを形成するための方法が開示されている。第1の半導体構造体が形成される。第1の半導体構造体は、周辺回路と、3D PCMセルのアレイと、複数の第1のボンディングコンタクトを含む第1のボンディング層とを含む。第2の半導体構造体が形成される。第2の半導体構造体は、3D NANDメモリストリングのアレイと、複数の第2のボンディングコンタクトを含む第2のボンディング層とを含む。第1の半導体構造体および第2の半導体構造体は、第1のボンディングコンタクトがボンディングインターフェースにおいて第2のボンディングコンタクトと接触するように、向かい合った様式で接合される。
いくつかの実施形態において、第1の半導体構造体を形成するために、周辺回路が第1の基板の上に形成され、3D PCMセルのアレイが周辺回路の上方に形成され、第1の相互接続層が3D PCMセルのアレイの上方に形成され、第1のボンディング層は第1の相互接続層の上方に形成される。
いくつかの実施形態において、3D PCMセルのアレイを形成するために、3D XPointメモリセルのアレイが形成される。
いくつかの実施形態において、第2の半導体構造体を形成するために、メモリスタックが、第2の基板の上方に形成され、メモリスタックを通って垂直方向に延在する3D NANDメモリストリングのアレイが形成され、第2の相互接続層が、3D NANDメモリストリングのアレイの上方に形成され、第2のボンディング層が、第2の相互接続層の上方に形成される。
いくつかの実施形態において、第2の半導体構造体は、接合するステップの後に、第1の半導体構造体の上方にある。いくつかの実施形態において、第2の基板は、接合するステップの後に半導体層を形成するために薄くされ、パッドアウト相互接続層が、半導体層の上方に形成される。
いくつかの実施形態において、第1の半導体構造体は、接合するステップの後に、第2の半導体構造体の上方にある。いくつかの実施形態において、第1の基板は、接合するステップの後に半導体層を形成するために薄くされ、パッドアウト相互接続層が、半導体層の上方に形成される。
いくつかの実施形態において、接合するステップは、ハイブリッドボンディングを含む。
本開示のさらなる別の態様によれば、3Dメモリデバイスを動作させるための方法が開示されている。3Dメモリデバイスは、入力/出力回路と、3D PCMセルのアレイと、3D NANDメモリストリングのアレイとを同じチップに含む。データが、入力/出力回路を通して、3D PCMセルのアレイへと転送される。データは、3D PCMセルのアレイにおいてバッファリングされる。データは、3D PCMセルのアレイから3D NANDメモリストリングのアレイにおいて記憶される。
いくつかの実施形態において、データは、複数のボンディングコンタクトを通して3D NANDメモリストリングのアレイと3D PCMセルのアレイとの間で転送される。
いくつかの実施形態において、転送は、3Dメモリデバイスのパワーオンまたはパワーオフに応じて起動される。
いくつかの実施形態において、3D PCMセルのアレイは、3D XPointメモリセルのアレイを含む。
したがって、特定の実施形態の先述の説明は、他の人が、当業者の範囲内の知識を適用することによって、本開示の一般的な概念から逸脱することなく、過度の実験なしに、さまざまな用途に関して、そのような特定の実施形態を容易に修正および/または適合させることができる本開示の一般的な性質を明らかにすることとなる。したがって、そのような適合および修正は、本明細書に提示されている教示および指針に基づいて、開示されている実施形態の均等物の意味および範囲の中にあることを意図している。本明細書での言い回しまたは専門用語は、説明の目的のためのものであり、限定ではなく、本明細書の専門用語または言い回しは、教示および指針に照らして当業者によって解釈されることとなるようになっているということが理解されるべきである。
本開示の実施形態は、特定の機能およびその関係の実装を図示する機能的なビルディングブロックの助けを借りて上記に説明されてきた。これらの機能的なビルディングブロックの境界は、説明の便宜上、本明細書では任意に定義されている。特定の機能およびその関係が適当に実施される限りにおいて、代替的な境界が定義され得る。
概要および要約のセクションは、本発明者によって企図される本開示の1つまたは複数の(しかし、すべてではない)例示的な実施形態を記載している可能性があり、したがって、決して本開示および添付の特許請求の範囲を限定することを意図していない。
本開示の幅および範囲は、上記に説明された例示的な実施形態のいずれによっても限定されるべきではなく、以下の特許請求の範囲およびその均等物のみにしたがって定義されるべきである。
100、101 メモリデバイス
102 第1の半導体構造体
104 第2の半導体構造体
106 ボンディングインターフェース
200 半導体構造体
202 ワードラインドライバー
204 ページバッファー
206 3D PCM
300 3Dメモリデバイス
302 第1の半導体構造体
304 第2の半導体構造体
306 ボンディングインターフェース
308 基板
310 デバイス層
312 高速ロジックトランジスター
314 センシング&コントローラー回路
316 トランジスター
318 3D XPointメモリセル
322 相互接続層
324 ボンディング層
326 ボンディングコンタクト
328 ボンディング層
330 ボンディングコンタクト
332 相互接続層
333 メモリスタック
334 導体層
336 誘電体層
338 3D NANDメモリストリング
340 メモリフィルム
342 半導体チャネル
344 プラグ
346 プラグ
348 半導体層
350 パッドアウト相互接続層
352 接触パッド
354 コンタクト
360 ワードライン
362 ビットライン
364 メモリエレメント
400 3Dメモリデバイス
402 第1の半導体構造体
404 第2の半導体構造体
406 ボンディングインターフェース
408 基板
410 メモリスタック
412 導体層
414 誘電体層
416 3D NANDメモリストリング
418 メモリフィルム
420 半導体チャネル層
422 プラグ
424 プラグ
426 相互接続層
428 ボンディング層
430 ボンディングコンタクト
432 ボンディング層
434 ボンディングコンタクト
436 相互接続層
438 デバイス層
440 半導体層
442、444 周辺回路
446 トランジスター
448 3D XPointメモリセル
452 パッドアウト相互接続層
454 接触パッド
456 コンタクト
460 ワードライン
462 ビットライン
464 メモリエレメント
502 シリコン基板
504 トランジスター
506 3D XPointメモリセル
507 ワードライン
508 ビットライン
509 メモリエレメント
510 デバイス層
512 相互接続層
514 ボンディング層
516 ボンディングコンタクト
602 シリコン基板
604 メモリスタック
606 導体層
608 誘電体層
610 3D NANDメモリストリング
612 プラグ
614 メモリフィルム
616 半導体層
618 プラグ
620 相互接続層
622 ボンディング層
624 ボンディングコンタクト
702 ボンディングインターフェース
704 半導体層
706 パッドアウト相互接続層
708 パッドコンタクト
710 コンタクト
800 3Dメモリデバイス
802 ホスト
804 I/O回路
806 3D PCM
808 3D NANDメモリ

Claims (26)

  1. 3次元(3D)メモリデバイスであって、
    周辺回路、3D相変化メモリ(PCM)セルのアレイ、および、複数の第1のボンディングコンタクトを含む第1のボンディング層を含む第1の半導体構造体と、
    3D NANDメモリストリングのアレイ、および、複数の第2のボンディングコンタクトを含む第2のボンディング層を含む第2の半導体構造体と、
    前記第1のボンディング層と前記第2のボンディング層との間のボンディングインターフェースであって、前記第1のボンディングコンタクトは前記ボンディングインターフェースにおいて前記第2のボンディングコンタクトと接触している、ボンディングインターフェースと
    を含む、3Dメモリデバイス。
  2. 前記第1の半導体構造体は、
    基板と、
    前記基板の上の前記周辺回路と、
    前記周辺回路の上方の前記3D PCMセルのアレイと、
    前記3D PCMセルのアレイの上方の前記第1のボンディング層と
    を含む、請求項1に記載の3Dメモリデバイス。
  3. 前記第2の半導体構造体は、
    前記第1のボンディング層の上方の前記第2のボンディング層と、
    前記第2のボンディング層の上方のメモリスタックと、
    前記メモリスタックを通って垂直方向に延在する前記3D NANDメモリストリングのアレイと、
    前記3D NANDメモリストリングのアレイの上方にあり、前記3D NANDメモリストリングのアレイと接触している半導体層と
    を含む、請求項2に記載の3Dメモリデバイス。
  4. 前記半導体層の上方にパッドアウト相互接続層をさらに含む、請求項3に記載の3Dメモリデバイス。
  5. 前記半導体層は、ポリシリコンを含む、請求項3または4に記載の3Dメモリデバイス。
  6. 前記半導体層は、単結晶シリコンを含む、請求項3または4に記載の3Dメモリデバイス。
  7. 前記第2の半導体構造体は、
    基板と、
    前記基板の上方のメモリスタックと、
    前記メモリスタックを通って垂直方向に延在する前記3D NANDメモリストリングのアレイと、
    前記メモリスタックおよび前記3D NANDメモリストリングのアレイの上方の前記第2のボンディング層と
    を含む、請求項1に記載の3Dメモリデバイス。
  8. 前記第1の半導体構造体は、
    前記第2のボンディング層の上方の前記第1のボンディング層と、
    前記第1のボンディング層の上方の前記3D PCMセルのアレイと、
    前記3D PCMセルのアレイの上方の前記周辺回路と、
    前記周辺回路の上方にあり、前記周辺回路と接触している半導体層と
    を含む、請求項7に記載の3Dメモリデバイス。
  9. 前記半導体層の上方にパッドアウト相互接続層をさらに含む、請求項8に記載の3Dメモリデバイス。
  10. 前記周辺回路は、前記NANDメモリストリングのアレイの周辺回路と、前記3D PCMセルのアレイの周辺回路とを含む、請求項1に記載の3Dメモリデバイス。
  11. 各々の3D PCMセルは3D XPointメモリセルを含む、請求項1から10のいずれか一項に記載の3Dメモリデバイス。
  12. 前記第1の半導体構造体は、垂直方向に前記第1のボンディング層と前記3D PCMセルのアレイとの間に第1の相互接続層を含み、前記第2の半導体構造体は、垂直方向に前記第2のボンディング層と前記3D NANDメモリストリングのアレイとの間に第2の相互接続層を含む、請求項1から11のいずれか一項に記載の3Dメモリデバイス。
  13. 前記3D PCMセルのアレイは、前記第1および第2の相互接続層ならびに前記第1および第2のボンディングコンタクトを通して、前記3D NANDメモリストリングのアレイに電気的に接続されている、請求項12に記載の3Dメモリデバイス。
  14. 3次元(3D)メモリデバイスを形成するための方法であって、
    第1の半導体構造体を形成するステップであって、前記第1の半導体構造体は、周辺回路、3D相変化メモリ(PCM)セルのアレイ、および、複数の第1のボンディングコンタクトを含む第1のボンディング層を含む、ステップと、
    第2の半導体構造体を形成するステップであって、前記第2の半導体構造体は、3D NANDメモリストリングのアレイ、および、複数の第2のボンディングコンタクトを含む第2のボンディング層を含む、ステップと、
    前記第1のボンディングコンタクトがボンディングインターフェースにおいて前記第2のボンディングコンタクトと接触するように、前記第1の半導体構造体および前記第2の半導体構造体を向かい合った様式で接合するステップと
    を含む、方法。
  15. 前記第1の半導体構造体を形成するステップは、
    第1の基板の上に前記周辺回路を形成するステップと、
    前記周辺回路の上方に前記3D PCMセルのアレイを形成するステップと、
    前記3D PCMセルのアレイの上方に第1の相互接続層を形成するステップと、
    前記第1の相互接続層の上方に前記第1のボンディング層を形成するステップと
    を含む、請求項14に記載の方法。
  16. 前記3D PCMセルのアレイを形成するステップは、3D XPointメモリセルのアレイを形成するステップを含む、請求項15に記載の方法。
  17. 前記第2の半導体構造体を形成するステップは、
    第2の基板の上方にメモリスタックを形成するステップと、
    前記メモリスタックを通って垂直方向に延在する前記3D NANDメモリストリングのアレイを形成するステップと、
    前記3D NANDメモリストリングのアレイの上方に第2の相互接続層を形成するステップと、
    前記第2の相互接続層の上方に前記第2のボンディング層を形成するステップと
    を含む、請求項14から16のいずれか一項に記載の方法。
  18. 前記第2の半導体構造体は、前記接合するステップの後に、前記第1の半導体構造体の上方にある、請求項14から17のいずれか一項に記載の方法。
  19. 前記接合するステップの後に半導体層を形成するために前記第2の基板を薄くするステップと、
    前記半導体層の上方にパッドアウト相互接続層を形成するステップと
    をさらに含む、請求項18に記載の方法。
  20. 前記第1の半導体構造体は、前記接合するステップの後に、前記第2の半導体構造体の上方にある、請求項14から17のいずれか一項に記載の方法。
  21. 前記接合するステップの後に半導体層を形成するために前記第1の基板を薄くするステップと、
    前記半導体層の上方にパッドアウト相互接続層を形成するステップと
    をさらに含む、請求項20に記載の方法。
  22. 前記接合するステップは、ハイブリッドボンディングを含む、請求項14から21のいずれか一項に記載の方法。
  23. 入力/出力回路と、3次元(3D)相変化メモリ(PCM)セルのアレイと、3D NANDメモリストリングのアレイとを同じチップに含む3Dメモリデバイスを動作させるための方法であって、
    前記入力/出力回路を通して、前記3D PCMセルのアレイへとデータを転送するステップと、
    前記3D PCMセルのアレイにおいて前記データをバッファリングするステップと、
    前記3D PCMセルのアレイから前記3D NANDメモリストリングのアレイにおいて前記データを記憶するステップと
    を含む、方法。
  24. 複数のボンディングコンタクトを通して、前記3D NANDメモリストリングのアレイと前記3D PCMセルのアレイとの間で前記データを転送するステップをさらに含む、請求項23に記載の方法。
  25. 前記転送は、前記3Dメモリデバイスのパワーオンまたはパワーオフに応じて起動される、請求項23または24に記載の方法。
  26. 前記3D PCMセルのアレイは、3D XPointメモリセルのアレイを含む、請求項23から25のいずれか一項に記載の方法。
JP2021561775A 2019-04-30 2019-09-11 3次元相変化メモリを伴う3次元メモリデバイス Active JP7427022B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
CNPCT/CN2019/085237 2019-04-30
PCT/CN2019/085237 WO2020220280A1 (en) 2019-04-30 2019-04-30 Three-dimensional memory device with embedded dynamic random-access memory
PCT/CN2019/105312 WO2020220556A1 (en) 2019-04-30 2019-09-11 Three-dimensional memory device with three-dimensional phase-change memory

Publications (2)

Publication Number Publication Date
JP2022529165A true JP2022529165A (ja) 2022-06-17
JP7427022B2 JP7427022B2 (ja) 2024-02-02

Family

ID=69216613

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021561775A Active JP7427022B2 (ja) 2019-04-30 2019-09-11 3次元相変化メモリを伴う3次元メモリデバイス

Country Status (7)

Country Link
US (3) US10937766B2 (ja)
EP (1) EP3928353B1 (ja)
JP (1) JP7427022B2 (ja)
KR (1) KR20210141589A (ja)
CN (2) CN110720145B (ja)
TW (1) TWI704678B (ja)
WO (1) WO2020220556A1 (ja)

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11189635B2 (en) 2019-04-01 2021-11-30 Applied Materials, Inc. 3D-NAND mold
CN110720145B (zh) * 2019-04-30 2021-06-22 长江存储科技有限责任公司 具有三维相变存储器的三维存储设备
US11638377B2 (en) 2019-09-13 2023-04-25 Applied Materials, Inc. Self-aligned select gate cut for 3D NAND
US11237955B2 (en) * 2019-10-28 2022-02-01 Samsung Electronics Co., Ltd. Memory device, method of operating memory device, and computer system including memory device
KR20210050635A (ko) 2019-10-28 2021-05-10 삼성전자주식회사 메모리 장치, 및 이를 포함하는 컴퓨터 시스템
JP7350096B2 (ja) * 2019-11-05 2023-09-25 長江存儲科技有限責任公司 結合された3次元メモリデバイスおよびそれを形成するための方法
US11587796B2 (en) 2020-01-23 2023-02-21 Applied Materials, Inc. 3D-NAND memory cell structure
US11538762B2 (en) * 2020-01-24 2022-12-27 Micron Technology, Inc. Methods for making double-sided semiconductor devices and related devices, assemblies, packages and systems
US11527545B2 (en) 2020-02-12 2022-12-13 Tokyo Electron Limited Architecture design and process for 3D logic and 3D memory
US11282828B2 (en) 2020-02-20 2022-03-22 Tokyo Electron Limited High density architecture design for 3D logic and 3D memory circuits
JP2021145053A (ja) * 2020-03-12 2021-09-24 キオクシア株式会社 半導体記憶装置
US11930637B2 (en) 2020-06-19 2024-03-12 Applied Materials, Inc. Confined charge trap layer
CN111969106A (zh) * 2020-08-17 2020-11-20 长江存储科技有限责任公司 一种相变存储器件及其制造方法
CN112385038B (zh) * 2020-10-13 2023-04-21 长江先进存储产业创新中心有限责任公司 通过xtacking形成的用以提高存储器阵列效率并且实现缩放的新型3d交叉点存储器结构
CN112436027B (zh) * 2020-11-23 2024-07-23 长江先进存储产业创新中心有限责任公司 半导体结构及其制造方法
CN113224070B (zh) * 2021-05-06 2024-04-26 长江先进存储产业创新中心有限责任公司 半导体器件及其制备方法
CN113224071B (zh) * 2021-05-06 2024-04-19 长江先进存储产业创新中心有限责任公司 半导体器件及其制备方法
CN113223973A (zh) * 2021-05-06 2021-08-06 长江先进存储产业创新中心有限责任公司 半导体器件及其制备方法
CN113206099B (zh) * 2021-05-06 2024-05-28 长江先进存储产业创新中心有限责任公司 半导体器件及其制备方法
CN115623878A (zh) * 2021-05-12 2023-01-17 长江存储科技有限责任公司 具有三维晶体管的存储器***电路及其形成方法
WO2022236944A1 (en) * 2021-05-12 2022-11-17 Yangtze Memory Technologies Co., Ltd. Memory peripheral circuit having three-dimensional transistors and method for forming the same
US11862628B2 (en) * 2021-05-20 2024-01-02 Micron Technology, Inc. Transistor configurations for multi-deck memory devices
CN113345487B (zh) * 2021-06-04 2024-06-07 长江先进存储产业创新中心有限责任公司 存储器、存储器***及存储器的制造方法
CN113437110B (zh) * 2021-06-08 2023-04-18 长江先进存储产业创新中心有限责任公司 相变存储***及其制造方法
CN115803882A (zh) 2021-06-30 2023-03-14 长江存储科技有限责任公司 三维存储器装置及其形成方法
WO2023272592A1 (en) 2021-06-30 2023-01-05 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory devices and methods for forming the same
CN115836387A (zh) 2021-06-30 2023-03-21 长江存储科技有限责任公司 三维存储器装置及其形成方法
CN116018889A (zh) * 2021-06-30 2023-04-25 长江存储科技有限责任公司 三维存储器装置及其形成方法
CN115867970A (zh) * 2021-06-30 2023-03-28 长江存储科技有限责任公司 三维存储器装置及其形成方法
KR20230030344A (ko) * 2021-08-25 2023-03-06 삼성전자주식회사 웨이퍼-투-웨이퍼 본딩을 이용하는 3차원 스토리지 장치
JP2024512941A (ja) * 2021-08-31 2024-03-21 長江存儲科技有限責任公司 三次元メモリデバイスおよびその形成方法
CN116075928A (zh) * 2021-08-31 2023-05-05 长江存储科技有限责任公司 具有垂直晶体管的存储器器件及其形成方法
WO2023028829A1 (en) 2021-08-31 2023-03-09 Yangtze Memory Technologies Co., Ltd. Memory devices having vertical transistors and methods for forming the same
CN113892175A (zh) * 2021-08-31 2022-01-04 长江存储科技有限责任公司 三维存储器件及其形成方法
KR20230038368A (ko) * 2021-09-10 2023-03-20 삼성전자주식회사 반도체 장치 및 이를 포함하는 전자 시스템
CN114067879A (zh) * 2021-10-14 2022-02-18 西安紫光国芯半导体有限公司 3d非易失性存储装置及其读数据方法、写数据方法
WO2023108406A1 (en) * 2021-12-14 2023-06-22 Yangtze Advanced Memory Industrial Innovation Center Co., Ltd Memory device and layout of the same
US20230284443A1 (en) * 2022-03-02 2023-09-07 Sandisk Technologies Llc Three-dimensional memory device containing a pillar contact between channel and source and methods of making the same
WO2024098376A1 (en) * 2022-11-11 2024-05-16 Yangtze Advanced Memory Industrial Innovation Center Co., Ltd Three-dimensional phase-change memory and methods
WO2024129760A1 (en) * 2022-12-15 2024-06-20 Murata Manufacturing Co., Ltd. 3-d ic structures including standalone pcm switch layers

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001274355A (ja) * 2000-01-18 2001-10-05 Hitachi Ltd 半導体記憶装置及びデータ処理装置
WO2004100266A1 (ja) * 2003-05-09 2004-11-18 Matsushita Electric Industrial Co., Ltd. 不揮発性メモリおよびその製造方法
JP2007172826A (ja) * 2001-07-17 2007-07-05 Sanyo Electric Co Ltd 半導体メモリ装置
JP2009283498A (ja) * 2008-05-19 2009-12-03 Toshiba Corp 不揮発性記憶装置及びその製造方法
JP2011049561A (ja) * 2009-08-26 2011-03-10 Samsung Electronics Co Ltd 3次元メモリセルアレイを含む半導体メモリ素子及びその製造方法
US20130208542A1 (en) * 2012-02-10 2013-08-15 Samsung Electronics Co., Ltd. Embedded solid state disk as a controller of a solid state disk
US20150340366A1 (en) * 2014-05-21 2015-11-26 Joon-Sung LIM Semiconductor devices including a peripheral circuit region and first and second memory regions, and related programming methods
JP2016062901A (ja) * 2014-09-12 2016-04-25 株式会社東芝 半導体記憶装置及びその製造方法
JP2019057532A (ja) * 2017-09-19 2019-04-11 東芝メモリ株式会社 半導体メモリ

Family Cites Families (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6653730B2 (en) * 2000-12-14 2003-11-25 Intel Corporation Electronic assembly with high capacity thermal interface
JP2008078404A (ja) * 2006-09-21 2008-04-03 Toshiba Corp 半導体メモリ及びその製造方法
CN101232037A (zh) * 2008-02-26 2008-07-30 中国科学院上海微***与信息技术研究所 多层次相变存储阵列与下层***电路互连的方法
JP5322533B2 (ja) * 2008-08-13 2013-10-23 株式会社東芝 不揮発性半導体記憶装置、及びその製造方法
JP2010098067A (ja) * 2008-10-15 2010-04-30 Toshiba Corp 半導体装置
JP5259369B2 (ja) * 2008-12-16 2013-08-07 ルネサスエレクトロニクス株式会社 半導体装置及びその製造方法
US9123409B2 (en) * 2009-06-11 2015-09-01 Micron Technology, Inc. Memory device for a hierarchical memory architecture
US20120193785A1 (en) * 2011-02-01 2012-08-02 Megica Corporation Multichip Packages
US9432298B1 (en) * 2011-12-09 2016-08-30 P4tents1, LLC System, method, and computer program product for improving memory systems
US8970028B2 (en) * 2011-12-29 2015-03-03 Invensas Corporation Embedded heat spreader for package with multiple microelectronic elements and face-down connection
JP5758744B2 (ja) * 2011-08-25 2015-08-05 株式会社日立製作所 相変化メモリ
US8610260B2 (en) * 2011-10-03 2013-12-17 Invensas Corporation Stub minimization for assemblies without wirebonds to package substrate
US9202536B2 (en) * 2012-03-26 2015-12-01 Intel Corporation Three dimensional memory control circuitry
US8922243B2 (en) * 2012-12-23 2014-12-30 Advanced Micro Devices, Inc. Die-stacked memory device with reconfigurable logic
US8963335B2 (en) * 2012-09-13 2015-02-24 Invensas Corporation Tunable composite interposer
US8860229B1 (en) * 2013-07-16 2014-10-14 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bonding with through substrate via (TSV)
KR102092776B1 (ko) * 2013-11-20 2020-03-24 에스케이하이닉스 주식회사 전자 장치
US9147672B1 (en) * 2014-05-08 2015-09-29 Macronix International Co., Ltd. Three-dimensional multiple chip packages including multiple chip stacks
KR102311084B1 (ko) * 2014-08-07 2021-10-12 인텔 코포레이션 패시브 평면형 디바이스를 갖는 rf 회로 장치 및 패시브 평면형 디바이스를 갖는 rf 회로 시스템
US20180374864A1 (en) * 2014-09-12 2018-12-27 Toshiba Memory Corporation Semiconductor memory device
US10418369B2 (en) * 2015-10-24 2019-09-17 Monolithic 3D Inc. Multi-level semiconductor memory device and structure
WO2017087820A1 (en) * 2015-11-19 2017-05-26 Fu-Chang Hsu Methods and apparatus for a 3d array inside a substrate trench
CN105390501A (zh) * 2015-11-25 2016-03-09 上海新储集成电路有限公司 一种fpga芯片及其制作方法
US11017838B2 (en) * 2016-08-04 2021-05-25 Samsung Electronics Co., Ltd. Nonvolatile memory devices
US10181455B2 (en) * 2017-01-17 2019-01-15 Apple Inc. 3D thin profile pre-stacking architecture using reconstitution method
KR102036882B1 (ko) * 2017-06-07 2019-10-25 한양대학교 산학협력단 역 상 변화 특성을 갖는 상 변화 메모리 소자 및 이를 이용하여 고집적 3차원 아키텍처를 갖는 상 변화 메모리
KR102366798B1 (ko) * 2017-06-13 2022-02-25 삼성전자주식회사 반도체 소자
WO2019037403A1 (en) * 2017-08-21 2019-02-28 Yangtze Memory Technologies Co., Ltd. THREE-DIMENSIONAL STABLE MEMORY DEVICES AND METHODS OF FORMING THE SAME
CN107658315B (zh) * 2017-08-21 2019-05-14 长江存储科技有限责任公司 半导体装置及其制备方法
CN107665829B (zh) * 2017-08-24 2019-12-17 长江存储科技有限责任公司 晶圆混合键合中提高金属引线制程安全性的方法
US10943916B2 (en) * 2017-11-23 2021-03-09 Yangtze Memory Technologies Co., Ltd. Method for manufacturing three-dimensional memory structure
CN107946306A (zh) * 2017-11-23 2018-04-20 长江存储科技有限责任公司 三维存储结构制作方法、存储结构、存储器及电子设备
CN108063097A (zh) * 2017-12-19 2018-05-22 武汉新芯集成电路制造有限公司 一种三层芯片集成方法
WO2020034063A1 (en) * 2018-08-13 2020-02-20 Yangtze Memory Technologies Co., Ltd. Bonding contacts having capping layer and method for forming the same
CN109314117B (zh) * 2018-08-14 2019-08-30 长江存储科技有限责任公司 操作3d存储器件的方法
CN109148415B (zh) * 2018-08-28 2020-08-25 武汉新芯集成电路制造有限公司 多晶圆堆叠结构及其形成方法
US11121121B2 (en) * 2018-09-04 2021-09-14 Monolithic 3D Inc. 3D semiconductor device and structure
CN111211133B (zh) * 2018-09-10 2021-03-30 长江存储科技有限责任公司 使用梳状路由结构以减少金属线装载的存储器件
WO2020163984A1 (en) * 2019-02-11 2020-08-20 Yangtze Memory Technologies Co., Ltd. Bonded semiconductor structures having bonding contacts made of indiffusible conductive materials and methods for forming the same
CN111727503B (zh) * 2019-04-15 2021-04-16 长江存储科技有限责任公司 具有可编程逻辑器件和异构存储器的统一半导体器件及其形成方法
WO2020220280A1 (en) * 2019-04-30 2020-11-05 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory device with embedded dynamic random-access memory
CN110192269A (zh) * 2019-04-15 2019-08-30 长江存储科技有限责任公司 三维nand存储器件与多个功能芯片的集成
CN110720145B (zh) * 2019-04-30 2021-06-22 长江存储科技有限责任公司 具有三维相变存储器的三维存储设备
US11637056B2 (en) * 2019-09-20 2023-04-25 iCometrue Company Ltd. 3D chip package based on through-silicon-via interconnection elevator

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001274355A (ja) * 2000-01-18 2001-10-05 Hitachi Ltd 半導体記憶装置及びデータ処理装置
JP2007172826A (ja) * 2001-07-17 2007-07-05 Sanyo Electric Co Ltd 半導体メモリ装置
WO2004100266A1 (ja) * 2003-05-09 2004-11-18 Matsushita Electric Industrial Co., Ltd. 不揮発性メモリおよびその製造方法
JP2009283498A (ja) * 2008-05-19 2009-12-03 Toshiba Corp 不揮発性記憶装置及びその製造方法
JP2011049561A (ja) * 2009-08-26 2011-03-10 Samsung Electronics Co Ltd 3次元メモリセルアレイを含む半導体メモリ素子及びその製造方法
US20130208542A1 (en) * 2012-02-10 2013-08-15 Samsung Electronics Co., Ltd. Embedded solid state disk as a controller of a solid state disk
US20150340366A1 (en) * 2014-05-21 2015-11-26 Joon-Sung LIM Semiconductor devices including a peripheral circuit region and first and second memory regions, and related programming methods
JP2016062901A (ja) * 2014-09-12 2016-04-25 株式会社東芝 半導体記憶装置及びその製造方法
JP2019057532A (ja) * 2017-09-19 2019-04-11 東芝メモリ株式会社 半導体メモリ

Also Published As

Publication number Publication date
CN110720145B (zh) 2021-06-22
EP3928353A4 (en) 2022-10-19
CN113488505B (zh) 2022-09-30
WO2020220556A1 (en) 2020-11-05
US10937766B2 (en) 2021-03-02
CN113488505A (zh) 2021-10-08
US11133293B2 (en) 2021-09-28
TWI704678B (zh) 2020-09-11
EP3928353B1 (en) 2023-11-08
JP7427022B2 (ja) 2024-02-02
KR20210141589A (ko) 2021-11-23
US20200350287A1 (en) 2020-11-05
US11552056B2 (en) 2023-01-10
EP3928353A1 (en) 2021-12-29
TW202111926A (zh) 2021-03-16
US20210151413A1 (en) 2021-05-20
CN110720145A (zh) 2020-01-21
US20210391307A1 (en) 2021-12-16

Similar Documents

Publication Publication Date Title
JP7427022B2 (ja) 3次元相変化メモリを伴う3次元メモリデバイス
JP7328344B2 (ja) 三次元メモリデバイス
TWI715097B (zh) 具有靜態隨機存取記憶體的三維記憶體元件
JP7209857B2 (ja) スタックされた3次元異種メモリデバイス、および、それを形成するための方法
CN110720143B (zh) 具有处理器和nand闪存的键合半导体器件及其形成方法
JP7311615B2 (ja) プロセッサおよびnandフラッシュメモリを有する接合半導体デバイスならびにそれを形成する方法
WO2020220555A1 (en) Bonded semiconductor devices having processor and nand flash memory and methods for forming the same
TWI707453B (zh) 三維記憶裝置、用於操作三維記憶裝置上的緩衝單元的系統以及用於操作三維記憶裝置上的數據緩衝器的方法
TWI709139B (zh) 三維記憶體件中的記憶體內計算
JP2022519659A (ja) フラッシュメモリーコントローラーを有する結合されたメモリーデバイス、ならびに、その製作方法および動作方法
TWI713200B (zh) 具有靜態隨機存取記憶體的三維記憶體元件的快取程序操作
TW202101736A (zh) 三維記憶體件以及其形成方法與操作方法
JP2022529564A (ja) 半導体デバイス及び方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20211015

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20211015

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20221121

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230220

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20230619

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20231017

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20231025

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20231225

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20240123

R150 Certificate of patent or registration of utility model

Ref document number: 7427022

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150