JP2022518411A - 電界誘導フォトレジストパターン形成工程のためのフィルム構造 - Google Patents

電界誘導フォトレジストパターン形成工程のためのフィルム構造 Download PDF

Info

Publication number
JP2022518411A
JP2022518411A JP2021540445A JP2021540445A JP2022518411A JP 2022518411 A JP2022518411 A JP 2022518411A JP 2021540445 A JP2021540445 A JP 2021540445A JP 2021540445 A JP2021540445 A JP 2021540445A JP 2022518411 A JP2022518411 A JP 2022518411A
Authority
JP
Japan
Prior art keywords
substrate
photoresist layer
layer
lower layer
electrode
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2021540445A
Other languages
English (en)
Inventor
ホイシオン ダイ,
マンゲシュ バンガー,
クリストファー エス. ンガイ,
シュリーニヴァース ディー. ネマニ,
エリー ワイ. イー,
スティーブン ハイローング ウェルチ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2022518411A publication Critical patent/JP2022518411A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0752Silicon-containing compounds in non photosensitive layers or as additives, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/201Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by an oblique exposure; characterised by the use of plural sources; characterised by the rotation of the optical device; characterised by a relative movement of the optical device, the light source, the sensitive system or the mask
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • G03F7/203Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure comprising an imagewise exposure to electromagnetic radiation or corpuscular radiation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/164Coating processes; Apparatus therefor using electric, electrostatic or magnetic means; powder coating
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Electromagnetism (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

フォトリソグラフィによって形成される線の線縁/幅粗さを最小化するための方法及び装置が提供される。一実施例では、基板を処理する方法が、基板上に配置された多層上に光酸発生剤を含むフォトレジスト層を付加することを含み、多層は、有機材料、無機材料、又は有機材料と無機材料の混合物から形成された下層を含み、該方法は更に、リソグラフィ露光工程においてフォトマスクによって保護されていないフォトレジスト層の第1の部分を放射線光に露光すること、及び、電界又は磁界を印加して、光酸発生剤から生成された光酸の移動を、実質的に垂直方向に変化させることを含む。【選択図】図4

Description

[0001] 本開示は、広くは、基板を処理するための方法及び装置に関し、特に、フォトレジストプロファイル制御を向上させるための方法及び装置に関する。
[0002] 集積回路は、単一チップ上に数百万個もの構成要素(例えば、トランジスタ、コンデンサ、及び抵抗)が搭載され得る複雑なデバイスへと進化を遂げている。チップ上に構成要素を形成するには、フォトリソグラフィが使用され得る。概して、フォトリソグラフィの工程には、幾つかの基礎段階が含まれる。最初に、基板上にフォトレジスト層が形成される。このフォトレジスト層は、例えばスピンコーティングによって形成され得る。該フォトレジスト層は、レジスト樹脂及び光酸発生剤(photoacid generator)を含み得る。光酸発生剤は、その後の露光段階で電磁放射に露光されると、現像プロセスにおけるフォトレジストの溶解性を変化させる。電磁放射は、極紫外線領域内の波長などの、任意の好適な波長を有し得る。電磁放射は、例えば193nmのArFレーザー、電子ビーム、イオンビーム、又はその他のソースといった、任意の好適なソースからのものであってよい。次いで、露光前ベーク工程において、余剰な溶媒が除去され得る。
[0003] 露光段階では、基板上に配置されたフォトレジスト層の特定領域を、電磁放射に選択的に露光させるために、フォトマスク又はレチクルが使用され得る。その他の露光法は、マスクレス露光法であってよい。露光されると、光酸発生剤が分解し、これが酸を発生させ、レジスト樹脂内に潜在的な酸像(latent acid image)を生じることがある。露光後に、基板は、露光後ベーク工程において加熱され得る。露光後ベーク工程中に、光酸発生剤によって生成された酸は、フォトレジスト層内のレジスト樹脂と反応し、その後の現像プロセス中のフォトレジスト層のレジストの溶解性を変化させる。
[0004] 露光後ベーク後に、基板(特にフォトレジスト層)を現像し、リンスすることができる。次いで、現像及びリンス後、図1で示されているように、パターニングされたフォトレジスト層が基板上に形成される。図1は、エッチングされるターゲット材料102上に配置されたパターニングされたフォトレジスト層104を有する基板100の例示的な上面断面図を描いている。開口部106が、パターニングされたフォトレジスト層104の間に画定され、現像及びリンス工程後、下層のターゲット材料102を露出させてエッチングし、ターゲット材料102上に特徴を転写する。しかし、リソグラフィ露光工程の不正確な制御又は低解像度は、フォトレジスト層104の正確さに欠ける限界寸法を引き起こし、その結果、許容できない線幅粗さ(LWR)108を生じる可能性がある。更に、露光工程中に、光酸発生剤から生成された酸(図1で示されている)は、拡散されることを意図しないマスクの下に保護された領域を含む任意の領域にランダムに拡散し、したがって、開口部106と界面接続されたパターニングされたフォトレジスト層104の縁部又は界面に、望ましくないうねり(wigging)又は粗さプロファイル150を生成することがある。フォトレジスト層104の大きな線幅粗さ(LWR)108及び望ましくないうねりプロファイル150は、ターゲット材料102への不正確な特徴転写をもたらし、したがって、最終的にデバイス故障及び歩留まり損失をもたらし得る。
[0005] したがって、所望の限界寸法を有するパターニングされたフォトレジスト層を得るために、線幅粗さ(LWR)を制御し、線量感度と同様に解像度を高める方法と装置が必要である。
[0006] 本開示の実施形態は、露光工程又は露光前若しくは露光後ベーキング工程中にフォトレジスト層内の光酸発生剤からの酸の分布及び拡散を効率的に制御するためのフィルム構造を形成するための方法を含む。一実施例では、デバイス構造が、基板上に配置されたフィルム構造と、フィルム構造内に形成された複数の開口部とを含み、基板にわたり形成された開口部は、約1nm~2nmの限界寸法均一性を有する。
[0007] 別の一実施形態では、基板を処理する方法が、基板上に配置された多層上に光酸発生剤を含むフォトレジスト層を付加することを含み、その多層は、有機材料、無機材料、又は有機材料と無機材料との混合物から形成された下層を含み、該方法は更に、フォトマスクによって保護されていないフォトレジスト層の第1の部分を、リソグラフィ露光工程において放射線光に露光すること、及び、電界又は磁界を印加して、光酸発生剤から生成された光酸の移動を、実質的に垂直方向に変化させることを含む。
[0008] 更に別の一実施形態では、基板を処理する方法が、基板上に配置された下層上にフォトレジスト層を付加することと、フォトマスクによって保護されていないフォトレジスト層の第1の部分を、リソグラフィ露光工程において放射線光に露光することと、フォトレジスト層及び下層にベーキング工程を実行することと、ベーキング工程を実行しながら電界又は磁界を印加することとを含む。
[0009] 上述した本開示の特徴を詳細に理解できるように、一部が添付の図面に例示されている実施形態を参照しながら、上記に短く要約した本開示をより具体的に説明する。しかし、添付の図面は、本開示の典型的な実施形態のみを示し、したがって、本開示は、他の等しく有効な実施形態を認めることができるので、本開示の範囲を限定すると見なされるべきではないことに留意されたい。
[0010] 従来技術の基板上に配置されたパターニングされたフォトレジスト層の例示的な構造の上面図を描く。 [0011] 一実施形態による基板を処理するための装置の概略断面図である。 [0012] 図2の装置内に配置されている電極アセンブリの一実施形態の上面図である。 [0013] 露光工程中のフィルム構造上に配置されたフォトレジスト層の酸分布制御を描く。 [0014] 露光後ベーキング工程中の所望のプロファイルを有するフィルム構造上のフォトレジスト層の酸分布制御を描く。 [0015] 露光工程中のフォトレジスト層の酸分布を制御する1つの方法のフロー図である。
[0016] 理解を容易にするために、可能な場合には、複数の図に共通する同一の要素を指し示すのに同一の参照番号を使用した。加えて、一実施形態の要素は、本明細書で説明される他の実施形態における利用に有利に適合し得る。
[0017] フォトリソグラフィによって形成されたフォトレジスト層のプロファイル制御を向上させるための方法が提供される。線縁/幅粗さに寄与する露光後ベーク手順中に光酸発生剤によって生成される酸の拡散は、本明細書で開示されるように、フォトレジスト層の下に配置されるフィルム構造を利用することによって緩和され得る。電界印加は、フォトレジスト層の下のフィルム構造内に配置された下層と同様に、フォトレジスト層内で光酸発生剤によって生成された酸の拡散及び分布を制御し、したがって、ランダム拡散から生じる線縁/幅粗さを防止する。前述の酸の分布及び拡散を制御するために利用されるフォトレジスト層の下に配置されるフィルム構造を形成するための方法が、本明細書で開示される。
[0018] 図2は、一実施形態による基板を処理するための装置の概略断面図である。図2の実施形態で示されているように、該装置は、減圧処理チャンバ200の形態を採り得る。他の実施形態では、処理チャンバ200が、減圧源(vacuum source)に連結されていなくてよい。
[0019] 処理チャンバ200は、独立したスタンドアロン式の処理チャンバであってもよい。代替的に、処理チャンバ200は、必要に応じて、例えば、インライン処理システム、クラスタ処理システム、又はトラック処理システムなどの処理システムの部分であってもよい。処理チャンバ200は、以下で詳しく説明され、露光前ベーク、露光後ベーク、及び/又は他の処理のステップのために使用され得る。
[0020] 処理チャンバ200は、チャンバ壁202、電極アセンブリ216、及び基板支持アセンブリ238を含む。チャンバ壁202には、側壁206、リッドアセンブリ210、及び底部208が含まれる。チャンバ壁202は、部分的に処理空間212を取り囲む。処理空間212は、基板240の処理チャンバ200の内外への移動を容易にするように構成された基板移送ポート(図示せず)を通してアクセスされる。処理チャンバ200が処理システムの部分である実施形態では、基板移送ポートが、基板240の隣接する移送チャンバの内外への移送を可能にし得る。
[0021] 処理空間212を排気口に連結するために、処理チャンバ200のリッドアセンブリ210、側壁206、又は底部208のうちの1つを通して、ポンピングポート214が任意選択的に配置され得る。排気口は、ポンピングポート214を減圧ポンプといった様々な減圧ポンプ構成要素と連結する。ポンピング構成要素によって、処理空間212の圧力が低減され、任意のガス及び/又はプロセス副産物が処理チャンバ200から外に排出され得る。処理チャンバ200は、1以上のソース化合物(source compound)を処理空間212の中に供給するための1以上の供給源204に連結され得る。
[0022] 基板支持アセンブリ238は、処理チャンバ200内で中央に配置される。基板支持アセンブリ238は、処理中に基板240を支持する。基板支持アセンブリ238は、少なくとも1つの埋め込み式ヒータ232を封入する本体224を備えることができる。幾つかの実施形態では、基板支持アセンブリ238が、静電チャックであってよい。抵抗要素などのヒータ232は、基板支持アセンブリ238内に配置される。ヒータ232は、基板支持アセンブリ238及びその上に配置された基板240を所定温度まで制御可能に加熱する。ヒータ232は、基板240の温度を、迅速に一定の割合で上昇させ、基板240の温度を正確に制御するように構成される。幾つかの実施形態では、ヒータ232が電源274に接続され、電源274によって制御される。電源274は、代替的に又は追加的に、基板支持アセンブリ238に電力を印加し得る。電源274は、以下で説明される電源270と同様に構成され得る。更に、ヒータ232は、基板支持アセンブリ238上に配置された基板240に熱エネルギーを供給する必要に応じて、チャンバ壁、チャンバライナ、基板やチャンバ天井などに外接する縁部リングからなど、処理チャンバ200の他の位置から配置されてもよいことに留意されたい。
[0023] 幾つかの実施形態では、基板支持アセンブリ238が、回転するように構成されてもよい。幾つかの実施形態では、基板支持アセンブリ238が、z軸の周りで回転するように構成される。基板支持アセンブリ238は、連続的又は一定に回転するように構成されてもよいし、或いは、基板支持アセンブリ238は、ステップ状又はインデックス状(indexing manner)に回転するように構成されてもよい。例えば、基板支持アセンブリ238は、90度、180度、又は270度といった所定量で回転してよく、次いで、回転は所定量の時間だけ停止し得る。
[0024] 概して、基板支持アセンブリ238は、第1の表面234と第2の表面226とを有する。第1の表面234は、第2の表面226の反対側である。第1の表面234は、基板240を支持するように構成される。第2の表面226には、ステム242が連結されている。基板240は、誘電体基板、ガラス基板、半導体基板、又は導電性基板といった、任意の種類の基板であってよい。基板240は、その上に配置された材料層245を有し得る。材料層245は、任意の所望の層であってよい。他の実施形態では、基板240が、2以上の材料層245を有し得る。基板240はまた、材料層245の上に配置されたフォトレジスト層250も有する。基板240は、フォトリソグラフィ工程の露光段階において、既に電磁放射に露光されている。フォトレジスト層250は、露光段階から内部に形成された潜像線(latent image line)255を有する。潜像線255は、実質的に平行であり得る。他の実施形態では、潜像線255が、実質的に平行でなくてもよい。また図示されているように、基板支持アセンブリ238の第1の表面234は、z方向に距離dだけ電極アセンブリ216から分離されている。ステム242は、基板支持アセンブリ238を、上昇した処理位置(図示されているような)と下降した基板移送位置との間で移動させるためのリフトシステム(図示せず)に連結される。リフトシステムは、基板240の位置をz方向に正確且つ厳密に制御し得る。幾つかの実施形態では、リフトシステムがまた、基板240をx方向、y方向、又はx方向及びy方向に移動させるようにも構成され得る。ステム242は、追加的に、基板支持アセンブリ238と処理チャンバ200の他の構成要素との間の電気及び熱電対の導線用の導管を提供する。処理空間212と処理チャンバ200外側の大気との間に減圧シールを設け、基板支持アセンブリ238のz方向の移動を容易にするために、ベローズ246が基板支持アセンブリ238に連結される。
[0025] 任意選択的に、リッドアセンブリ210は入口280を含み、供給源204により供給されたガスは、入口280を通って処理チャンバ200に入り得る。任意選択的に、供給源204は、窒素、アルゴン、ヘリウム、その他のガス、又はそれらの組み合わせといったガスを用いて、処理空間212を制御可能に加圧し得る。供給源204からのガスによって、処理チャンバ200内に制御された環境が生成され得る。任意選択的に、アクチュエータ290が、リッドアセンブリ210と電極アセンブリ216との間に連結され得る。アクチュエータ290は、電極アセンブリ216をx、y、及びz方向のうちの1以上に移動させるように構成される。本明細書では、x及びy方向は、横方向又は横次元(lateral dimension)と称される。アクチュエータ290によって、電極アセンブリ216が基板240の表面を走査することが可能になる。アクチュエータ290はまた、距離dが調節されることも可能にする。幾つかの実施形態では、電極アセンブリ216が、固定ステム(図示せず)によってリッドアセンブリ210に連結される。他の実施形態では、電極アセンブリ216が、処理チャンバ200の底部208の内側、基板支持アセンブリ238の第2の表面226、又はステム242に連結され得る。更に他の実施形態では、電極アセンブリ216が、基板支持アセンブリ238の第1の表面234と第2の表面226との間に埋め込まれ得る。
[0026] 電極アセンブリ216は、少なくとも第1の電極258と第2の電極260とを含む。図示されているように、第1の電極258は、電源270に接続され、第2の電極260は、任意選択的な電源275に接続される。他の実施形態では、第1の電極258と第2の電極260とのうちの一方が、電源に接続され、他方の電極が接地(ground)に接続され得る。幾つかの実施形態では、第1の電極258と第2の電極260とが、接地に接続され、電力を基板支持体に供給する電源274が、正バイアスと負バイアスとの間で切り替わる二極電源である。幾つかの実施形態では、電源270又は電源275は、第1の電極258と第2の電極260との両方に接続され得る。他の実施形態では、電源270又は電源275が、第1の電極258、第2の電極260、及び基板支持アセンブリ238に接続され得る。そのような実施形態では、第1の電極258、第2の電極260、及び基板支持アセンブリ238のそれぞれへのパルス遅延が異なり得る。電極アセンブリ216は、基板支持アセンブリ238の第1の表面によって画定されるx‐y平面に平行な電界を生成するように構成され得る。例えば、電極アセンブリ216は、y方向、x方向、又はx‐y平面内の他の方向のうちの1つに電界を生成するように構成され得る。
[0027] 電源270及び電源275は、例えば、約500V~約100kVを電極アセンブリ216に供給して、約0.1MV/mと約100MV/mとの間の強度を有する電界を生成するように構成される。幾つかの実施形態では、電源274がまた、電力を電極アセンブリ216に供給するようにも構成され得る。幾つかの実施形態では、電源270、電源274、又は電源275のうちのいずれか又は全部が、パルス直流(DC)電源である。パルスDC波は、半波整流器又は全波整流器からのものであり得る。DC電力は、約10Hzと1MHzとの間の周波数を有し得る。パルスDC電力のデューティサイクルは、約5%と約95%との間(約20%と約60%との間など)であり得る。幾つかの実施形態では、パルスDC電力のデューティサイクルが、約20%と約40%との間であり得る。他の実施形態では、パルスDC電力のデューティサイクルが、約60%であり得る。パルスDC電力の立ち上がり及び立ち下がり時間は、約10nsと約500nsとの間といった、約1nsと約1000nsとの間であり得る。他の実施形態では、パルスDC電力の立ち上がり及び立ち下がり時間が、約10nsと約100nsとの間であり得る。幾つかの実施形態では、パルスDC電力の立ち上がり及び立ち下がり時間が、約500nsであり得る。幾つかの実施形態では、電源270、電源274、及び電源275のいずれか又は全部が、交流電源である。他の実施形態では、電源270、電源274、及び電源275のいずれか又は全部が、直流電源である。
[0028] 幾つかの実施形態では、電源270、電源274、及び電源275のいずれか又は全部が、DCオフセットを使用してよい。DCオフセットは、例えば、印加電圧の約0%と約75%との間(印加電圧の約5%と約60%との間など)であり得る。幾つかの実施形態では、第1の電極258及び第2の電極260が負にパルス化される間に、基板支持アセンブリ238もまた負にパルス化される。これらの実施形態では、第1の電極258及び第2の電極260並びに基板支持アセンブリ238が、同期されているが時間内でオフセットされる。例えば、第1の電極258は、基板支持アセンブリが「0」の状態である間、「1」の状態であり、次いで、基板支持アセンブリ238は、第1の電極258が0の状態である間、1の状態であり得る。
[0029] 電極アセンブリ216は、近似的に基板支持アセンブリ238の幅にわたり広がっている。他の実施形態では、電極アセンブリ216の幅が、基板支持アセンブリ238の幅よりも小さくてもよい。例えば、電極アセンブリ216は、基板支持アセンブリ238の幅の、約20%から約40%といった、約10%から約80%の間にわたり広がり得る。電極アセンブリ216の幅が基板支持アセンブリ238の幅よりも小さい実施形態では、アクチュエータ290が、基板支持アセンブリ238の第1の表面234上に配置された基板240の表面にわたり、電極アセンブリ216を走査させ得る。例えば、アクチュエータ290は、電極アセンブリ216が基板240の表面全体を走査するように、走査させ得る。他の実施形態では、アクチュエータ290が、基板240ある一部分だけを走査させ得る。代わりに、基板支持アセンブリ238が、電極アセンブリ216の下を走査し得る。
[0030] 幾つかの実施形態では、1以上の磁石296を処理チャンバ200内に配置することができる。図2で示されている実施形態では、磁石296が、側壁206の内面に連結される。他の実施形態では、磁石296が、処理チャンバ200内の他の場所、又は処理チャンバ200の外側に配置され得る。磁石296は、例えば、永久磁石又は電磁石であってよい。代表的な永久磁石には、セラミック磁石及びレアアース磁石が含まれる。磁石296に電磁石が含まれる実施形態では、磁石296が、電源(図示せず)に接続され得る。磁石296は、基板支持アセンブリ238の第1の表面234で電極アセンブリ216によって生成された電界線の方向と垂直又は平行な方向に磁界を生成するように構成される。例えば、磁石296は、電極アセンブリ216によって生成された電界がy方向にあるときには、x方向に磁界を生成するように構成され得る。磁界は、潜像線255と平行な方向といった磁界に垂直な方向に、フォトレジスト層250内の光酸発生剤によって生成された荷電種355(図2で示されている)及び分極種(図示されず)を駆動する。潜像線255と平行な方向に荷電種355及び分極種を駆動することによって、線粗さ(line roughness)が低減され得る。荷電種355及び分極種の均一な方向への移動は、図3の両矢印370によって示されている。対照的に、磁界が印加されないときには、荷電種355及び分極種が、矢印370’で示されるように、ランダムに移動し得る。
[0031] 引き続き図3を参照すると、電極アセンブリ216は、少なくとも第1の電極258及び第2の電極260を含む。第1の電極258には、第1の端子310、第1の支持構造330、及び1以上のアンテナ320が含まれる。第2の電極260には、第2の端子311、第2の支持構造331、及び1以上のアンテナ321が含まれる。第1の電極258の第1の端子310、第1の支持構造330、及び1以上のアンテナ320は、単一の本体を形成し得る。代わりに、第1の電極258は、共に連結され得る別個の部分を含み得る。例えば、1以上のアンテナ320は、第1の支持構造330から取り外し可能であってよい。同様に、第2の電極260は、単一の本体であり得るか、または取外し可能な別個の部品から構成され得る。第1の電極258及び第2の電極260は、任意の適切な技法によって製作され得る。例えば、第1の電極258及び第2の電極260は、機械加工、鋳造、又は積層造形によって製作され得る。
[0032] 第1の支持構造330は、金属などの導電性材料から作製されてもよい。例えば、第1の支持構造330は、シリコン、ポリシリコン、炭化ケイ素、モリブデン、アルミニウム、銅、グラファイト、銀、プラチナ、金、パラジウム、亜鉛、他の材料、又はこれらの混合物から作製され得る。第1の支持構造330は、任意の所望の寸法を有し得る。例えば、第1の支持構造330の長さLは、約25mmから約450mmの間、例えば、約100mmから約300mmの間であり得る。幾つかの実施形態では、第1の支持構造330が、標準的な半導体基板の直径と近似的に等しい長さLを有する。他の実施形態では、第1の支持構造330が、標準的な半導体基板の直径より大きい又は小さい長さLを有する。例えば、種々の代表的な実施形態では、第1の支持構造330の長さLが、約25mm、約51mm、約76mm、約100mm、約150mm、約200mm、約300mm、又は約450mmであり得る。第1の支持構造330の幅Wは、約2mmから約25mmの間であり得る。他の実施形態では、第1の支持構造330の幅Wが、約2mmを下回る。他の実施形態では、第1の支持構造330の幅Wが、約25mmを上回る。第1の支持構造330の厚さは、約5mmなど、約2mmから約8mmの間といった、約1mmから約10mmの間であり得る。幾つかの実施形態では、第1の支持構造330が、正方形、円筒形、長方形、卵型、棒状、又は他の形状であり得る。湾曲した外面を有する実施形態は、アーク放電を回避することができる。
[0033] 第1の支持構造体330は、第2の支持構造体331と同じ材料から作製され得る。第1の支持構造330に適した寸法範囲は、第2の支持構造331にも適している。幾つかの実施形態では、第1の支持構造330と第2の支持構造331とが、同じ材料から作製される。他の実施形態では、第1の支持構造330と第2の支持構造331とが、異なる材料から作製される。第1の支持構造330と第2支持構造331との長さL、幅W、及び厚さは、同一であってもよいし、異なっていてもよい。
[0034] 第1の電極258の1以上のアンテナ320もまた、導電性材料から作製され得る。1以上のアンテナ320は、第1の支持構造330と同一の材料から作製され得る。第1の電極258の1以上のアンテナ320は、任意の所望の寸法を有し得る。例えば、1以上のアンテナ320の長さL1は、約25mmから約450mmの間、例えば、約100mmから約300mmの間であり得る。幾つかの実施形態では、第1の支持構造330が、標準的な基板の直径と近似的に等しい長さL1を有する。他の実施形態では、1以上のアンテナ320の長さL1が、標準的な基板の直径の約75%と90%との間であり得る。1以上のアンテナ320の幅W1は、約2mmと約25mmとの間であり得る。他の実施形態では、1以上のアンテナ320の幅W1が、約2mmを下回る。他の実施形態では、1以上のアンテナ320の幅W1が、25mmを上回る。1以上のアンテナ320の厚さは、約2mmと約8mmとの間といった、約1mmと約10mmとの間であり得る。1以上のアンテナ320は、正方形、長方形、卵型、円形、円筒形、又は別の形状の断面を有し得る。丸い外面を有する実施形態は、アーク放電を回避することができる。
[0035] アンテナ320のそれぞれは、同じ寸法を有してよい。代替的に、1以上のアンテナ320のうちの幾つかは、他のアンテナ320のうちの1以上とは異なる寸法を有し得る。例えば、1以上のアンテナ320のうちの幾つかは、他のアンテナ320のうちの1以上とは異なる長さL1を有し得る。1以上のアンテナ320のそれぞれは、同一の材料から作製され得る。他の実施形態では、アンテナ320のうちの幾つかが、他のアンテナ320とは異なる材料から作製され得る。
[0036] アンテナ321は、アンテナ320と同じ範囲内の材料から作製され得る。アンテナ320にとって適した寸法の範囲は、アンテナ321にとってもまた適したものである。幾つかの実施形態では、アンテナ320とアンテナ321とは、同一の材料から作製される。他の実施形態では、アンテナ320とアンテナ321とは、異なる材料から作製される。アンテナ320とアンテナ321の長さL1、幅W1、及び厚さは、同じであってもよく、異なっていてもよい。
[0037] アンテナ320は、1と約40との間の数のアンテナ320を含んでよい。例えば、アンテナ320は、約10と約20との間の数のアンテナ320といった、約4と約40との間の数のアンテナ320を含み得る。他の実施形態では、アンテナ320が、40を上回る数のアンテナ320を含み得る。幾つかの実施形態では、アンテナ320のそれぞれが、第1の支持構造330と実質的に垂直であってよい。例えば、第1の支持構造330が直線的である実施形態では、各アンテナ320が、第1の支持構造330と実質的に平行であってよい。各アンテナ320は、他のアンテナ320のそれぞれと実質的に平行であってよい。アンテナ321のそれぞれは、支持構造331及び他の各アンテナ321に対して同様に配置され得る。
[0038] アンテナ320のそれぞれは、終端部323を有する。アンテナ321のそれぞれは、終端部325を有する。第1の支持構造330と終端部325との間に、距離Cが規定される。第2の支持構造331と終端部323との間に、距離C’が規定される。距離CとC’とのそれぞれは、約1mmと約10mmとの間であり得る。他の実施形態では、距離CとC’とは、約1mm未満であってもよく、又は約10mmを上回ってもよい。幾つかの実施形態では、距離Cと距離C’とは等しい。他の実施形態では、距離Cと距離C’とは異なる。
[0039] アンテナ321のうちの1つと隣接するアンテナ321のうちの1つとの対向する表面間に、距離Aが規定される。1つのアンテナ320と隣接する1つのアンテナ320との対向する表面間に、距離A’が規定される。距離AとA’とは、約6mmを上回り得る。例えば、距離AとA’とは、約10mmと約15mmとの間といった、約6mmと約20mmとの間であり得る。各隣接するアンテナ321、320の間の距離AとA’とは、同じであっても異なっていてもよい。例えば、1以上のアンテナ320の第1と第2のアンテナ、第2と第3のアンテナ、及び第3と第4のアンテナの間の距離A’は、異なっていてもよい。他の実施形態では、距離A’は同じであってもよい。
[0040] アンテナ320のうちの1つと隣接するアンテナ321のうちの1つとの対向する表面間に、距離Bが規定される。距離Bは、例えば、約1mmを上回り得る。例えば、距離Bは、約4mmと約6mmとの間といった、約2mmと約10mmとの間であり得る。間で規定された距離Bは同じであってもよく、各距離Bは異なっていてもよく、又は幾つかの距離Bは同じであってもよく、幾つかの距離Bは異なっていてもよい。距離Bを調整することによって、電界強度を容易に制御することが可能になる。
[0041] アンテナ320、321は、フォトレジスト層250上方で交互の配置で配向され得る。例えば、第1の電極258のアンテナ320及び第2の電極260のアンテナ321は、アンテナ320の少なくとも1つが、アンテナ321の2つの間に配置されるように、配置され得る。加えて、少なくとも1つのアンテナ321は、アンテナ320のうちの2つの間に配置され得る。幾つかの実施形態では、アンテナ320の1つを除く全てが、アンテナ321のうちの2つの間に配置される。これらの実施形態においては、アンテナ321の1つを除く全てが、アンテナ320のうちの2つの間に配置される。幾つかの実施形態では、アンテナ320とアンテナ321とは、それぞれ、1つのアンテナだけを有してもよい。
[0042] 幾つかの実施形態では、第1の電極258は、第1の端子310を有し、第2の電極260は、第2の端子311を有する。第1の端子310は、第1の電極358と、電源270、電源275、又は接地との間の接点であり得る。第2の端子311は、第2の電極260と、電源270、電源275、又は接地との間の接点であり得る。第1の端子310と第2の端子311とは、それぞれ、第1の電極258と第2の電極260の一端にあるものとして示されている。他の実施形態では、第1の端子310と第2の端子311とは、それぞれ、第1の電極258と第2の電極の他の位置に配置されてもよい。第1の端子310と第2の端子311とは、それぞれ、第1の支持構造330と第2の支持構造331とは異なる形状及びサイズを有する。他の実施形態では、第1の端子310と第2の端子311とは、概して、それぞれ、第1の支持構造330と第2の支持構造331と、同一の形状及びサイズを有し得る。
[0043] 動作では、電源270、電源274、又は電源275などの電源から、第1の端子310、第2の端子311、及び/又は基板支持アセンブリ238に、電圧が供給され得る。供給された電圧によって、1以上のアンテナ320の各アンテナと、1以上のアンテナ321の各アンテナとの間に電界が生成される。電界は、1以上のアンテナ320のうちのアンテナと、1以上のアンテナ321のうちの隣接するアンテナとの間で最も強くなる。アンテナ320、321の、交互の且つ整列した空間的関係によって、基板支持アセンブリ238の第1の表面234によって画定される平面と平行な方向に電界が生成される。基板240は、潜像線255が電極アセンブリ216によって生成された電界線と平行になるように、第1の表面234上に配置される。荷電種355は帯電しているため、荷電種355は電界によって影響を受ける。フォトレジスト層250内で光酸発生剤によって生成された荷電種355は、電界によって電界の方向に駆動される。潜像線255と平行な方向に荷電種355を駆動することによって、線縁粗さ(line edge roughness)が低減され得る。その均一な方向の移動は、両矢印370によって図示されている。対照的に、第1の端子310又は第2の端子311に対して電圧が印加されていない場合、任意の特定の方向に荷電種355を駆動する電界は生成されない。その結果、荷電種355は、矢印370’によって示されるように、ランダムに移動し得る。その結果、ワリネス(wariness)又は線粗さが生じることがある。
[0044] 図4は、リソグラフィ露光工程中に基板400上に配置されたフィルム構造404を描いている。フォトレジスト層407が、フィルム構造404上に配置される。フィルム構造404は、ハードマスク層403上に、更にターゲット層402上に配置された下層405を含む。ターゲット層402は、その後、ターゲット層402内に所望のデバイス特徴を形成するためにパターニングされる。一実施例では、下層405は、有機材料、無機材料、又は有機若しくは無機材料の混合物であってもよい。下層405が有機材料である実施形態では、有機材料が、基板400上にスピンオン工程を介してコーティングされ、次いで熱硬化され得る架橋性ポリマー材料であってよい。それによって、フォトレジスト層407が、その上に後で付加され得る。下層405が無機材料である実施形態では、無機材料は、CVD、ALD、PVD、スピンオンコーティング、スプレーコーティングなどの任意の適切な堆積技法によって形成された誘電体材料であってもよい。
[0045] 下層405は、平坦化層、反射防止コート、及び/又は光酸方向制御物として機能する。それは、パターンを下地のハードマスク層403及びターゲット層402の中に転写するときに、エッチング抵抗及び線縁粗さの制御を提供し得る。下層405からの耐パターニング機能性は、レジスト工程の転写中に、下層のハードマスク層403と共に働き得る。一実施例では、下層405が、フォトレジスト層407と相互作用せず、フォトレジスト層407との界面混合及び/又は拡散若しくは相互汚染を有さない。
[0046] 下層405は、酸剤(例えば、光酸発生剤(PAG)若しくは酸触媒)、塩基剤、接着促進剤、又は感光性成分などの1以上の添加剤を含む。1以上の添加剤は、有機溶媒又は樹脂及び/若しくは無機マトリックス材料内に配置されてもよい。酸剤の適切な例には、スルホン酸(例えば、p‐トルエンスルホン酸、スチレンスルホン酸)、スルホネート(例えば、ピリジニウムp‐トルエンスルホネート、ピリジニウムトリルロメタンスルホネート、ピリジニウム3‐ニトロベンゼンスルホネート)、並びにそれらの混合物から構成される群から選択される、光酸発生剤(PAG)及び/又は酸触媒が含まれる。適切な有機溶媒には、ホモポリマー、又は2以上の繰り返し単位及びポリマー主鎖を含有するより高次のポリマーを含み得る。有機溶媒の適切な例には、プロピレングリコールメチルエーテルアセテート(PGMEA)、乳酸エチル(EL)、プロピレングリコールメチルエーテル(PGME)、プロピレングリコールn‐プロピルエーテル(PnP)、シクロヘキサノン、アセトン、ガンマブチロラクトン(GBL)、及びこれらの混合物が含まれるが、これらに限定されない。
[0047] 一実施例では、下層405が、リソグラフィ露光工程、露光前若しくは露光後ベーキング工程中に活性酸剤、塩基剤、又はアイロノイック/非アイロニック種(ironoic/non-ironic species)を提供して、上部フォトレジスト層407からの光酸の流れ方向を制御する助けとなる。
[0048] ハードマスク層403は、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、炭化ケイ素、アモルファスカーボン、ドープされたアモルファスカーボン、TEOS酸化物、USG、SOG、有機ケイ素、酸化物含有材料窒化チタン(oxide containing material titanium nitride)、酸窒化チタン、これらの組み合わせなどから構成される群から作製されたARC層であってもよい。
[0049] フォトレジスト層407は、化学増幅反応を受けることができるポジ型フォトレジスト及び/又はネガ型フォトレジストであってもよい。フォトレジスト層407は、高分子有機材料である。
[0050] 上述のように、リソグラフィ露光工程、露光前又は露光後ベーキング工程、特に露光後ベーキング工程中に、磁石296からの磁界と同様に、電極116からの電界を印加してもよい。図4に描かれている実施例では、リソグラフィ露光工程中に、電界及び/又は磁界が印加される。リソグラフィ露光工程中に、光放射412は、フォトレジスト層407の第1の領域408に向けられ、一方、フォトレジスト層407の第2の領域406は、フォトマスク410によって保護される。図4でe-として示されている光酸は、光酸発生剤(PAG)がUV光放射などの光放射412に露光されると、フォトレジスト層407の露光された第1の領域408内に生成される。しかし、しばしば、光酸の移動は概してランダムであり、光酸分布は、第1の領域408内に均一に分布しないか、又は第1の領域408と第2の領域406との間を画定する(第2の領域406と境界を接する)平面内に形成される界面430に明確な境界が設定されない場合があり、その結果、矢印422に示すように、光酸の一部がドリフトし、光酸の生成が意図されていない第2の領域406内に拡散する。そのように、横方向の光酸移動(例えば、基板400の平面に平行な方向)は、矢印422に示されるように、第2の領域406内にドリフトし、線縁粗さ、解像度損失、フォトレジストフッティング、プロファイル変形を生じさせ、したがって、下層のターゲット層402への不正確な特徴移動を引き起こし、及び/又は、最終的にデバイス故障をもたらし得る。
[0051] 本明細書で説明される実施例は、光酸からの電子の移動として図示されているが、電荷、荷電粒子、光子、イオン、電子、又は任意の形態を採る反応種を含む任意の適切な種も、電界がフォトレジスト層407に印加されるときに同様の効果を有し得ることに留意されたい。
[0052] フォトレジスト層407に電界及び/又は磁界を印加することによって、露光された第1の領域408内の光酸の分布を効率的に制御し、光酸を第1の領域408内に閉じ込めることができる。フォトレジスト層407に印加される電界は、光酸を、隣接する第2の領域406に拡散することなく、最小の横方向運動(例えば、矢印422によって示されるx方向)で、垂直方向(例えば、矢印416及び420によって示されるy方向、基板400の平面に対して実質的に垂直)に移動させることができる。一般的に、光酸は、それに印加される電界又は磁界によって影響され得る特定の極性を有し、したがって、光酸を特定の方向に配向し、したがって、隣接する保護された第2の領域406に交差することなく、露光された第1の領域408内で光酸の所望の指向性移動を生成することができる。一実施例では、光酸が、更に、矢印414で示されているように、横方向平面に沿って、長手方向(例えば、フォトマスク410によって保護されるフォトレジスト層407の第2の領域406と相互接続される平面内で規定される、矢印428で示されるz方向)に移動するように制御され、それにより、矢印422で示されるように、フォトレジスト層407の第2の領域406内にx方向で交差することなく、露光された第1の領域408内に閉じ込められた光酸の長手方向分布を制御することができる。フォトレジスト層407に生成される磁界によって、電子は、所望の三次元分布で光酸を更に制御するように、長手方向(例えば、矢印428で示されるz方向)などの、ある磁力線に沿って軌道を回るようにしてもよい。磁界と電界との間の相互作用は、所望に応じて、特定の経路における光酸の軌道を最適化することができるとともに、露光された第1の領域408内に限定される。更に、垂直光酸移動は、露光ツールによって自然に生成される目立つ波を滑らかにし、それによって露光解像度を高めることが望ましい。一実施形態では、約0.1MV/mと約100MV/mと間の強度を有する電界を、リソグラフィ露光工程、前ベーキング工程、又は後ベーキング工程中にフォトレジスト層407に印加して、フォトレジスト層407内で生成された光酸を垂直方向、例えばy方向に限定することができる。一実施形態では、電界と共に、0.1テスラ(T)と10テスラ(T)との間の磁界を、リソグラフィ露光工程、前又は後ベーキング工程中に、フォトレジスト層407に印加して、フォトレジスト層407内で生成された光酸を、長手方向と垂直方向の両方、例えばyとz方向に、最小の横方向(例えばx方向)範囲内で限定することができる。電界と共に磁界を組み合わせる一方、生成される光酸は、更に、長手方向に、例えば、矢印428で示される方向に分布するように限定されてもよく、フォトレジスト層407の第1の領域408に残り、露光された第1の領域408内で界面430に沿って平行である。
[0053] 図5は、露光後ベーキング工程中に特定の区域に位置する光酸を具体的に制御するために、電界、磁界、又はそれらの組み合わせを利用することによって制御することができる光酸分布の別のプロファイルを描いている。フォトレジスト層407の露光領域502は、リソグラフィ露光工程後に、図4で示されているように第1の領域408から化学的に変化している。フォトレジスト層407がリソグラフィで露光された後、露光後ベーキング工程が実行されて、露光領域502とフォトレジスト層407内の残りの領域(例えば、リソグラフィ露光工程中にフォトマスクによって遮蔽される)とを含むフォトレジスト層407が硬化される。露光後ベーキング工程中、下層405からの酸剤(例えば光酸など)、塩基剤、又は他の適切な添加剤は、図5の矢印506によって示されているように、フォトレジスト層407内の光酸の所望の方向への分布/移動を助けることができるようなやり方で制御され得る。下層405内の添加剤は、露光後ベーキング工程中(又はリソグラフィ露光工程中でさえ)に上側フォトレジスト層504に拡散され、フォトレジスト層407の垂直プロファイルを維持するように、フォトレジスト層407の感度を改善するのに役立つ。その結果、現像及びリンス後に、フォトレジスト層407内に実質的に垂直なプロファイルが得られる。
[0054] 一実施形態では、フォトレジスト層407のプロファイルを効率的に制御することができるように、露光後ベーキング工程中に、下層405からの一実施例としての酸剤や光酸などの添加剤を、矢印506で示すように上方に熱駆動することができる。更に、下層405からの添加剤は、露光後ベーキング工程中に、電界、磁界、又はそれらの組み合わせによって特定の方向で上方に駆動されてもよいので、添加剤から提供される電子は、フォトレジスト層407に向かう主として垂直方向などの特定の移動経路で制御されてもよい。そうすることにより、必要に応じて、所望の垂直構造が画定され、それをフォトレジスト層407内に限定することができる。図4~図5で描かれているフォトレジスト層407の実施例は、直線状縁部プロファイル(例えば垂直側壁)で形成されることに留意されたい。しかし、フォトレジスト層407のプロファイルは、必要に応じてテーパ状又はフレアアウト(flare-out)状の開口部などのような任意の所望の形状に形成することができる。
[0055] 露光後ベーキング工程後、必要に応じて、下層405、ハードマスク層403、及びターゲット層402に特徴を転写するために、異方性エッチング工程、又は他の適切なパターニング/エッチング工程を実行してもよい。
[0056] 図6は、フォトレジスト層の下に配置された下層を利用して、リソグラフィ露光工程中又は露光前ベーキング工程中若しくは露光後ベーキング工程中に、フォトレジスト層内の光酸分布/拡散の制御を支援するための方法600のフロー図を描いている。方法600は、図2~図3で描かれている処理チャンバ200などの処理チャンバの中に、内部に電極アセンブリ及び磁気アセンブリが配置された状態で、上述の基板400などの基板を配置することによって、動作602で開始する。
[0057] 動作604では、基板400が配置された後で、電界及び/又は磁界を(リソグラフィ露光工程及び/又は露光後ベーキング工程中に)処理チャンバに個別又は集合的に印加して、その下に配置された下層を有するフォトレジスト層内の光酸移動を制御し得る。電界及び/又は磁界が、基板上に配置されたフォトレジスト層及び下層に個別に又は集合的に印加された後で、生成された光酸は、主として、横方向ではなく、垂直方向、長手方向、円形方向に移動し得る。フォトレジスト層の下に配置された下層によって提供される支援の結果として、フォトレジスト層内の光酸移動を効率的に制御することができる。
[0058] 動作606では、露光工程の後で、露光後ベーキング工程を実行して、フォトレジスト層及び下層を硬化させる。該ベーキング工程中に、エネルギー(例えば、電気エネルギー、熱エネルギー、又は他の適当なエネルギー)を下層に供給することもできる。本明細書で描かれている一実施例では、該エネルギーが、露光後ベーキング工程中に基板に供給される熱エネルギーである。下層からの添加剤は、フォトレジスト層内の光酸の流れ方向を制御する助けともなり得る。パターニングされたフォトレジスト層を有する所定の経路における光酸分布の方向制御を利用することによって、高分解能、線量感度、線崩れに対する抵抗、及び確率分布に従う故障(stochastics failure)を有する所望の縁部プロファイルが得られ、最小線縁粗さが得られる。一実施例では、下層構造を利用することによって、限界寸法均一性(CDU)(例えば限界寸法のばらつき)を、一般的に3nmから6nmまで、1nmから2nmまで、又はそれ以下まで低減することができ、これは約50%から600%の均一性改善である。線幅粗さ(LWR)は、一般的に3nmから5nmまで、1nmから2nmまで、又はそれ以下まで減少させることができ、これは約50%から600%の粗さ改善である。更に、第1のトレンチの第1のチップ端と第2のトレンチの第2のチップ端との間の距離は、概して、30nmから50nmまで、10nmから20nmまで減少されてもよい。更に、角部丸み付け、フーチング、変形プロファイル、傾斜側壁プロファイルのような幾つかの種類の欠陥もまた、効率的に排除され、低減され得る。
[0059] 前述の実施形態は、以下のことを含む多くの利点を有する。例えば、本明細書で開示される実施形態は、高解像度及び鋭い縁部プロファイルを有する線縁/幅粗さを低減させ又は除去することができる。前述の利点は、例示的なものであって、限定的なものではない。全ての実施形態が全ての利点を有する必要はない。
[0060] 以上の説明は本開示の実施形態を対象としているが、本開示の基本的な範囲から逸脱せずに本開示の他の実施形態及び更なる実施形態が考案されてよく、本開示の範囲は、以下の特許請求の範囲によって規定される。

Claims (15)

  1. 基板上に配置されたフィルム構造、及び
    前記フィルム構造内に形成された複数の開口部であって、約1nmと2nmとの間の限界寸法均一性を有する、前記基板にわたり形成された複数の開口部を備える、デバイス構造。
  2. 前記開口部は、約3nmと約5nmとの間の線幅粗さ(LWR)を有する、請求項1に記載のデバイス構造。
  3. 前記フィルム構造内の前記開口部は、前記フィルム構造をパターニングしながら、下層を利用することによってパターニングされ、前記下層は、スルホン酸、スルホン酸塩、及びそれらの混合物から構成される群から選択された酸剤を有する、請求項1に記載のデバイス構造。
  4. 基板を処理する方法であって、
    基板上に配置された多層上に光酸発生剤を含むフォトレジスト層を付加することであって、前記多層は、有機材料、無機材料、又は有機材料と無機材料との混合物から形成された下層を含む、フォトレジスト層を付加すること、
    フォトマスクによって保護されていない前記フォトレジスト層の第1の部分を、リソグラフィ露光工程において放射線光に露光すること、及び
    電界又は磁界を印加して、前記光酸発生剤から生成された光酸の移動を、実質的に垂直方向に変化させることを含む、方法。
  5. 前記フォトレジスト層及び前記下層をベーキングすること、及び
    前記フォトレジスト層及び前記下層をベーキングしながら、電界又は磁界を印加することを更に含む、請求項4に記載の方法。
  6. 前記電界又は前記磁界は、前記リソグラフィ露光工程中に前記フォトレジスト層に印加される、請求項4に記載の方法。
  7. 前記電界を印加することは、
    前記基板上に配置された前記フォトレジスト層及び前記下層に、前ベーキング工程を実行することを更に含み、前記電界又は前記磁界は、前記前ベーキング工程中に前記フォトレジスト層に印加される、請求項5に記載の方法。
  8. 前記下層は、有機ポリマー溶媒内の1以上の添加剤を含む、請求項4に記載の方法。
  9. 前記添加剤は、酸剤、塩基剤、接着促進剤、及び感光性成分から構成される群から選択される、請求項8に記載の方法。
  10. 前記添加剤は、スルホン酸、スルホン酸塩、及びそれらの混合物から構成される群から選択される、請求項9に記載の方法。
  11. 前記有機ポリマー溶媒は、プロピレングリコールメチルエーテルアセテート(PGMEA)、乳酸エチル(EL)、プロピレングリコールメチルエーテル(PGME)、プロピレングリコールn‐プロピルエーテル(PnP)、シクロヘキサノン、アセトン、ガンマブチロラクトン(GBL)、及びそれらの混合物から構成される群から選択される、請求項8に記載の方法。
  12. 前記多層は、前記下層の下且つ前記基板の上に配置されたハードマスク層を更に含む、請求項4に記載の方法。
  13. 前記ハードマスク層は、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、炭化ケイ素、アモルファスカーボン、ドープされたアモルファスカーボン、TEOS酸化物、USG、SOG、有機ケイ素、酸化物含有材料窒化チタン、酸窒化チタン、それらの組み合わせから構成される群から選択される、請求項12に記載の方法。
  14. 前記基板上に前記多層をパターニングすること、及び
    前記多層内に複数の開口部を形成することを更に含み、前記基板にわたり形成される前記開口部は、約1nmと2nmとの間の限界寸法均一性を有する、請求項4に記載の方法。
  15. 前記開口部は、約3nmと約5nmの間の線幅粗さ(LWR)を有する、請求項14に記載の方法。
JP2021540445A 2019-01-18 2019-10-11 電界誘導フォトレジストパターン形成工程のためのフィルム構造 Pending JP2022518411A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962794298P 2019-01-18 2019-01-18
US62/794,298 2019-01-18
PCT/US2019/055863 WO2020149903A1 (en) 2019-01-18 2019-10-11 A film structure for electric field guided photoresist patterning process

Publications (1)

Publication Number Publication Date
JP2022518411A true JP2022518411A (ja) 2022-03-15

Family

ID=71608962

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021540445A Pending JP2022518411A (ja) 2019-01-18 2019-10-11 電界誘導フォトレジストパターン形成工程のためのフィルム構造

Country Status (6)

Country Link
US (2) US11650506B2 (ja)
JP (1) JP2022518411A (ja)
KR (1) KR20210096302A (ja)
CN (1) CN113196452A (ja)
TW (2) TWI830683B (ja)
WO (1) WO2020149903A1 (ja)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11768435B2 (en) * 2018-11-02 2023-09-26 Brewer Science, Inc. Bottom-up conformal coating and photopatterning on PAG-immobilized surfaces
US20210041785A1 (en) * 2019-08-09 2021-02-11 Applied Materials, Inc. Process control of electric field guided photoresist baking process
US11429026B2 (en) * 2020-03-20 2022-08-30 Applied Materials, Inc. Lithography process window enhancement for photoresist patterning
US20220091513A1 (en) * 2020-09-18 2022-03-24 Applied Materials, Inc. Film structure for electric field assisted bake process
US20220390847A1 (en) * 2021-06-08 2022-12-08 Applied Materials, Inc. Metal oxide resist patterning with electrical field guided post-exposure bake
CN114002916B (zh) * 2021-12-09 2023-07-25 河南汇达印通科技股份有限公司 一种感光材料板生产装置
CN115981101B (zh) * 2023-03-17 2023-06-16 湖北江城芯片中试服务有限公司 半导体结构的制造方法及半导体结构

Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08220741A (ja) * 1994-12-13 1996-08-30 Internatl Business Mach Corp <Ibm> マイクロリソグラフィ構造
JP2003124088A (ja) * 2001-08-08 2003-04-25 Tokyo Electron Ltd 基板処理装置および基板処理方法
JP2005203597A (ja) * 2004-01-16 2005-07-28 Nippon Telegr & Teleph Corp <Ntt> レジスト現像方法および装置
US20090174036A1 (en) * 2008-01-04 2009-07-09 International Business Machines Corporation Plasma curing of patterning materials for aggressively scaled features
WO2010021290A1 (ja) * 2008-08-18 2010-02-25 日産化学工業株式会社 オニウム基を有するシリコン含有レジスト下層膜形成組成物
WO2010055852A1 (ja) * 2008-11-12 2010-05-20 日産化学工業株式会社 レジスト下層膜形成組成物及びそれを用いたパターニング方法
JP2011053652A (ja) * 2009-06-12 2011-03-17 Rohm & Haas Electronic Materials Llc 上塗りフォトレジストと共に使用するのに好適なコーティング組成物
WO2014021256A1 (ja) * 2012-07-30 2014-02-06 日産化学工業株式会社 スルホン酸オニウム塩を含有するケイ素含有euvレジスト下層膜形成組成物
WO2014129582A1 (ja) * 2013-02-25 2014-08-28 日産化学工業株式会社 水酸基を有するアリールスルホン酸塩含有レジスト下層膜形成組成物
JP2015050201A (ja) * 2013-08-29 2015-03-16 東京エレクトロン株式会社 エッチング方法
US20160109813A1 (en) * 2014-10-15 2016-04-21 Applied Materials, Inc. Tooling configuration for electric/magnetic field guided acid profile control in a photoresist layer
US20160254161A1 (en) * 2015-02-27 2016-09-01 Imec Vzw Method for Patterning an Underlying Layer
US20170184976A1 (en) * 2015-10-02 2017-06-29 Applied Materials, Inc. Resist sensitivity and profile improvement via acid anion control during field-guided post exposure bake
US20180107117A1 (en) * 2016-10-14 2018-04-19 Applied Materials, Inc. Resist sensitivity and profile improvement via acid anion control during field-guided post exposure bake
WO2018125381A2 (en) * 2016-12-29 2018-07-05 Applied Materials, Inc. Apparatus for field guided acid profile control in a photoresist layer
WO2018194123A1 (ja) * 2017-04-20 2018-10-25 Jsr株式会社 感放射線性樹脂組成物及びレジストパターン形成方法

Family Cites Families (78)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5215619A (en) 1986-12-19 1993-06-01 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US4842683A (en) 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
JPH03159114A (ja) * 1989-11-16 1991-07-09 Mitsubishi Electric Corp 微細パターンの形成方法
US5258266A (en) 1989-11-16 1993-11-02 Mitsubishi Denki Kabushiki Kaisha Method of forming minute patterns using positive chemically amplifying type resist
US6488807B1 (en) 1991-06-27 2002-12-03 Applied Materials, Inc. Magnetic confinement in a plasma reactor having an RF bias electrode
KR930021034A (ko) 1992-03-31 1993-10-20 다니이 아끼오 플라즈마발생방법 및 그 발생장치
JP3589365B2 (ja) 1996-02-02 2004-11-17 富士写真フイルム株式会社 ポジ画像形成組成物
US6113731A (en) 1997-01-02 2000-09-05 Applied Materials, Inc. Magnetically-enhanced plasma chamber with non-uniform magnetic field
KR100239440B1 (ko) 1997-08-20 2000-01-15 김영환 화학 증폭형 포토레지스트의 패터닝 방법
US6143124A (en) 1997-08-22 2000-11-07 Micron Technology, Inc. Apparatus and method for generating a plasma from an electromagnetic field having a lissajous pattern
KR100557579B1 (ko) 1997-11-05 2006-05-03 에스케이 주식회사 박막제조장치
US6187152B1 (en) 1998-07-17 2001-02-13 Cutek Research, Inc. Multiple station processing chamber and method for depositing and/or removing material on a substrate
WO2000070117A1 (en) 1999-05-14 2000-11-23 The Regents Of The University Of California Low-temperature compatible wide-pressure-range plasma flow device
JP2001290275A (ja) 2000-02-03 2001-10-19 Fuji Photo Film Co Ltd ポジ型フォトレジスト組成物
KR100811964B1 (ko) 2000-09-28 2008-03-10 동경 엘렉트론 주식회사 레지스트 패턴 형성장치 및 그 방법
US6716302B2 (en) 2000-11-01 2004-04-06 Applied Materials Inc. Dielectric etch chamber with expanded process window
JP3696156B2 (ja) 2000-12-26 2005-09-14 株式会社東芝 塗布膜の加熱装置、レジスト膜の処理方法
US20020096114A1 (en) 2001-01-22 2002-07-25 Applied Materials, Inc. Series chamber for substrate processing
US6686132B2 (en) * 2001-04-20 2004-02-03 The Regents Of The University Of California Method and apparatus for enhancing resist sensitivity and resolution by application of an alternating electric field during post-exposure bake
US7160521B2 (en) 2001-07-11 2007-01-09 Applied Materials, Inc. Treatment of effluent from a substrate processing chamber
US6889627B1 (en) 2001-08-08 2005-05-10 Lam Research Corporation Symmetrical semiconductor reactor
US6841342B2 (en) 2001-08-08 2005-01-11 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
WO2003021642A2 (en) 2001-08-31 2003-03-13 Applied Materials, Inc. Method and apparatus for processing a wafer
US6987240B2 (en) 2002-04-18 2006-01-17 Applied Materials, Inc. Thermal flux processing by scanning
US7223323B2 (en) 2002-07-24 2007-05-29 Applied Materials, Inc. Multi-chemistry plating system
US7160665B2 (en) * 2002-12-30 2007-01-09 International Business Machines Corporation Method for employing vertical acid transport for lithographic imaging applications
US7187796B1 (en) 2003-10-01 2007-03-06 Advanced Micro Devices, Inc. Systems and methods that employ exposure compensation to provide uniform CD control on reticle during fabrication
KR100515369B1 (ko) 2003-10-02 2005-09-14 동부아남반도체 주식회사 전기장에 의하여 반도체 소자의 미세패턴을 형성하는노광장치 및 그 방법
US7374867B2 (en) * 2003-10-06 2008-05-20 Intel Corporation Enhancing photoresist performance using electric fields
US7274429B2 (en) 2003-12-10 2007-09-25 Asml Netherlands B.V. Integrated lithographic fabrication cluster
JP4282500B2 (ja) 2004-01-29 2009-06-24 株式会社東芝 構造検査方法及び半導体装置の製造方法
US20050250052A1 (en) 2004-05-10 2005-11-10 Nguyen Khe C Maskless lithography using UV absorbing nano particle
US7819079B2 (en) 2004-12-22 2010-10-26 Applied Materials, Inc. Cartesian cluster tool configuration for lithography type processes
US7699021B2 (en) 2004-12-22 2010-04-20 Sokudo Co., Ltd. Cluster tool substrate throughput optimization
JP4410121B2 (ja) 2005-02-08 2010-02-03 東京エレクトロン株式会社 塗布、現像装置及び塗布、現像方法
US7241707B2 (en) 2005-02-17 2007-07-10 Intel Corporation Layered films formed by controlled phase segregation
JP4685584B2 (ja) 2005-03-11 2011-05-18 東京エレクトロン株式会社 塗布、現像装置
US7780813B2 (en) 2005-06-09 2010-08-24 Alcatel-Lucent Usa Inc. Electric field mediated chemical reactors
US7972761B2 (en) 2006-08-04 2011-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist materials and photolithography process
US7718225B2 (en) 2005-08-17 2010-05-18 Applied Materials, Inc. Method to control semiconductor film deposition characteristics
JP4549959B2 (ja) 2005-09-14 2010-09-22 大日本スクリーン製造株式会社 基板処理装置
JP4771816B2 (ja) 2006-01-27 2011-09-14 大日本スクリーン製造株式会社 基板処理装置
US20080050679A1 (en) 2006-02-22 2008-02-28 Sokudo Co., Ltd. Methods and systems for performing immersion processing during lithography
US7838205B2 (en) * 2006-07-07 2010-11-23 Taiwan Semiconductor Manufacturing Company, Ltd. Utilization of electric field with isotropic development in photolithography
JP4428717B2 (ja) 2006-11-14 2010-03-10 東京エレクトロン株式会社 基板処理方法及び基板処理システム
US7943007B2 (en) 2007-01-26 2011-05-17 Lam Research Corporation Configurable bevel etcher
US8501395B2 (en) 2007-06-04 2013-08-06 Applied Materials, Inc. Line edge roughness reduction and double patterning
US8636458B2 (en) 2007-06-06 2014-01-28 Asml Netherlands B.V. Integrated post-exposure bake track
CN101971102B (zh) 2008-01-29 2012-12-12 布鲁尔科技公司 用来通过多次暗视场曝光对硬掩模进行图案化的在线法
US8084186B2 (en) * 2009-02-10 2011-12-27 Az Electronic Materials Usa Corp. Hardmask process for forming a reverse tone image using polysilazane
US8097402B2 (en) 2009-03-31 2012-01-17 Tokyo Electron Limited Using electric-field directed post-exposure bake for double-patterning (D-P)
JP5449239B2 (ja) 2010-05-12 2014-03-19 東京エレクトロン株式会社 基板処理装置、基板処理方法及びプログラムを記録した記憶媒体
JP5174098B2 (ja) 2010-08-09 2013-04-03 東京エレクトロン株式会社 熱処理方法及びその熱処理方法を実行させるためのプログラムを記録した記録媒体並びに熱処理装置
WO2012057967A2 (en) 2010-10-27 2012-05-03 Applied Materials, Inc. Methods and apparatus for controlling photoresist line width roughness
TWI541226B (zh) 2010-11-15 2016-07-11 羅門哈斯電子材料有限公司 鹼反應性光酸產生劑及包含該光酸產生劑之光阻劑
JP5853959B2 (ja) * 2010-12-28 2016-02-09 三菱瓦斯化学株式会社 芳香族炭化水素樹脂、リソグラフィー用下層膜形成組成物及び多層レジストパターンの形成方法
US8288174B1 (en) 2011-03-24 2012-10-16 Tokyo Electron Limited Electrostatic post exposure bake apparatus and method
US20120285621A1 (en) 2011-05-10 2012-11-15 Applied Materials, Inc. Semiconductor chamber apparatus for dielectric processing
WO2012173699A1 (en) * 2011-06-15 2012-12-20 Applied Materials, Inc. Methods and apparatus for performing multiple photoresist layer development and etching processes
EP2534983A1 (en) 2011-06-17 2012-12-19 Philip Morris Products S.A. Merchandising unit with moveable housing
US8569187B2 (en) 2011-06-24 2013-10-29 Applied Materials, Inc. Thermal processing apparatus
JP5653880B2 (ja) * 2011-10-11 2015-01-14 信越化学工業株式会社 レジスト下層膜形成材料及びパターン形成方法
JP5859420B2 (ja) * 2012-01-04 2016-02-10 信越化学工業株式会社 レジスト下層膜材料、レジスト下層膜材料の製造方法、及び前記レジスト下層膜材料を用いたパターン形成方法
US9104113B2 (en) 2013-01-07 2015-08-11 International Business Machines Corporation Amplification method for photoresist exposure in semiconductor chip manufacturing
US9405189B2 (en) * 2013-09-06 2016-08-02 Rohm And Haas Electronic Materials Llc Self-assembled structures, method of manufacture thereof and articles comprising the same
US9884766B2 (en) 2013-11-12 2018-02-06 Perpetuus Research & Development, Ltd. Treating particles
KR20160118205A (ko) 2014-02-06 2016-10-11 어플라이드 머티어리얼스, 인코포레이티드 개선된 유동 전도성 및 균일성을 위해 축방향으로 대칭가능한 인라인 dps 챔버 하드웨어 설계
JP6255652B2 (ja) * 2014-03-07 2018-01-10 富士フイルム株式会社 有機薄膜トランジスタ
US9377692B2 (en) * 2014-06-10 2016-06-28 Applied Materials, Inc. Electric/magnetic field guided acid diffusion
US9366966B2 (en) * 2014-07-10 2016-06-14 Applied Materials, Inc. Electric/magnetic field guided acid profile control in a photoresist layer
US9280070B2 (en) 2014-07-10 2016-03-08 Applied Materials, Inc. Field guided exposure and post-exposure bake process
US9798240B2 (en) 2014-07-10 2017-10-24 Applied Materials, Inc. Controlling photo acid diffusion in lithography processes
US10692757B2 (en) 2015-05-28 2020-06-23 Intel Corporation Means to decouple the diffusion and solubility switch mechanisms of photoresists
US9829790B2 (en) 2015-06-08 2017-11-28 Applied Materials, Inc. Immersion field guided exposure and post-exposure bake process
US10203604B2 (en) 2015-11-30 2019-02-12 Applied Materials, Inc. Method and apparatus for post exposure processing of photoresist wafers
US9958782B2 (en) 2016-06-29 2018-05-01 Applied Materials, Inc. Apparatus for post exposure bake
JP6781031B2 (ja) 2016-12-08 2020-11-04 東京エレクトロン株式会社 基板処理方法及び熱処理装置
US9964863B1 (en) 2016-12-20 2018-05-08 Applied Materials, Inc. Post exposure processing apparatus

Patent Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08220741A (ja) * 1994-12-13 1996-08-30 Internatl Business Mach Corp <Ibm> マイクロリソグラフィ構造
JP2003124088A (ja) * 2001-08-08 2003-04-25 Tokyo Electron Ltd 基板処理装置および基板処理方法
JP2005203597A (ja) * 2004-01-16 2005-07-28 Nippon Telegr & Teleph Corp <Ntt> レジスト現像方法および装置
US20090174036A1 (en) * 2008-01-04 2009-07-09 International Business Machines Corporation Plasma curing of patterning materials for aggressively scaled features
WO2010021290A1 (ja) * 2008-08-18 2010-02-25 日産化学工業株式会社 オニウム基を有するシリコン含有レジスト下層膜形成組成物
WO2010055852A1 (ja) * 2008-11-12 2010-05-20 日産化学工業株式会社 レジスト下層膜形成組成物及びそれを用いたパターニング方法
JP2011053652A (ja) * 2009-06-12 2011-03-17 Rohm & Haas Electronic Materials Llc 上塗りフォトレジストと共に使用するのに好適なコーティング組成物
WO2014021256A1 (ja) * 2012-07-30 2014-02-06 日産化学工業株式会社 スルホン酸オニウム塩を含有するケイ素含有euvレジスト下層膜形成組成物
WO2014129582A1 (ja) * 2013-02-25 2014-08-28 日産化学工業株式会社 水酸基を有するアリールスルホン酸塩含有レジスト下層膜形成組成物
JP2015050201A (ja) * 2013-08-29 2015-03-16 東京エレクトロン株式会社 エッチング方法
US20160109813A1 (en) * 2014-10-15 2016-04-21 Applied Materials, Inc. Tooling configuration for electric/magnetic field guided acid profile control in a photoresist layer
US20160254161A1 (en) * 2015-02-27 2016-09-01 Imec Vzw Method for Patterning an Underlying Layer
US20170184976A1 (en) * 2015-10-02 2017-06-29 Applied Materials, Inc. Resist sensitivity and profile improvement via acid anion control during field-guided post exposure bake
US20180107117A1 (en) * 2016-10-14 2018-04-19 Applied Materials, Inc. Resist sensitivity and profile improvement via acid anion control during field-guided post exposure bake
WO2018125381A2 (en) * 2016-12-29 2018-07-05 Applied Materials, Inc. Apparatus for field guided acid profile control in a photoresist layer
WO2018194123A1 (ja) * 2017-04-20 2018-10-25 Jsr株式会社 感放射線性樹脂組成物及びレジストパターン形成方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
K. XU, ET.AL.: "15nm HP patterning with EUV and SADP: key contributors for improvement of LWR, LER, and CDU", PROCEEDINGS OF SPIE, vol. 8685, JPN7022004465, 29 March 2013 (2013-03-29), US, pages 86850 - 1, ISSN: 0004877775 *

Also Published As

Publication number Publication date
TW202028879A (zh) 2020-08-01
CN113196452A (zh) 2021-07-30
TW202401171A (zh) 2024-01-01
KR20210096302A (ko) 2021-08-04
TWI830683B (zh) 2024-01-21
US20200233307A1 (en) 2020-07-23
TWI814921B (zh) 2023-09-11
WO2020149903A1 (en) 2020-07-23
US20230229089A1 (en) 2023-07-20
US11650506B2 (en) 2023-05-16
US11880137B2 (en) 2024-01-23

Similar Documents

Publication Publication Date Title
US11880137B2 (en) Film structure for electric field guided photoresist patterning process
KR101780407B1 (ko) 전기장/자기장 가이딩 산 확산
US9366966B2 (en) Electric/magnetic field guided acid profile control in a photoresist layer
US10108093B2 (en) Controlling photo acid diffusion in lithography processes
US11914299B2 (en) Lithography process window enhancement for photoresist patterning
US9280070B2 (en) Field guided exposure and post-exposure bake process
US9733579B2 (en) Tooling configuration for electric/magnetic field guided acid profile control in a photoresist layer
US20210294215A1 (en) Photoresist patterning process
US20210041785A1 (en) Process control of electric field guided photoresist baking process
TWI844448B (zh) 用於光阻圖案化的微影處理窗增強
US20220390847A1 (en) Metal oxide resist patterning with electrical field guided post-exposure bake

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210930

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210930

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220920

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221219

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230314

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230614

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230808

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20231108

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20240123

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20240523

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20240530

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20240621