JP2021535615A - シリコン含有層を形成する方法 - Google Patents

シリコン含有層を形成する方法 Download PDF

Info

Publication number
JP2021535615A
JP2021535615A JP2021511612A JP2021511612A JP2021535615A JP 2021535615 A JP2021535615 A JP 2021535615A JP 2021511612 A JP2021511612 A JP 2021511612A JP 2021511612 A JP2021511612 A JP 2021511612A JP 2021535615 A JP2021535615 A JP 2021535615A
Authority
JP
Japan
Prior art keywords
silicon
cap
layer
silicone
range
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2021511612A
Other languages
English (en)
Other versions
JP7175385B2 (ja
Inventor
ヨハネス エフ. スウェンバーグ,
アビシェーク デュベ,
スティーブン シー.エイチ. ハング,
ベンジャミン コロンボー,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2021535615A publication Critical patent/JP2021535615A/ja
Application granted granted Critical
Publication of JP7175385B2 publication Critical patent/JP7175385B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/02428Structure
    • H01L21/0243Surface structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28176Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32105Oxidation of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02658Pretreatments
    • H01L21/02661In-situ cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/1026Compound semiconductors
    • H01L2924/1027IV
    • H01L2924/10271Silicon-germanium [SiGe]

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

実質的にゲルマニウム原子も酸素原子も含まないシリコンキャップを形成する方法が開示される。シリコンキャップ層の酸化を制御するための方法も開示される。開示されたシリコンキャップおよび制御された酸化を利用する金属ゲート置換を形成する方法も開示される。【選択図】図2

Description

[0001] 本開示の実施形態は、概して、シリコンキャッピング層を形成する方法に関する。いくつかの実施形態は、酸化ケイ素層を形成するためのシリコンキャッピング層の制御された酸化のための方法に関する。いくつかの実施形態は、本明細書に開示のシリコンキャッピング層を使用して、置換金属ゲートなどの金属ゲートおよびゲート誘電体を形成するための方法に関する。
[0002] 半導体製造における多くのプロセスは、装置の熱収支のために、より低い温度で実行されることが要求される。かかる例の1つは、シリコンゲルマニウムを含む基板を使用するゲートの形成である。ゲルマニウム原子は、温度が特定の閾値を超える場合、シリコンゲルマニウム表面上に形成された層に拡散し得る。このことは、シリコンゲルマニウム表面上に層を形成するために使用され得る方法を制限する。
[0003] 残念ながら、シリコン堆積に利用可能な方法は、高温を使用することが多い。シリコンゲルマニウムとの良好な適合性を有するのに十分低い温度でシリコンを堆積させることができる方法は、しばしば、欠陥および不十分な電気特性を有する低品質のシリコン膜を生産する。
[0004] 置換金属ゲートの製造は、エッチング停止として作用するために、基板表面上に薄い(約2nm)シリコン層の存在を必要とすることが多い。エッチングプロセスは、シリコン層上に形成されたダミーゲートおよび任意の酸化ケイ素(例えばSiO)を除去する。したがって、他のプロセスまたは大気からの任意の寄生酸化を含むシリコン層のいかなる酸化も効果的に制御することが必要不可欠である。
[0005] シリコン層の酸化を制御するための多くの現在のプロセスは、下にあるシリコン層の酸化を防止するために、シリコン層上に酸化ケイ素層を堆積させることを伴う。1つのプロセスは、シリコン層上へのSiOの原子層堆積を含む。残念ながら、このプロセスは、SiO層を形成しつつ、下にあるシリコン層を酸化してしまうことが多い。
[0006] したがって、欠陥が低減され、改良された電気特性を有する低温シリコン堆積の方法が必要である。さらに、シリコン層の酸化を制御する方法が必要とされている。
[0007] 本開示の一以上の実施形態は、シリコンキャップを形成する方法を対象とする。本方法は、第1の温度で維持された基板材料の表面上にシリコン層を堆積させることを含む。シリコン層は、減圧を壊すことなく第2の温度で処理されて、実質的に酸素原子を含まないシリコンキャップを形成する。
[0008] 本開示の追加の実施形態は、酸化ケイ素キャッピング層を形成する方法を対象とする。本方法は、基板材料の表面上に、シリコン層を共形に堆積させることを含む。表面には3次元の特徴が形成されている。基板材料は、SiGeを含む。シリコン層は、約1nmから約3nmの範囲内の厚さを有する。シリコン層は、約700℃以下の温度で堆積する。シリコン層は、実質的にゲルマニウム原子を含まない。シリコン層は、減圧を壊すことなく処理されて、シリコン層に比べて欠陥が低減され、改良された電気特性を有するシリコンキャップを形成する。シリコンキャップは、実質的に酸素原子もゲルマニウム原子も含まない。シリコンキャップは、制御可能で、調節可能で、共形のプロセスによって酸化されて、シリコンキャップ上に酸化ケイ素キャッピング層を形成する。
[0009] 本開示のさらなる実施形態は、ゲート誘電体および置換金属ゲートを形成する方法を対象とする。本方法は、基板材料の表面上に、シリコン層を共形に堆積させることを含む。表面には3次元の特徴が形成されている。基板材料は、SiGeを含む。シリコン層は、約1nmから約3nmの範囲内の厚さを有する。シリコン層は、実質的にゲルマニウム原子を含まない。シリコン層は、減圧を壊すことなく処理されて、シリコン層に比べて欠陥が低減され、改良された電気特性を有するシリコンキャップを形成する。シリコンキャップは、実質的に酸素原子もゲルマニウム原子も含まない。シリコンキャップは酸化されて、シリコンキャップ上に酸化ケイ素キャッピング層を形成する。ダミーポリ層(dummy poly layer)が酸化ケイ素キャッピング層上に堆積する。ダミーポリ層および酸化ケイ素キャッピング層は除去される。ゲート誘電体および置換金属ゲートはシリコンキャップ上に形成される。
[0010] 上述の本開示の特徴を詳細に理解し得るように、上記で簡単に要約された本開示のより具体的な説明が、実施形態を参照することによって得られ、一部の実施形態は、添付の図面に例示されている。しかし、添付の図面は本開示の典型的な実施形態のみを示すものであり、したがって、本開示の範囲を限定するものと見なされず、本開示が他の等しく有効な実施形態も許容し得ることに留意されたい。
[0011] 本開示の一以上の実施形態によるシリコンキャップを形成する方法のフローチャートである。 [0012] 本開示の一以上の実施形態による3次元(3D)の特徴が形成されている例示的な基板を示す。 [0013] 本開示の一以上の実施形態による酸化ケイ素キャッピング層を形成する方法のフローチャートである。 [0014] 本開示の一以上の実施形態による基板を処理するために使用され得るシステムを示す。
[0015] 本開示のいくつかの例示的な実施形態を説明する前に、本開示が以下の説明で明記される構成または処理ステップの詳細に限定されないことを理解されたい。本開示は、他の実施形態も可能であり、様々なやり方で実施または実行することができる。
[0016] 本明細書および添付の特許請求の範囲において使用される「基板」という用語は、プロセスが作用する表面または表面の一部を指す。基板に対して言及がなされるとき、文脈上他のことが明示されない限り、基板の一部のみを指すことがあり得ることも、当業者には理解されよう。さらに、基板への堆積に対して言及がなされるとき、それは、ベア基板と、一以上の膜または特徴が上に堆積または形成された基板との両方を意味し得る。
[0017] 本明細書で使用する「基板」とは、製造プロセス中に膜処理が実行される任意の基板または基板上に形成された材料表面のことを指す。例えば、処理が実行され得る基板表面には、用途に応じて、シリコン、酸化ケイ素、ストレインドシリコン、シリコン・オン・インシュレータ(SOI)、炭素がドープされた酸化ケイ素、アモルファスシリコン、ドープされたシリコン、ゲルマニウム、ヒ化ガリウム、ガラス、サファイアなどの材料、ならびに金属、金属窒化物、金属合金、およびその他の導電性材料などの任意の他の材料が含まれる。基板は、半導体ウエハを含むが、それに限定されるわけではない。基板表面を研磨、エッチング、還元、酸化、ヒドロキシル化、アニール、UV硬化、電子ビーム(eビーム)硬化、および/またはベークするために、基板を前処理プロセスに曝露することができる。
基板自体の表面上で直接膜処理することに加えて、本開示では、開示された任意の膜処理ステップは、以下でより詳細に開示される基板上に形成された下層にも実行され得る。「基板表面」という用語は、文脈が示すように、かかる下層を含むことが意図される。したがって、例えば、膜/層または部分的な膜/層が基板表面上に堆積している場合、新たに堆積した膜/層の曝露面が基板表面となる。
[0018] 本開示のいくつかの実施形態は、シリコンキャップを形成するための方法に関する。本開示のいくつかの方法は、有利なことに、より低い温度でシリコンキャップを形成するための方法を提供する。本開示のいくつかの方法は、有利なことに、低減された欠陥および改良された電気特性を有するシリコンキャップを形成することを提供する。本開示のいくつかの方法は、有利なことに、実質的に酸素原子を有さないか、または実質的に酸素原子もゲルマニウム原子も有さないシリコンキャップを提供する。
[0019] 図1を参照すると、シリコンキャップを形成する方法100は、動作104において、第1の温度でシリコン層を堆積させることによって開始する。シリコン層が、基板材料の表面上に堆積する。いくつかの実施形態では、オプションの動作102がシリコン層の堆積に先行する。
[0020] 動作102では、基板材料の表面が洗浄される。いくつかの実施形態では、基板材料の表面を洗浄することは、表面を遠隔プラズマエッチングプロセスに曝露することを含む。いくつかの実施形態では、遠隔プラズマは、H、NFまたはNHのうちの一以上のプラズマを含む。いくつかの実施形態では、基板材料の表面を洗浄することは、SiConiエッチングを含む。
[0021] いくつかの実施形態では、基板材料はゲルマニウムを含む。いくつかの実施形態では、基板材料はSiGeを含む。いくつかの実施形態では、基板材料は、原子ベースで、約5%以下、約10%以下、約15%以下、約20%以下、約25%以下、約30%以下、約35%以下、約40%以下、または約50%以下のゲルマニウムを含む。いくつかの実施形態では、基板材料は、原子ベースで、約2%以上、約5%以上、約10%以上、約15%以上、約20%以上、約25%以上、約30%以上、または約40%以上のゲルマニウムを含む。いくつかの実施形態では、基板材料は、約2%から約30%の範囲内、約5%から約30%の範囲内、約10%から約30%の範囲内、約15%から約30%の範囲内、約20%から約30%の範囲内、約25%から約30%の範囲内、約15%から約50%の範囲内、約20%から約50%の範囲内、約25%から約50%の範囲内、約30%から約50%の範囲内、または約40%から約50%の範囲内であるゲルマニウムの原子百分率を有する。
[0022]いくつかの実施形態では、シリコン層はエピタキシャルである。いくつかの実施形態では、シリコン層は多結晶である。いくつかの実施形態では、シリコン層はアモルファス(非結晶:amorphous)または実質的にアモルファスである。
[0023] いくつかの実施形態では、第1の温度は比較的低い。いくつかの実施形態では、第1の温度は、約700℃以下、約650℃以下、約600℃以下、約550℃以下、約500℃以下である。
[0024] 理論に束縛されるものではないが、シリコン層の形成温度が約700℃を上回るとき、基板材料からのゲルマニウム原子は、堆積したシリコン層内にゲルマニウム原子が見出されるように、拡散するか、または堆積した層と反応し得ると考えられる。いくつかの実施形態では、シリコン層は実質的にゲルマニウム原子を含まない。いくつかの実施形態では、シリコンキャップは、実質的にゲルマニウム原子を含まない。
[0025] 本明細書および添付の特許請求の範囲で使用される場合、所与の元素の原子を実質的に含まない材料または層は、原子ベースで、約2%以下、約1%以下、約0.5%以下、または約0.1%以下しか記載された元素を含まない。
[0026] いくつかの実施形態では、シリコン層は、約5nm未満、約4nm未満、約3nm未満、または約2nm未満の厚さを有する。いくつかの実施形態では、シリコン層は、約1nmから約5nmの範囲内、約2nmから約5nmの範囲内、約3nmから約5nmの範囲内、約4nmから約5nmの範囲内、約1nmから約4nmの範囲内、約2nmから約4nmの範囲内、約3nmから約4nmの範囲内、約1nmから約3nmの範囲内、約2nmから約3nmの範囲内、または約1nmから約2nmの範囲内の厚さを有する。
[0027] いくつかの実施形態では、表面には特徴が形成されている。いくつかの実施形態では、表面には3次元の特徴が形成されている。いくつかの実施形態では、シリコン層は、基板材料の表面に対して実質的に共形である。いくつかの実施形態では、シリコンキャップは、基板材料の表面に対して実質的に共形である。
[0028] 本明細書で使用される場合、「実質的に共形」である層は、厚さが全体にわたってほぼ同じである(例えば、側壁の上部、中間部、および下部上、ならびに間隙の下部上において)層を指す。実質的に共形である層は、約10%、5%、2%、1%または0.5%以下だけ厚さが変化する。
[0029] 図2は、本明細書に記載の一以上の実施形態による基板材料202と、3次元(3D)の特徴204が形成された基板表面203とを備える例示的な基板200を示す。基板200は、基板材料202から延伸する3Dの特徴204を含む。いくつかの実施形態では、基板材料202は、ドープされたシリコンなどのシリコン含有材料であってもよい。本明細書に記載の実施形態は、概して300mmの円形基板に言及するが、本明細書に記載の実施形態から様々な他の基板寸法が恩恵を受け得ることが考えられる。
[0030] 3Dの特徴204は、様々なパターニングおよびエッチングプロセスによって、基板材料202の表面203上に形成され得る。一般に、3Dの特徴は、相補型金属酸化物半導体(CMOS)トランジスタにおけるフィン電界効果トランジスタ(FinFET)としての実施態様に適した寸法で形成されるが、他の種類のトランジスタもまた、本明細書に記載の実施形態から恩恵を受け得る。いくつかの実施形態では、3Dの特徴は、10nm未満ノードまたは5nmノードなどの現在の技術ノードおよび高度な技術ノードにおける利用に適しており、それらに見合った寸法を有し得る。
[0031] 3Dの特徴204は、基板材料202から延伸し、トレンチ216によって離間されている。3Dの特徴は、上面208と、トレンチ216の上面208と下面210との間に延在する側壁206とを含む。
[0032] 再び図1を参照すると、動作104でシリコン層を堆積した後、動作106でシリコン層が処理されて、シリコンキャップを形成する。いくつかの実施形態では、シリコン層の処理は、低減された欠陥を有するシリコンキャップを形成する。いくつかの実施形態では、シリコン層の処理は、修復された結合を有するシリコンキャップを形成する。いくつかの実施形態では、シリコン層の処理は、改良された電気特性を有するシリコンキャップを形成する。
[0033] 動作106は、第2の温度での一以上の処理プロセスを含み得る。例示的な処理プロセスには、RTPなどの熱アニールプロセス、およびDPXなどのプラズマ処理プロセスが含まれるが、それらに限定されるわけではない。いくつかの実施形態では、シリコン層を処理することは、RTPプロセスを含み、第2の温度は、約1000℃以上、約1100℃以上、約1200℃以上、または約1250℃以上である。いくつかの実施形態では、シリコン層を処理することは、スパイクアニールプロセスを含み、第2の温度は、約950℃以下、約900℃以下、約800℃以下、または約700℃以下である。いくつかの実施形態では、シリコン層を処理することは、レーザアニールプロセスを含み、第2の温度は、約1200℃以下、約1100℃以下、約1000℃以下、約900℃以下、または約800℃以下である。いくつかの実施形態では、第2の温度は、約600℃から約800℃の範囲内である。動作106で使用されるプロセスにかかわらず、第2の温度は、シリコン層および/またはシリコンキャップ内へのゲルマニウム原子の拡散を防止するために、装置の熱収支によって制限される。
[0034] 理論に束縛されるものではないが、比較的高い第2の温度で実施されるRTPプロセスは、基板材料内のゲルマニウムの拡散または反応を可能にするのに十分な長時間にわたって実行されないと考えられる。したがって、いくつかの実施形態では、シリコンキャップは実質的にゲルマニウム原子を含まない。
[0035] いくつかの実施形態では、動作104および動作106は、クラスタツール内で一緒にクラスタ化される。いくつかの実施形態では、動作104および動作106は、動作104と動作106との間で減圧を壊すことなく実行される。いくつかの実施形態では、動作104および動作106は、単一の処理環境内で実行される。
[0036] いくつかの実施形態では、シリコン層は、いかなる酸化剤にも曝露されない。
いくつかの実施形態では、シリコン層は、実質的に酸素原子を含まない。いくつかの実施形態では、シリコンキャップは、動作106の間、いかなる酸化剤にも曝露されない。いくつかの実施形態では、シリコンキャップは、実質的に酸素原子を含まない。
[0037] 図3を参照すると、本開示のいくつかの実施形態は、酸化ケイ素キャッピング層を形成する方法に関する。方法300は、図1に関して上述したように、動作104および動作106、ならびにオプションの動作102を含む。方法300は、いくつかの実施形態のシリコンキャップが酸化されて酸化ケイ素キャッピング層を形成する動作308に続く。
[0038] いくつかの実施形態では、シリコンキャップは、シリコンキャップを雰囲気酸素に曝露することによって酸化される。いくつかの実施形態では、シリコンキャップは、制御された酸化プロセスによって酸化される。この点で使用される場合、「制御されたプロセス」とは、酸化プロセスの一以上の結果が制御されるものである。制御され得る結果には、酸化の量、酸化の深さ、および酸化の方向性または共形性が含まれるが、それらに限定されるわけではない。
[0039] いくつかの実施形態では、シリコンキャップを酸化することは、実質的にプラズマを含まない酸化剤にシリコンキャップを曝露することを含む。この点に関して、動作308は、熱酸化プロセスと称され得る。いくつかの実施形態では、熱酸化プロセスは、約700℃以下、約650℃以下、約600℃以下、または約550℃以下の温度で実行される。いくつかの実施形態では、熱酸化プロセスは、約500℃から約700℃の範囲内、約550℃から約700℃の範囲内、約600℃から約700℃の範囲内、約650℃から約700℃の範囲内、約500℃から約650℃の範囲内、約550℃から約650℃の範囲内、約500℃から約600℃の範囲内、約550℃から約600℃の範囲内、または約500℃から約600℃の範囲内の温度で実行される。
[0040] いくつかの実施形態では、シリコンキャップを酸化することは、酸化剤のプラズマにシリコンキャップを曝露することを含む。いくつかの実施形態では、プラズマは直流プラズマである。いくつかの実施形態では、プラズマは遠隔プラズマである。いくつかの実施形態では、プラズマは、導電結合プラズマ(CCP)または誘導結合プラズマ(ICP)である。いくつかの実施形態では、プラズマ曝露は、約700℃以下、約650℃以下、約600℃以下、約550℃以下、約500℃以下、約450℃以下、または約400℃以下の温度で実行される。いくつかの実施形態では、プラズマ曝露は、約400℃から約550℃の範囲内、約450℃から約550℃の範囲内、約500℃から約550℃の範囲内、約400℃から約500℃の範囲内、約450℃から約500℃の範囲内、または約400℃から約450℃の範囲内の温度で実行される。いくつかの実施形態では、プラズマ曝露は、約25℃(すなわち室温)から約550℃の範囲内、25℃(すなわち室温)から約500℃の範囲内、約50℃から約550℃の範囲内、約100℃から約550℃の範囲内、約200℃から約550℃の範囲内、または約300℃から約550℃の範囲内の温度で実行される。
[0041] いくつかの実施形態では、シリコンキャップを酸化すると、酸化前のシリコンキャップの厚さよりも厚い、シリコンキャップと酸化ケイ素キャッピング層とを結合させた厚さがもたらされる。別の言い方をすれば、いくつかの実施形態では、シリコンキャップを酸化すると、酸化されたシリコンキャップよりも厚い厚さの酸化ケイ素キャッピング層を提供するために、体積膨張がもたらされる。
[0042] いくつかの実施形態では、動作308は、シリコンキャップを所定の深さまで酸化する。別の言い方をすれば、いくつかの実施形態では、動作308は、制御可能なプロセスと称される。この点に関して使用される場合、酸化プロセスの深さは、酸化されるシリコンキャップの厚さを指す。いくつかの実施形態では、酸化プロセスは、シリコンキャップの厚さの約10%、約20%、約25%、約40%、約50%、約60%、約75%、約80%、約90%、または約100%を酸化し得る。例えば、いくつかの実施形態では、約3nmのシリコンキャップが形成され、シリコンキャップが酸化されて、1nmの残りのシリコンキャップ上に約4nmの酸化ケイ素を形成する。
[0043] いくつかの実施形態では、動作308は、シリコンキャップを原子状酸素の所定の濃度まで酸化する。別の言い方をすれば、いくつかの実施形態では、動作308は、調節可能なプロセスと称される。この点に関して使用される場合、酸化プロセスの濃度は、結果として生じる酸化ケイ素キャッピング層内の酸素の原子濃度を指す。いくつかの実施形態では、結果として生じる酸化ケイ素キャッピング層は、1:2のシリコンと酸素の原子比(例えばSiOを含む。いくつかの実施形態では、酸化ケイ素キャッピング層は、酸素とシリコンの原子比が2:1を上回る酸素リッチ層である。いくつかの実施形態では、酸化ケイ素キャッピング層は、シリコンと酸素の原子比が1:2を上回るシリコンリッチ層である。
[0044] いくつかの実施形態では、動作308は、シリコンキャップを所定の方向性で酸化する。いくつかの実施形態では、所定の方向性はすべての方向から等しく(またはほぼ等しく)、シリコンキャップは共形に酸化される。
[0045] 本開示のいくつかの実施形態は、置換金属ゲート(RMG)を形成する方法に関する。これらの実施形態は、上述の酸化ケイ素キャッピング層を形成する方法を含む。いくつかの実施形態では、本方法は、酸化ケイ素キャッピング層上にダミーポリ層を堆積させることによって継続する。いくつかの実施形態では、本方法は、ダミーポリ層を除去することを含む。いくつかの実施形態では、本方法は、酸化ケイ素キャッピング層を除去することを含む。いくつかの実施形態では、本方法は、シリコンキャップ上に置換金属ゲートを形成することを含む。
[0046] 図4を参照すると、本開示のさらなる実施形態は、本明細書に記載の方法を実行するための処理ツール900を対象とする。図4は、本開示の一以上の実施形態による基板を処理するために使用され得るシステム900を示す。システム900は、クラスタツールと称され得る。システム900は、ロボット912を内部に備えた中央搬送ステーション910を含む。ロボット912は、単一のブレードロボットとして示されているが、当業者であれば、他のロボット912構成が本開示の範囲内であることを認識するであろう。ロボット912は、中央搬送ステーション910に接続されたチャンバ間で一以上の基板を移動させるように構成される。
[0047] 少なくとも1つの予洗浄/バッファチャンバ920が、中央搬送ステーション910に接続される。予洗浄/バッファチャンバ920は、ヒータ、ラジカル源、またはプラズマ源のうちの一以上を含み得る。予洗浄/バッファチャンバ920は、個々の半導体基板のための、または処理用ウエハのカセットのための保持領域として使用され得る。予洗浄/バッファチャンバ920は、予洗浄プロセスを実行することができ、または処理用の基板を予熱することができ、または単に処理シーケンスのためのステージング領域であり得る。
いくつかの実施形態では、中央搬送ステーション910に接続された2つの予洗浄/バッファチャンバ920がある。
[0048] 図4に示す実施形態では、予洗浄チャンバ920は、ファクトリインターフェース905と中央搬送ステーション910との間の通過チャンバとして作用し得る。ファクトリインターフェース905は、基板をカセットから予洗浄/バッファチャンバ920へ移動させる一以上のロボット906を含み得る。次いで、ロボット912は、基板を、予洗浄/バッファチャンバ920からシステム900内の他のチャンバへ移動させることができる。
[0049] 第1の処理チャンバ930は、中央搬送ステーション910に接続され得る。
第1の処理チャンバ930は、シリコン堆積チャンバとして構成され得、反応性ガスの一以上の流れを第1の処理チャンバ930に供給するために、一以上の反応性ガス源と流体連通し得る。基板は、絶縁バルブ914を通過するロボット912によって、堆積チャンバ930を出入りするように移動し得る。
[0050] 処理チャンバ940も中央搬送ステーション910に接続され得る。いくつかの実施形態では、処理チャンバ940は、処理チャンバ(treatment chamber)を備え、反応性ガスの流れを処理チャンバ940に供給するために一以上の反応性ガス源と流体連通して、処理プロセスを実行する。基板は、絶縁バルブ914を通過するロボット912によって、堆積チャンバ940を出入りするように移動し得る。
[0051] 処理チャンバ945も中央搬送ステーション910に接続され得る。いくつかの実施形態では、処理チャンバ945は、処理チャンバ940と同じプロセスを実行するように構成された、処理チャンバ940と同じ種類のチャンバである。この構成は、処理チャンバ940内で発生するプロセスが、処理チャンバ930内のプロセスよりもはるかに長い時間を要する場合に有用であり得る。
[0052] いくつかの実施形態では、処理チャンバ960は、中央搬送ステーション910に接続され、酸化チャンバとして作用するように構成される。処理チャンバ960は、一以上の様々な酸化プロセスを実行するように構成され得る。
[0053] いくつかの実施形態では、処理チャンバ930、940、945、および960のそれぞれは、処理方法のうちの様々な部分を実行するように構成される。例えば、処理チャンバ930は、シリコン堆積プロセスを実行するように構成されてもよく、処理チャンバ940は、処理プロセスを実行するように構成されてもよく、処理チャンバ945は、測定ステーションとして構成されてもよく、または、処理プロセスを実行するように構成されてもよく、処理チャンバ960は、酸化プロセスを実行するように構成されてもよい。熟練した技術者であれば、ツールの個々の処理チャンバの数および配置を変えることが可能であり、図4に示す実施形態は、単に1つの可能な構成を表すものに過ぎないことを認識するであろう。
[0054] いくつかの実施形態では、処理システム900は、一以上の測定ステーションを含む。例えば、測定ステーションは、予洗浄/バッファチャンバ920の中、中央搬送ステーション910の中、または個々の処理チャンバのいずれかの中に配置され得る。測定ステーションは、基板を酸化環境に曝露することなく、凹部の距離の測定を可能にするシステム900内の任意の位置にあり得る。
[0055] 少なくとも1つのコントローラ950は、中央搬送ステーション910、予洗浄/バッファチャンバ920、処理チャンバ930、940、945、または960のうちの一以上に連結される。いくつかの実施形態では、個々のチャンバまたはステーションに接続された二以上のコントローラ950があり、システム900を制御するために、主制御プロセッサが、別個のプロセッサのそれぞれに連結される。コントローラ950は、任意の形態の汎用コンピュータプロセッサ、マイクロコントローラ、マイクロプロセッサ等のうちの1つであってもよく、これらは、様々なチャンバおよびサブプロセッサを制御するために産業環境で使用することができる。
[0056] 少なくとも1つのコントローラ950は、プロセッサ952、プロセッサ952に連結されたメモリ954、プロセッサ952に連結された入出力デバイス956、および様々な電子構成要素間の通信のためのサポート回路958を有し得る。メモリ954は、一過性メモリ(例えばランダムアクセスメモリ)、および非一過性メモリ(例えばストレージ)のうちの一以上を含み得る。
[0057] プロセッサのメモリ954またはコンピュータ可読媒体は、容易に入手可能なメモリのうちの一以上(ランダムアクセスメモリ(RAM)、読取り専用メモリ(ROM)、フロッピーディスク、ハードディスク、または任意の他の形態のローカルもしくは遠隔デジタルストレージ等)であり得る。メモリ954は、システム900のパラメータおよび構成要素を制御するためにプロセッサ952によって動作可能な命令セットを保持し得る。サポート回路958は、従来の様態でプロセッサを支持するためにプロセッサ952に連結される。回路には、例えば、キャッシュ、電源、クロック回路、入出力回路、サブシステム等が含まれ得る。
[0058] プロセスは、一般に、ソフトウェアルーチンとしてメモリに記憶され得る。このソフトウェアルーチンは、プロセッサによって実行されると、処理チャンバに本開示のプロセスを実行させる。該ソフトウェアルーチンはまた、プロセッサによって制御されるハードウェアから遠隔に配置される第2のプロセッサ(図示せず)によって記憶および/または実行され得る。本開示の方法の一部またはすべてをハードウェアで実行することもできる。したがって、プロセスは、ソフトウェア内に実装され、コンピュータシステムを使用して、例えば、特定用途向け集積回路もしくは他の種類のハードウェア実施態様としての、またはソフトウェアとハードウェアとの組み合わせとしてのハードウェア内で実行され得る。ソフトウェアルーチンは、プロセッサによって実行されると、汎用コンピュータを、プロセスが実行されるようにチャンバの動作を制御する特定用途コンピュータ(コントローラ)に変換する。
[0059] いくつかの実施形態では、コントローラ950は、個々のプロセスまたはサブプロセスを実行して本方法を実行するための一以上の構成を有する。コントローラ950は、中間構成要素に接続され、中間構成要素を動作させて方法の機能を実行するように構成され得る。例えば、コントローラ950は、ガスバルブ、アクチュエータ、モータ、スリットバルブ、減圧制御等の一以上に接続され、それらを制御するように構成され得る。
[0060] いくつかの実施形態のコントローラ950は、ロボット上の基板を複数の処理チャンバと測定ステーションとの間で移動させる構成、基板をシステムにロードおよび/またはシステムからアンロードする構成、シリコン層を堆積させる構成、シリコン層を処理する構成、ならびにシリコンキャップを酸化する構成から選択された一以上の構成を有する。
[0061] この明細書全体を通じて、「一実施形態」、「特定の実施形態」、「一以上の実施形態」、または「実施形態」に対する言及は、実施形態に関連して説明される特定の特徴、構造、材料、または特性が、本開示の少なくとも1つの実施形態に含まれることを意味する。
したがって、この明細書全体の様々な箇所での「一以上の実施形態で」、「特定の実施形態で」、「一実施形態で」、または「実施形態で」などの表現は、必ずしも本開示の同一の実施形態に言及するものではない。さらに、特定の特徴、構造、材料、または特性は、一以上の実施形態において任意の適した様態で組み合わせることができる。
[0062] 本明細書の開示は特定の実施形態を参照して記載されているが、当業者であれば、記載された実施形態は、本開示の原理および用途の単なる例示にすぎないことが理解されよう。本開示の思想および範囲から逸脱することなく、本開示の方法および装置に対して様々な修正および変更を行い得ることが、当業者には明らかであろう。したがって、本開示は、添付の特許請求の範囲およびその均等物の範囲にある修正および変更を含み得る。

Claims (15)

  1. シリコンキャップを形成する方法であって、
    第1の温度で維持された基板材料の表面上にシリコン層を堆積させること、および
    減圧を壊すことなく第2の温度で前記シリコン層を処理して、実質的に酸素原子を含まないシリコンキャップを形成すること
    を含む、方法。
  2. 前記基板材料が、SiGeを含む、請求項1に記載の方法。
  3. 前記シリコンキャップが、実質的にゲルマニウムを含まない、請求項2に記載の方法。
  4. 前記表面には3次元の特徴が形成され、前記シリコンキャップが前記表面に対して共形である、請求項1に記載の方法。
  5. 前記第1の温度が約700℃以下である、請求項1に記載の方法。
  6. 前記シリコン層を処理することが、欠陥が低減され、または改良された電気特性を有するシリコンキャップを提供する、請求項1に記載の方法。
  7. 前記シリコンキャップを酸化することをさらに含む、請求項1に記載の方法。
  8. 前記シリコンキャップを酸化することが、実質的にプラズマを含まない酸化剤に前記シリコンキャップを曝露することを含む、請求項7に記載の方法。
  9. 前記シリコンキャップを曝露することが、約600℃から約700℃の範囲内の温度で実行される、請求項8に記載の方法。
  10. 前記シリコンキャップを酸化することが、酸化剤のプラズマに前記シリコンキャップを曝露することを含む、請求項7に記載の方法。
  11. 前記シリコンキャップを曝露することが、約450℃から約500℃の範囲内の温度で実行される、請求項10に記載の方法。
  12. 前記シリコンキャップが所定の深さまで酸化される、請求項7に記載の方法。
  13. 前記シリコンキャップが原子状酸素の所定の濃度まで酸化される、請求項7に記載の方法。
  14. 前記シリコンキャップが共形に酸化される、請求項7に記載の方法。
  15. 置換金属ゲートを形成する方法であって、
    SiGeを含む基板材料の、3次元の特徴が形成された表面上に、約1nmから約3nmの範囲内の厚さを有し、実質的にゲルマニウム原子を含まないシリコン層を共形に堆積させること、
    減圧を壊さずに前記シリコン層を処理して、前記シリコン層に対して欠陥が低減され、改良された電気特性を有し、実質的に酸素原子もゲルマニウム原子も含まないシリコンキャップを形成すること、
    前記シリコンキャップを酸化して、前記シリコンキャップ上に酸化ケイ素キャッピング層を形成すること、
    前記酸化ケイ素キャッピング層上にダミーポリ層を堆積させること、
    前記ダミーポリ層および前記酸化ケイ素キャッピング層を除去すること、および
    前記シリコンキャップ上に置換金属ゲートを形成すること
    を含む、方法。
JP2021511612A 2018-09-03 2019-09-03 シリコン含有層を形成する方法 Active JP7175385B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201862726401P 2018-09-03 2018-09-03
US62/726,401 2018-09-03
US201862774557P 2018-12-03 2018-12-03
US62/774,557 2018-12-03
PCT/US2019/049293 WO2020051116A1 (en) 2018-09-03 2019-09-03 Methods of forming silicon-containing layers

Publications (2)

Publication Number Publication Date
JP2021535615A true JP2021535615A (ja) 2021-12-16
JP7175385B2 JP7175385B2 (ja) 2022-11-18

Family

ID=69640223

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021511612A Active JP7175385B2 (ja) 2018-09-03 2019-09-03 シリコン含有層を形成する方法

Country Status (6)

Country Link
US (1) US20200075332A1 (ja)
JP (1) JP7175385B2 (ja)
KR (1) KR102488508B1 (ja)
CN (1) CN112640043A (ja)
TW (1) TWI753297B (ja)
WO (1) WO2020051116A1 (ja)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11282938B2 (en) * 2018-09-28 2022-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Capping layers in metal gates of transistors

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003178975A (ja) * 2001-12-11 2003-06-27 Sharp Corp 半導体装置及びその製造方法
JP2005354089A (ja) * 1992-04-30 2005-12-22 Toshiba Corp 半導体装置
JP2006176859A (ja) * 2004-12-24 2006-07-06 Canon Anelva Corp シリコンナノ結晶構造体の作製方法
US20130113026A1 (en) * 2011-11-03 2013-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistor gate oxide
JP2014528643A (ja) * 2011-09-30 2014-10-27 インテル・コーポレーション 非プレーナ型トランジスタ及びその製造方法
US20160049336A1 (en) * 2014-08-15 2016-02-18 Samsung Electronics Co., Ltd. Method for manufacturing semiconductor device
US20170053912A1 (en) * 2015-08-21 2017-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Finfet with source/drain structure and method of fabrication thereof
JP2018107402A (ja) * 2016-12-28 2018-07-05 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6346732B1 (en) * 1999-05-14 2002-02-12 Kabushiki Kaisha Toshiba Semiconductor device with oxide mediated epitaxial layer
KR20030002701A (ko) * 2001-06-29 2003-01-09 주식회사 하이닉스반도체 반도체 소자의 트랜지스터 제조 방법
US6620664B2 (en) * 2002-02-07 2003-09-16 Sharp Laboratories Of America, Inc. Silicon-germanium MOSFET with deposited gate dielectric and metal gate electrode and method for making the same
KR20030072675A (ko) * 2002-03-06 2003-09-19 주식회사 하이닉스반도체 반도체 소자의 제조방법
JP4427489B2 (ja) * 2005-06-13 2010-03-10 株式会社東芝 半導体装置の製造方法
US8168548B2 (en) * 2006-09-29 2012-05-01 Tokyo Electron Limited UV-assisted dielectric formation for devices with strained germanium-containing layers
US20100181626A1 (en) * 2009-01-21 2010-07-22 Jing-Cheng Lin Methods for Forming NMOS and PMOS Devices on Germanium-Based Substrates
KR20120107762A (ko) * 2011-03-22 2012-10-04 삼성전자주식회사 반도체 소자의 제조 방법
US20130149830A1 (en) * 2011-12-07 2013-06-13 Samsung Electronics Co., Ltd. Methods of forming field effect transistors having silicon-germanium source/drain regions therein
US9553174B2 (en) * 2014-03-28 2017-01-24 Applied Materials, Inc. Conversion process utilized for manufacturing advanced 3D features for semiconductor device applications
US9595524B2 (en) * 2014-07-15 2017-03-14 Globalfoundries Inc. FinFET source-drain merged by silicide-based material
US9490123B2 (en) * 2014-10-24 2016-11-08 Globalfoundries Inc. Methods of forming strained epitaxial semiconductor material(S) above a strain-relaxed buffer layer
US9564489B2 (en) * 2015-06-29 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple gate field-effect transistors having oxygen-scavenged gate stack
KR102405665B1 (ko) * 2015-10-27 2022-06-08 에스케이하이닉스 주식회사 에피택시성장 방법 및 그를 이용한 반도체구조물 형성 방법
US9425196B1 (en) * 2015-12-08 2016-08-23 International Business Machines Corporation Multiple threshold voltage FinFETs
US11302535B2 (en) * 2018-06-27 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Performing annealing process to improve fin quality of a FinFET semiconductor

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005354089A (ja) * 1992-04-30 2005-12-22 Toshiba Corp 半導体装置
JP2003178975A (ja) * 2001-12-11 2003-06-27 Sharp Corp 半導体装置及びその製造方法
JP2006176859A (ja) * 2004-12-24 2006-07-06 Canon Anelva Corp シリコンナノ結晶構造体の作製方法
JP2014528643A (ja) * 2011-09-30 2014-10-27 インテル・コーポレーション 非プレーナ型トランジスタ及びその製造方法
US20130113026A1 (en) * 2011-11-03 2013-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistor gate oxide
US20160049336A1 (en) * 2014-08-15 2016-02-18 Samsung Electronics Co., Ltd. Method for manufacturing semiconductor device
US20170053912A1 (en) * 2015-08-21 2017-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Finfet with source/drain structure and method of fabrication thereof
JP2018107402A (ja) * 2016-12-28 2018-07-05 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法

Also Published As

Publication number Publication date
KR102488508B1 (ko) 2023-01-12
US20200075332A1 (en) 2020-03-05
CN112640043A (zh) 2021-04-09
KR20210038723A (ko) 2021-04-07
TWI753297B (zh) 2022-01-21
JP7175385B2 (ja) 2022-11-18
TW202032658A (zh) 2020-09-01
WO2020051116A1 (en) 2020-03-12

Similar Documents

Publication Publication Date Title
CN103069552B (zh) 包括具有在其侧壁上增强的氮浓度的SiON栅电介质的MOS晶体管
JP5595481B2 (ja) 選択的窒素化の方法
US20240038859A1 (en) Metal cap for contact resistance reduction
JP7175385B2 (ja) シリコン含有層を形成する方法
TW202129061A (zh) 環繞式閘極輸入/輸出工程
US11705335B2 (en) Conformal high concentration boron doping of semiconductors
US11804372B2 (en) CD dependent gap fill and conformal films
JP7447278B2 (ja) 選択的金属間隙充填のためのマルチステップ前洗浄
KR20220117841A (ko) 순차적인 플라즈마 및 열적 처리
JP7340538B2 (ja) 3次元構造の共形ドーピングのための方法
US11515200B2 (en) Selective tungsten deposition within trench structures
TWI840682B (zh) 用於半導體製程的整合系統
CN114930520A (zh) 用于选择性间隙填充的低温等离子体预清洁
TW202141667A (zh) 用於半導體製程的整合系統

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210413

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220628

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220927

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20221011

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20221108

R150 Certificate of patent or registration of utility model

Ref document number: 7175385

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150