JP2020522134A - Detection system for adjustable/exchangeable edge coupling ring - Google Patents

Detection system for adjustable/exchangeable edge coupling ring Download PDF

Info

Publication number
JP2020522134A
JP2020522134A JP2019564990A JP2019564990A JP2020522134A JP 2020522134 A JP2020522134 A JP 2020522134A JP 2019564990 A JP2019564990 A JP 2019564990A JP 2019564990 A JP2019564990 A JP 2019564990A JP 2020522134 A JP2020522134 A JP 2020522134A
Authority
JP
Japan
Prior art keywords
edge
coupling ring
edge coupling
ring
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2019564990A
Other languages
Japanese (ja)
Other versions
JP7096271B2 (en
JP2020522134A5 (en
Inventor
マケズニー・ジョン
ワン・ユホウ
ジェネッティ・デーモン・タイロン
パターソン・アレクサンダー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/609,570 external-priority patent/US20170263478A1/en
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2020522134A publication Critical patent/JP2020522134A/en
Publication of JP2020522134A5 publication Critical patent/JP2020522134A5/ja
Priority to JP2022100927A priority Critical patent/JP7483795B2/en
Application granted granted Critical
Publication of JP7096271B2 publication Critical patent/JP7096271B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Physical Vapour Deposition (AREA)
  • Flanged Joints, Insulating Joints, And Other Joints (AREA)
  • Dental Tools And Instruments Or Auxiliary Dental Instruments (AREA)
  • Measuring Pulse, Heart Rate, Blood Pressure Or Blood Flow (AREA)

Abstract

【課題】【解決手段】基板処理システムは、処理チャンバを含む。処理チャンバ内にペデスタルが配置される。エッジ結合リングは、ペデスタルに隣接して、基板の半径方向外側のエッジの周りに配置される。アクチュエータは、エッジ結合リングのエッジ結合プロファイルを変更するために、エッジ結合リングを基板に対して選択的に移動させるように構成される。基板処理システムは、アクチュエータに対して、エッジ結合リングの位置を調整するように指示するカメラベースの検出システムを含む。カメラは、コントローラと通信するように構成され、コントローラは、カメラの位置および/または焦点を調整する。カメラからのエッジ結合リングの状態情報に応じて、コントローラは、エッジ結合リングを垂直方向に移動させるようにアクチュエータを操作する。カメラからのエッジ結合リングの位置情報に応じて、コントローラは、エッジ結合リングを水平方向に移動させるようにアクチュエータを操作する。【選択図】図32A substrate processing system includes a processing chamber. A pedestal is located within the processing chamber. An edge coupling ring is disposed adjacent the pedestal and around a radially outer edge of the substrate. The actuator is configured to selectively move the edge coupling ring with respect to the substrate to change the edge coupling profile of the edge coupling ring. The substrate processing system includes a camera-based detection system that directs the actuator to adjust the position of the edge coupling ring. The camera is configured to communicate with the controller, which adjusts the position and/or focus of the camera. In response to the edge coupling ring status information from the camera, the controller operates the actuator to move the edge coupling ring vertically. According to the position information of the edge coupling ring from the camera, the controller operates the actuator to move the edge coupling ring in the horizontal direction. [Selection diagram] Fig. 32

Description

[関連出願への相互参照]
本出願は、2015年5月6日に出願された米国出願第14/705,430号の一部継続出願である2017年5月31日に出願された米国出願第15/609,570号に対する優先権を主張する。この出願は、2015年1月16日に出願された米国出願第14/598,943号の一部継続出願である。本出願は、これらの先行出願のすべての全体を、参照により組み込んでいる。
[Cross reference to related application]
This application is a continuation-in-part application of US application No. 14/705,430 filed May 6, 2015, to US application No. 15/609,570 filed May 31, 2017. Claim priority. This application is a continuation-in-part of US Application No. 14/598,943, filed January 16, 2015. This application incorporates by reference in its entirety all of these prior applications.

本開示は、基板処理システムに関し、より具体的には、基板処理システムのエッジ結合リングに関し、さらにより具体的には、基板処理システムのエッジ結合リングのための検出システムに関する。さらに詳細には、本開示は、基板処理システムのエッジ結合リングの位置および/または状態を検出するための検出システムに関する。 The present disclosure relates to substrate processing systems, and more particularly to edge-coupling rings in substrate processing systems, and even more specifically to detection systems for edge-coupling rings in substrate processing systems. More particularly, the present disclosure relates to detection systems for detecting the position and/or condition of edge coupling rings in substrate processing systems.

ここで提供される背景説明は、本開示の文脈を一般的に提示する目的のためである。現発明者の成果は、背景技術に記載されている限り、出願時に先行技術とみなされていなくて差し支えのない説明の態様と同様に、明示的または暗黙的に、本開示に対する先行技術として認められていない。 The background description provided herein is for the purpose of generally presenting the context of the disclosure. The achievements of the present inventor are explicitly or implicitly admitted as prior art to the present disclosure in the same manner as in the mode of description that is not considered to be prior art at the time of filing as long as it is described in the background art. Has not been done.

基板処理システムは、半導体ウェーハなどの基板のエッチングおよび/または他の処理を実行するために使用されてよい。基板は、基板処理システムの処理チャンバ内のペデスタル上に配置されてよい。たとえば、プラズマエッチャにおけるエッチング中に、1つまたは複数の前駆体を含むガス混合物が処理チャンバに導入され、基板をエッチングするためにプラズマが向けられる。 The substrate processing system may be used to perform etching and/or other processing of substrates such as semiconductor wafers. The substrate may be placed on the pedestal in the processing chamber of the substrate processing system. For example, during etching in a plasma etcher, a gas mixture containing one or more precursors is introduced into the processing chamber and a plasma is directed to etch the substrate.

基板の半径方向外側のエッジ付近のプラズマのエッチング速度および/またはエッチングプロファイルを調整するために、エッジ結合リングが使用されてきた。エッジ結合リングは、通常、基板の半径方向外側のエッジの周りのペデスタル上に配置される。基板の半径方向外側のエッジにおける処理状態は、エッジ結合リングの位置、エッジ結合リング内側のエッジの形状またはプロファイル、基板の上面に対するエッジ結合リングの高さ、エッジ結合リングの材料などを変えることにより変更され得る。 Edge-coupling rings have been used to tune the etch rate and/or etch profile of the plasma near the radially outer edge of the substrate. The edge coupling ring is typically located on the pedestal around the radially outer edge of the substrate. The processing state at the radially outer edge of the substrate can be changed by changing the position of the edge coupling ring, the shape or profile of the edge inside the edge coupling ring, the height of the edge coupling ring with respect to the upper surface of the substrate, the material of the edge coupling ring, etc. Can be changed.

エッジ結合リングを変えるためには、処理チャンバを開く必要があり、これは望ましくない。言い換えれば、エッジ結合リングのエッジ結合効果は、処理チャンバを開かずに変更することはできない。エッジ結合リングがエッチング中にプラズマによって侵食されると、エッジ結合効果が変化する。エッジ結合リングの侵食を修正するには、処理チャンバを開いてエッジ結合リングを交換する必要がある。 Changing the edge coupling ring requires opening the processing chamber, which is undesirable. In other words, the edge-coupling effect of the edge-coupling ring cannot be changed without opening the processing chamber. The edge-coupling effect changes as the edge-coupling ring is eroded by the plasma during etching. To correct the erosion of the edge coupling ring, it is necessary to open the processing chamber and replace the edge coupling ring.

ここで図1〜図2を参照して示されるように、基板処理システムは、ペデスタル20およびエッジ結合リング30を含んでよい。エッジ結合リング30は、単一のピースまたは複数の部分を含んでよい。図1〜図2の例では、エッジ結合リング30は、基板33の半径方向外側のエッジ付近に配置された第1の環状部分32を含む。基板33の下の第1の環状部分から半径方向内側には、第2の環状部分34が配置される。第1の環状部分32の下には、第3の環状部分36が配置される。使用中、基板33の露出部分をエッチングするために、基板33にプラズマ42が向けられる。エッジ結合リング30は、基板33の均一なエッチングが生じるように、プラズマの成形を助けるように配置される。 As shown herein with reference to FIGS. 1-2, the substrate processing system may include a pedestal 20 and an edge coupling ring 30. The edge coupling ring 30 may include a single piece or multiple parts. In the example of FIGS. 1-2, the edge coupling ring 30 includes a first annular portion 32 located near the radially outer edge of the substrate 33. A second annular portion 34 is disposed radially inward of the first annular portion under the substrate 33. Below the first annular portion 32, a third annular portion 36 is arranged. During use, a plasma 42 is directed at the substrate 33 to etch exposed portions of the substrate 33. The edge coupling ring 30 is arranged to help shape the plasma so that a uniform etching of the substrate 33 occurs.

図2において、エッジ結合リング30が使用された後、エッジ結合リング30の半径方向内側部分の上面は、48で識別されるように侵食を示してよい。結果として、44で見られ得るように、プラズマ42は、その半径方向内側部分のエッチングよりも速い速度で基板33の半径方向外側のエッジをエッチングする傾向があってよい。 In FIG. 2, after the edge tie ring 30 is used, the top surface of the radially inner portion of the edge tie ring 30 may exhibit erosion, as identified at 48. As a result, as can be seen at 44, the plasma 42 may tend to etch the radially outer edge of the substrate 33 at a faster rate than etching its radially inner portion.

エッジ結合リングの1つまたは複数の部分は、基板処理システム内の基板またはペデスタルに対して垂直方向および/または水平方向に移動されてよい。この移動は、処理チャンバを開く必要なく、エッチングまたは他の基板処理中に、基板に対するプラズマのエッジ結合効果を変化させる。 One or more portions of the edge coupling ring may be moved vertically and/or horizontally with respect to the substrate or pedestal in the substrate processing system. This movement changes the edge-coupling effect of the plasma on the substrate during etching or other substrate processing without having to open the processing chamber.

ここで図3〜図5を参照して示されるように、基板処理システムは、ペデスタル20およびエッジ結合リング60を含む。エッジ結合リング60は、単一の部分から作られてよいか、または複数の部分が使用されてよい。図3〜図5の例では、エッジ結合リング60は、基板33の半径方向外側に配置された第1の環状部分72を含む。基板33の下の第1の環状部分72から半径方向内側には、第2の環状部分74が配置される。第1の環状部分72の下には、第3の環状部分76が配置される。 As shown herein with reference to FIGS. 3-5, the substrate processing system includes a pedestal 20 and an edge coupling ring 60. The edge coupling ring 60 may be made from a single piece or multiple pieces may be used. In the example of FIGS. 3-5, the edge coupling ring 60 includes a first annular portion 72 located radially outward of the substrate 33. A second annular portion 74 is arranged radially inward of the first annular portion 72 under the substrate 33. Below the first annular portion 72 is located a third annular portion 76.

以下にさらに説明するように、基板33に対してエッジ結合リング60の1つまたは複数の部分を移動させるために、様々な位置にアクチュエータ80が配置されてよい。単なる例として、図3において、アクチュエータ80は、エッジ結合リング60の第1の環状部分72と、エッジ結合リング60の第3の環状部分76との間に配置される。いくつかの例では、アクチュエータ80は、圧電アクチュエータ、ステッパモータ、空気圧駆動、または他の適切なアクチュエータを含んでよい。いくつかの例では、1つ、2つ、3つ、または4つ、またはそれ以上のアクチュエータが使用される。いくつかの例では、多数のアクチュエータが、エッジ結合リング60の周りに均一に配置される。アクチュエータ80は、処理チャンバの内側または外側に配置されてよい。 Actuators 80 may be placed in various positions to move one or more portions of the edge coupling ring 60 with respect to the substrate 33, as described further below. By way of example only, in FIG. 3, the actuator 80 is disposed between the first annular portion 72 of the edge coupling ring 60 and the third annular portion 76 of the edge coupling ring 60. In some examples, actuator 80 may include a piezoelectric actuator, stepper motor, pneumatic drive, or other suitable actuator. In some examples, one, two, three, or four or more actuators are used. In some examples, multiple actuators are evenly arranged around the edge coupling ring 60. The actuator 80 may be located inside or outside the processing chamber.

使用中、基板33の露出部分をエッチングするために、基板33にプラズマ82が向けられる。エッジ結合リング60は、基板33の均一なエッチングが生じるように、プラズマ電界の成形を助けるように配置される。図4の84および86で見られ得るように、エッジ結合リング60の1つまたは複数の部分は、プラズマ82によって侵食されてよい。浸食の結果として、基板33の不均一なエッチングが、基板33の半径方向外側のエッジ付近で生じてよい。通常、処理を停止し、処理チャンバを開いて、エッジ結合リングを交換する必要がある。 During use, a plasma 82 is directed at the substrate 33 to etch exposed portions of the substrate 33. The edge coupling ring 60 is arranged to assist in shaping the plasma electric field so that uniform etching of the substrate 33 occurs. As can be seen at 84 and 86 in FIG. 4, one or more portions of the edge coupling ring 60 may be eroded by the plasma 82. As a result of erosion, non-uniform etching of substrate 33 may occur near the radially outer edge of substrate 33. It is usually necessary to stop the process, open the process chamber and replace the edge bond ring.

図5において、アクチュエータ80は、エッジ結合リング60の1つまたは複数の部分を移動させて、エッジ結合リング60の1つまたは複数の部分の位置を変更するために使用される。たとえば、エッジ結合リング60の第1の環状部分72を移動させるために、アクチュエータ80が使用されてよい。この例では、アクチュエータ80は、エッジ結合リング60の第1の環状部分72を上方すなわち垂直方向に移動させて、エッジ結合リング60の第1の環状部分72のエッジ86が、基板33の半径方向外側のエッジに対して高くなるようにする。その結果、基板33の半径方向外側のエッジ付近のエッチング均一性が向上される。 In FIG. 5, the actuator 80 is used to move one or more portions of the edge coupling ring 60 to change the position of one or more portions of the edge coupling ring 60. For example, the actuator 80 may be used to move the first annular portion 72 of the edge coupling ring 60. In this example, the actuator 80 moves the first annular portion 72 of the edge coupling ring 60 upwardly or vertically so that the edge 86 of the first annular portion 72 of the edge coupling ring 60 moves radially of the substrate 33. It should be higher than the outer edge. As a result, the etching uniformity near the radially outer edge of the substrate 33 is improved.

図6を参照して理解され得るように、アクチュエータは、1つまたは複数の他の位置に配置されてよく、水平、斜めなどの他の方向に移動してよい。基板に対するエッジ結合効果を中心に置くために、エッジ結合リングの一部の水平移動が実行されてよい。図6において、アクチュエータ110は、エッジ結合リング60の半径方向外側に配置される。それに加えて、アクチュエータ110は、垂直(または上/下)方向のみならず水平(または左右)方向に移動する。基板のエッチングが、基板に対するエッジ結合リングの水平なオフセットを示す場合、水平方向の再配置が使用されてよい。水平なオフセットは、処理チャンバを開かずに修正されてよい。同様に、エッジ結合リングの傾斜は、左右非対称を修正または生成するために、アクチュエータのいくつかを他のアクチュエータとは異なるように作動させることによって実行されてよい。 As can be seen with reference to FIG. 6, the actuator may be located in one or more other positions and may move in other directions such as horizontal, diagonal, etc. Horizontal movement of a portion of the edge coupling ring may be performed to center the edge coupling effect on the substrate. In FIG. 6, the actuator 110 is arranged radially outside the edge coupling ring 60. In addition, the actuator 110 moves horizontally (or left/right) as well as vertically (or up/down). If the etching of the substrate shows a horizontal offset of the edge coupling ring with respect to the substrate, horizontal repositioning may be used. The horizontal offset may be corrected without opening the processing chamber. Similarly, tilting the edge coupling ring may be performed by actuating some of the actuators differently than other actuators to correct or create left-right asymmetry.

エッジ結合リングの環状部分の間にアクチュエータ110を配置するのではなく、アクチュエータ110は、114で識別される半径方向外壁または他の構造にも取り付けられてよい。あるいは、アクチュエータ110は、116で識別される壁または他の構造によって下から支持されてよい。 Rather than placing the actuator 110 between the annular portions of the edge coupling ring, the actuator 110 may also be attached to the radial outer wall or other structure identified at 114. Alternatively, actuator 110 may be supported from below by a wall or other structure identified at 116.

ここで図7〜図8を参照して示されるように、エッジ結合リング150および圧電アクチュエータ154の別の例が示される。この例では、圧電アクチュエータ154は、エッジ結合リング150を移動させる。圧電アクチュエータ154は、エッジ結合リング60の第1の環状部分72および第3の環状部分76に取り付けられる。図8において、圧電アクチュエータ154は、第1の環状部分72のエッジ156の位置を調整するために、エッジ結合リング150の第1の環状部分72を移動させる。 As shown herein with reference to FIGS. 7-8, another example of the edge coupling ring 150 and piezoelectric actuator 154 is shown. In this example, the piezoelectric actuator 154 moves the edge coupling ring 150. The piezoelectric actuator 154 is attached to the first annular portion 72 and the third annular portion 76 of the edge coupling ring 60. In FIG. 8, the piezoelectric actuator 154 moves the first annular portion 72 of the edge coupling ring 150 to adjust the position of the edge 156 of the first annular portion 72.

処理チャンバを閉じたままにすると、エッジ結合リングの状態を観察する際、および、その結果、侵食を補償するためにリングの位置をいつ調整し、リングをいつ交換するかを判定する際に、困難が生じうる。 Keeping the processing chamber closed allows for observing the condition of the edge-bonded ring and, as a result, determining when to adjust the position of the ring to compensate for erosion and when to replace the ring. Difficulties can arise.

それに加えて、エッジ結合リングを交換するとき、エッジ結合リングを適切に位置決めおよび/または揃えることが困難になり得る。 In addition, when replacing the edge bond ring, it may be difficult to properly position and/or align the edge bond ring.

基板処理システムは、処理チャンバを含む。処理チャンバは、処理チャンバ内のペデスタルに隣接して、基板の半径方向外側のエッジの周りに配置されたエッジ結合リングの状態および/または位置を含む、チャンバ内の状態を観察および/または測定され得るカバー付き開口部を有する。エッジ結合リングの状態および/または位置を検出する検出システムが提供される。 The substrate processing system includes a processing chamber. The processing chamber is observed and/or measured for conditions within the chamber, including conditions and/or positions of edge-bonding rings disposed about a radially outer edge of the substrate, adjacent the pedestal within the processing chamber. To obtain a cover with an opening. A detection system is provided for detecting the condition and/or position of the edge coupling ring.

1つの特徴において、検出システムは、処理チャンバを開かずにエッジ結合リングの状態の観察を可能にするのに適した光学系を備えたカメラを含む。 In one aspect, the detection system includes a camera with suitable optics to allow observation of the state of the edge coupled ring without opening the processing chamber.

1つの特徴において、装置は、処理チャンバを開かずにエッジ結合リングのプロファイルを測定するためのレーザ干渉計を含む。 In one aspect, the apparatus includes a laser interferometer for measuring the profile of the edge coupling ring without opening the processing chamber.

観察された状態および/または測定に応じて、たとえば、エッジ結合リングのプラズマに面する表面の侵食に応じて、アクチュエータは、処理チャンバを開く必要なく、エッジ結合リングのエッジ結合プロファイルを変更するために、基板に対して、エッジ結合リングの第1の部分を選択的に移動させるように構成される。 Depending on the observed conditions and/or measurements, for example in response to the erosion of the plasma-facing surface of the edge-coupling ring, the actuator changes the edge-coupling profile of the edge-coupling ring without having to open the processing chamber. And configured to selectively move the first portion of the edge coupling ring with respect to the substrate.

他の特徴において、アクチュエータは、エッジ結合リングの第2の部分に対してエッジ結合リングの第1の部分を移動させるように構成される。 In other features, the actuator is configured to move the first portion of the edge coupling ring relative to the second portion of the edge coupling ring.

他の特徴において、コントローラは、エッジ結合リングのプラズマに面する表面の侵食に応じて、エッジ結合リングを移動させるように構成される。コントローラは、エッジ結合リングが所定数のエッチングサイクルに曝された後、エッジ結合リングを自動的に移動させる。コントローラは、エッジ結合リングが所定の期間のエッチングに曝された後、エッジ結合リングを自動的に移動させる。 In other features, the controller is configured to move the edge coupling ring in response to erosion of the plasma-facing surface of the edge coupling ring. The controller automatically moves the edge bond ring after the edge bond ring has been exposed to the predetermined number of etch cycles. The controller automatically moves the edge bond ring after the edge bond ring has been exposed to the etch for a predetermined period of time.

他の特徴において、アクチュエータは、エッジ結合リングの第1の部分を、基板に対して垂直方向に移動させる。アクチュエータは、エッジ結合リングの第1の部分を、基板に対して水平方向に移動させる。センサまたは検出器は、コントローラと通信し、エッジ結合リングの侵食を検出するように構成される。 In other features, the actuator moves the first portion of the edge coupling ring in a direction perpendicular to the substrate. The actuator moves the first portion of the edge coupling ring horizontally with respect to the substrate. The sensor or detector is configured to communicate with the controller and detect erosion of the edge coupling ring.

他の特徴において、検出器は、処理チャンバの外側に取り付けられたカメラであり、チャンバの側面視ポートを介してエッジ結合リング上に照準される。 In other features, the detector is a camera mounted outside the processing chamber and is aimed onto the edge-coupling ring through a side view port of the chamber.

他の特徴において、カメラは、プラズマ照明を使用して、または外部照明を使用して、エッジ結合リングの状態および/または位置の画像または他の情報を提供してよい。他の特徴において、外部照明は、カメラが照準される同じ側面視ポートを介して提供されてよいか、または異なる側面視ポートを介して提供されてよい。 In other features, the camera may use plasma illumination or use external illumination to provide an image or other information of the state and/or position of the edge coupled ring. In other features, external illumination may be provided through the same side view port to which the camera is aimed, or different side view ports.

他の特徴において、検出システムは、カメラの位置および/または焦点を調整するコントローラを含む。他の特徴において、アクチュエータを移動させるコントローラもまた、カメラの位置や焦点を調整する。カメラはコントローラと通信するように構成され、コントローラは、カメラの位置および/または焦点を調整する。カメラからのエッジ結合リングの状態情報に応じて、コントローラは、基板に対するエッジ結合リングの位置を調整するようにアクチュエータを操作する。カメラからのエッジ結合リングの状態情報に応じて、コントローラは、エッジ結合リングを垂直方向に移動させるようにアクチュエータを操作する。カメラからのエッジ結合リングの位置情報に応じて、コントローラは、エッジ結合リングを水平方向に移動させるようにアクチュエータを操作する。カメラからのエッジ結合リングの方位情報に応じて、コントローラは、エッジ結合リングの一方の側を他方の側に対して移動させるようにアクチュエータを操作する。 In other features, the detection system includes a controller that adjusts the position and/or focus of the camera. In other features, the controller that moves the actuator also adjusts the position and focus of the camera. The camera is configured to communicate with the controller, which adjusts the position and/or focus of the camera. In response to the edge coupling ring status information from the camera, the controller operates the actuator to adjust the position of the edge coupling ring with respect to the substrate. In response to the edge coupling ring status information from the camera, the controller operates the actuator to move the edge coupling ring vertically. In response to the position information of the edge coupling ring from the camera, the controller operates the actuator to move the edge coupling ring in the horizontal direction. In response to the orientation information of the edge coupling ring from the camera, the controller operates the actuator to move one side of the edge coupling ring with respect to the other side.

他の特徴において、ロボットは、コントローラと通信し、センサの位置を調整するように構成される。センサは、深さゲージを含む。センサは、レーザ干渉計を含む。アクチュエータは、基板に対してエッジ結合リングを選択的に傾ける。アクチュエータは、処理チャンバの外側に配置される。ロッド部材は、アクチュエータを、処理チャンバの壁を通してエッジ結合リングに接続する。 In other features, the robot is configured to communicate with the controller and adjust the position of the sensor. The sensor includes a depth gauge. The sensor includes a laser interferometer. The actuator selectively tilts the edge coupling ring with respect to the substrate. The actuator is located outside the processing chamber. The rod member connects the actuator to the edge coupling ring through the wall of the processing chamber.

他の特徴において、シールは、ロッド部材と処理チャンバの壁との間に配置される。コントローラは、エッジ結合リングを、第1のエッジ結合効果を使用して、基板の第1の処理のために、第1の位置に移動させ、その後、第2のエッジ結合効果を使用して、基板の第2の処理のために、第2の位置に移動させるように構成される。 In other features, the seal is located between the rod member and the wall of the processing chamber. The controller moves the edge coupling ring to a first position for a first treatment of the substrate using the first edge coupling effect, and then uses the second edge coupling effect to The second substrate is configured to move to a second position for a second processing.

基板処理システム内のエッジ結合リングのエッジ結合プロファイルを調整するための方法は、処理チャンバ内のペデスタルに隣接してエッジ結合リングを配置することを含む。エッジ結合リングは、基板の半径方向外側のエッジの周りに配置される。方法は、エッジ結合リングのエッジ結合プロファイルを変更するために、アクチュエータを使用して、エッジ結合リングの第1の部分を基板に対して選択的に移動させることを含む。 A method for adjusting the edge coupling profile of an edge coupling ring in a substrate processing system includes placing an edge coupling ring adjacent a pedestal in a processing chamber. The edge coupling ring is disposed around the radially outer edge of the substrate. The method includes using an actuator to selectively move a first portion of the edge coupling ring with respect to the substrate to modify the edge coupling profile of the edge coupling ring.

他の特徴において、方法は、プロセスガスおよびキャリアガスを処理チャンバへ供給することを含む。方法は、基板をエッチングするために、処理チャンバ内にプラズマを生成することを含む。方法は、処理チャンバを開く必要なく、アクチュエータを使用してエッジ結合リングの第1の部分を移動させることを含む。エッジ結合リングはさらに、第2の部分を含む。アクチュエータは、エッジ結合リングの第1の部分を、エッジ結合リングの第2の部分に対して移動させるように構成される。アクチュエータは、圧電アクチュエータ、ステッパモータアクチュエータ、および空気圧駆動アクチュエータからなるグループから選択される。 In other features, the method includes providing a process gas and a carrier gas to the processing chamber. The method includes generating a plasma in the processing chamber to etch the substrate. The method includes using an actuator to move the first portion of the edge coupling ring without having to open the processing chamber. The edge coupling ring further includes a second portion. The actuator is configured to move the first portion of the edge coupling ring with respect to the second portion of the edge coupling ring. The actuator is selected from the group consisting of piezoelectric actuators, stepper motor actuators, and pneumatically driven actuators.

他の特徴において、方法は、エッジ結合リングのプラズマに面する表面の侵食に応じて、エッジ結合リングを移動させることを含む。方法は、エッジ結合リングが、所定数のエッチングサイクルに曝された後、エッジ結合リングを自動的に移動させることを含む。方法は、エッジ結合リングが所定の期間のエッチングに曝された後、エッジ結合リングを自動的に移動させることを含む。方法は、エッジ結合リングの第1の部分を、基板に対して垂直方向に移動させることを含む。方法は、エッジ結合リングの第1の部分を、基板に対して水平方向に移動させることを含む。 In other features, the method includes moving the edge coupling ring in response to erosion of a plasma-facing surface of the edge coupling ring. The method includes automatically moving the edge bond ring after the edge bond ring has been exposed to a predetermined number of etching cycles. The method includes automatically moving the edge-bonding ring after the edge-bonding ring has been exposed to a predetermined period of etching. The method includes moving a first portion of the edge coupling ring in a direction perpendicular to the substrate. The method includes moving a first portion of the edge coupling ring horizontally with respect to the substrate.

他の特徴では、方法は、エッジ結合リングの第1の部分を、基板に対して垂直方向に移動させることを含む。方法は、エッジ結合リングの第1の部分を、基板に対して水平方向に移動させることを含む。センサまたは検出器は、コントローラと通信し、エッジ結合リングの侵食を検出するように構成される。 In other features, the method includes moving the first portion of the edge coupling ring in a direction perpendicular to the substrate. The method includes moving a first portion of the edge coupling ring horizontally with respect to the substrate. The sensor or detector is configured to communicate with the controller and detect erosion of the edge coupling ring.

他の特徴において、方法は、エッジ結合リングの侵食を感知するために、カメラを使用することを含む。方法は、カメラからの画像を使用して、エッジ結合リングの位置を調整することを含む。方法は、カメラが提供する位置情報に応じて、基板に対するエッジ結合リングの位置を調整するためにアクチュエータを操作することを含む。方法は、カメラがエッジ結合リングの状態に関して提供する情報に応じて、エッジ結合リングを垂直方向に移動させるようにアクチュエータを操作することを含む。方法は、カメラがエッジ結合リングの位置に関して提供する情報に応じて、エッジ結合リングを水平方向に移動させるようにアクチュエータを操作することを含む。方法は、カメラがエッジ結合リングの位置に関して提供する情報に応じて、エッジ結合リングの一方の側を他方の側に対して移動させるようにアクチュエータを操作することを含む。 In other features, the method includes using a camera to sense erosion of the edge coupling ring. The method includes adjusting the position of the edge combining ring using the image from the camera. The method includes manipulating an actuator to adjust the position of the edge coupling ring with respect to the substrate in response to position information provided by the camera. The method includes manipulating an actuator to move the edge coupling ring vertically in response to information provided by the camera regarding the state of the edge coupling ring. The method includes manipulating an actuator to move the edge coupling ring horizontally in response to information provided by the camera regarding the position of the edge coupling ring. The method includes operating an actuator to move one side of the edge coupling ring relative to the other side in response to information provided by the camera regarding the position of the edge coupling ring.

他の特徴において、方法は、エッジ結合リングの侵食を感知するために、センサを使用することを含む。センサは、深さゲージとレーザ干渉計とからなるグループから選択される。方法は、基板に対してエッジ結合リングを選択的に傾けることを含む。アクチュエータは、処理チャンバの外側に配置される。 In other features, the method includes using a sensor to sense erosion of the edge coupling ring. The sensor is selected from the group consisting of a depth gauge and a laser interferometer. The method includes selectively tilting the edge coupling ring with respect to the substrate. The actuator is located outside the processing chamber.

他の特徴において、方法は、エッジ結合リングを、第1のエッジ結合効果を使用して、基板の第1の処理のために第1の位置に移動させ、エッジ結合リングを、第2のエッジ結合効果を使用して、基板の第2の処理のために第2の位置に移動させることを含む。 In other features, the method moves the edge-bonding ring to a first position for a first processing of the substrate using a first edge-bonding effect and moving the edge-bonding ring to a second edge. Using the bonding effect to move the substrate to a second position for a second treatment.

本開示の適用可能性のさらなる領域は、詳細説明、特許請求の範囲、および図面から明らかになるであろう。詳細説明および特定の例は、例示の目的のために意図され、本開示の範囲を限定することは意図されていない。 Further areas of applicability of the present disclosure will become apparent from the detailed description, claims and drawings. The detailed description and specific examples are intended for purposes of illustration and are not intended to limit the scope of the present disclosure.

本開示は、詳細説明および以下の添付図面からより完全に理解されるであろう。 The present disclosure will be more fully understood from the detailed description and the accompanying drawings below.

図1は、従来技術に従うペデスタルおよびエッジ結合リングの側断面図である。FIG. 1 is a side sectional view of a pedestal and edge coupling ring according to the prior art.

図2は、エッジ結合リングの侵食が生じた後の従来技術に従うペデスタルおよびエッジ結合リングの側断面図である。FIG. 2 is a side sectional view of a pedestal and an edge coupling ring according to the prior art after erosion of the edge coupling ring has occurred.

図3は、ペデスタル、エッジ結合リング、およびアクチュエータの例の側断面図である。FIG. 3 is a side sectional view of an example of a pedestal, edge coupling ring, and actuator.

図4は、エッジ結合リングの侵食が発生した後の図3のペデスタル、エッジ結合リング、およびアクチュエータの側断面図である。4 is a side cross-sectional view of the pedestal, edge coupling ring and actuator of FIG. 3 after erosion of the edge coupling ring has occurred.

図5は、エッジ結合リングの侵食が発生し、アクチュエータが移動された後の図3のペデスタル、エッジ結合リング、およびアクチュエータの側断面図である。5 is a side cross-sectional view of the pedestal, edge coupling ring, and actuator of FIG. 3 after erosion of the edge coupling ring has occurred and the actuator has been moved.

図6は、本開示に従って、別の位置に配置されたペデスタル、エッジ結合リング、およびアクチュエータの別の例の側断面図である。FIG. 6 is a side cross-sectional view of another example of a pedestal, an edge coupling ring, and an actuator in another position in accordance with the present disclosure.

図7は、本開示に従うペデスタル、エッジ結合リング、および圧電アクチュエータの別の例の側断面図である。FIG. 7 is a side cross-sectional view of another example of a pedestal, edge coupling ring, and piezoelectric actuator according to the present disclosure.

図8は、侵食が発生し、圧電アクチュエータが移動された後の図7のペデスタル、エッジ結合リング、および圧電アクチュエータの側断面図である。8 is a side cross-sectional view of the pedestal, edge coupling ring, and piezoelectric actuator of FIG. 7 after erosion has occurred and the piezoelectric actuator has been moved.

図9は、本開示に従って、ペデスタル、エッジ結合リング、およびアクチュエータを含む基板処理チャンバの例の機能ブロック図である。FIG. 9 is a functional block diagram of an example substrate processing chamber including a pedestal, an edge coupling ring, and an actuator in accordance with the present disclosure.

図10は、本開示に従って、エッジ結合リングを移動させるようにアクチュエータを操作するための方法の例のステップを示すフローチャートである。FIG. 10 is a flow chart showing steps of an example method for operating an actuator to move an edge coupling ring in accordance with the present disclosure.

図11は、本開示に従って、エッジ結合リングを移動させるようにアクチュエータを操作するための方法の別の例のステップを示すフローチャートである。FIG. 11 is a flow chart showing steps of another example method for operating an actuator to move an edge coupling ring in accordance with the present disclosure.

図12は、本開示に従って、処理チャンバの外側に配置されたアクチュエータによって移動可能なエッジ結合リングを含む処理チャンバの例の機能ブロック図である。FIG. 12 is a functional block diagram of an example of a processing chamber that includes an edge coupling ring movable by an actuator located outside the processing chamber in accordance with the present disclosure.

図13Aは、本開示に従うエッジ結合リングの左右への傾斜の例を示す図である。FIG. 13A is a diagram illustrating an example of tilting the edge coupling ring to the left and right according to the present disclosure. 図13Bは、本開示に従うエッジ結合リングの左右への傾斜の例を示す図である。FIG. 13B is a diagram illustrating an example of tilting the edge coupling ring to the left and right according to the present disclosure.

図14は、基板の処理中にエッジ結合リングを移動させるための方法の例を示す図である。FIG. 14 is a diagram illustrating an example of a method for moving an edge coupling ring during processing of a substrate.

図15は、エッジ結合リングおよびリフティングリングを含むペデスタルの例の平面図である。FIG. 15 is a plan view of an example of a pedestal including an edge coupling ring and a lifting ring.

図16は、エッジ結合リングおよびリフティングリングの例の側断面図である。FIG. 16 is a side sectional view of an example of the edge coupling ring and the lifting ring.

図17は、リフティングリングによって持ち上げられているエッジ結合リングと、ロボットアームによって取り外されているエッジ結合リングの例の側断面図である。FIG. 17 is a side sectional view of an example of an edge coupling ring being lifted by a lifting ring and an edge coupling ring being removed by a robot arm.

図18は、可動エッジ結合リングおよびリフティングリングの例の側断面図である。FIG. 18 is a side sectional view of an example of the movable edge coupling ring and the lifting ring.

図19は、上昇位置にある図18の可動エッジ結合リングの側断面図である。19 is a side sectional view of the moveable edge coupling ring of FIG. 18 in a raised position.

図20は、リフティングリングによって持ち上げられた図18のエッジ結合リングと、ロボットアームによって取り外されたエッジ結合リングの側断面図である。20 is a side sectional view of the edge coupling ring of FIG. 18 lifted by the lifting ring and the edge coupling ring removed by the robot arm.

図21は、可動エッジ結合リングの例の側断面図である。FIG. 21 is a side sectional view of an example of the movable edge coupling ring.

図22は、アクチュエータによって持ち上げられ、ロボットアームによって取り外された図21のエッジ結合リングの側断面図である。22 is a side cross-sectional view of the edge coupling ring of FIG. 21 lifted by an actuator and removed by a robot arm.

図23は、処理チャンバを開かずにエッジ結合リングを交換するための方法の例を示す図である。FIG. 23 is a diagram showing an example of a method for replacing the edge coupling ring without opening the processing chamber.

図24は、侵食によりエッジ結合リングを移動させ、処理チャンバを開かずにエッジ結合リングを交換するための方法の例を示す図である。FIG. 24 is a diagram showing an example of a method for moving an edge coupling ring by erosion and replacing the edge coupling ring without opening the processing chamber.

図25は、侵食によりエッジ結合リングを持ち上げ、処理チャンバを開かずにエッジ結合リングを交換するための方法の例を示す図である。FIG. 25 illustrates an example method for lifting an edge bond ring by erosion and replacing the edge bond ring without opening the processing chamber.

図26は、チャンバの外側に取り付けられた検出器の例を伴う処理チャンバの側断面図である。FIG. 26 is a side sectional view of a processing chamber with an example of a detector mounted outside the chamber.

図27は、チャンバの外側に取り付けられた検出器および照明デバイスの例を伴う処理チャンバの側断面図である。FIG. 27 is a side cross-sectional view of a processing chamber with an example of a detector and illumination device mounted outside the chamber.

図28は、エッジ結合リングがエッチングまたは侵食された状態の処理チャンバの側断面図である。FIG. 28 is a side cross-sectional view of the processing chamber with the edge coupling ring etched or eroded.

図29Aは、ライナの拡大側面図である。FIG. 29A is an enlarged side view of the liner. 図29Bは、ライナに対する良好なエッジ結合リング配置の例を示す図である。FIG. 29B is a diagram showing an example of a good edge coupling ring arrangement for a liner. 図29Cは、ライナに対する不良なエッジ結合リング配置の例を示す図である。FIG. 29C is a diagram showing an example of a defective edge coupling ring arrangement for a liner.

図30Aは、エッジ結合リングの異なる位置および状態の画像の例を示す図である。FIG. 30A is a diagram showing an example of images in different positions and states of the edge coupling ring. 図30Bは、エッジ結合リングの異なる位置および状態の画像の例を示す図である。FIG. 30B is a diagram showing an example of images in different positions and states of the edge coupling ring. 図30Cは、エッジ結合リングの異なる位置および状態の画像の例を示す図である。FIG. 30C is a diagram showing an example of images in different positions and states of the edge coupling ring.

図31は、検出器を使用したエッジ結合リングのイメージングの代替モードを示す側断面図である。FIG. 31 is a side cross-sectional view showing an alternative mode of imaging an edge coupled ring using a detector.

図32は、エッジ結合リングを検査して静電チャック上に揃っていることを判定するための方法の例を示す図である。FIG. 32 is a diagram showing an example of a method for inspecting the edge coupling ring to determine that it is aligned on the electrostatic chuck.

図33は、エッジ結合リングを検査してその状態を判定するための方法の例を示す図である。FIG. 33 is a diagram showing an example of a method for inspecting an edge coupling ring and determining its state.

図面において、類似および/または同一の要素を識別するために、参照番号が再使用されてよい。 In the drawings, reference numbers may be re-used to identify similar and/or identical elements.

図9を参照して示されるように、RFプラズマを使用してエッチングを実行するための基板処理チャンバ500の例が示される。基板処理チャンバ500は、基板処理チャンバ500の他の構成要素を囲み、RFプラズマを収容する処理チャンバ502を含む。基板処理チャンバ500は、上部電極504と、下部電極507を含むペデスタル506とを含む。エッジ結合リング503は、ペデスタル506によって支持され、基板508の周りに配置される。エッジ結合リング503を移動させるために、1つまたは複数のアクチュエータ505が使用されてよい。操作中、基板508は、上部電極504と下部電極507との間のペデスタル506上に配置される。 As shown with reference to FIG. 9, an example of a substrate processing chamber 500 for performing etching using an RF plasma is shown. The substrate processing chamber 500 includes a processing chamber 502 that encloses other components of the substrate processing chamber 500 and contains an RF plasma. The substrate processing chamber 500 includes an upper electrode 504 and a pedestal 506 including a lower electrode 507. The edge coupling ring 503 is supported by the pedestal 506 and is arranged around the substrate 508. One or more actuators 505 may be used to move the edge coupling ring 503. During operation, the substrate 508 is placed on the pedestal 506 between the upper electrode 504 and the lower electrode 507.

単なる例として、上部電極504は、プロセスガスを導入および分配するシャワーヘッド509を含んでよい。シャワーヘッド509は、処理チャンバの上面に接続された一端を含むステム部分を含んでよい。ベース部分は、ほぼ円筒形であり、処理チャンバの上面から間隔を空けた位置で、ステム部分の反対側の端部から半径方向外向きに延びている。シャワーヘッドのベース部分の基板に面する表面またはフェースプレートは、プロセスガスまたはパージガスが流れる複数の穴を含む。あるいは、上部電極504は導電板を含んでよく、プロセスガスは、別の方式で導入されてよい。下部電極507は、非導電性のペデスタルに配置されてよい。あるいは、ペデスタル506は、下部電極507として機能する導電性プレートを含む静電チャックを含んでよい。 By way of example only, the top electrode 504 may include a showerhead 509 for introducing and distributing process gas. Showerhead 509 may include a stem portion including one end connected to the top surface of the processing chamber. The base portion is generally cylindrical and extends radially outward from the opposite end of the stem portion at a position spaced from the upper surface of the processing chamber. The substrate facing surface or face plate of the base portion of the showerhead includes a plurality of holes through which process gas or purge gas flows. Alternatively, the upper electrode 504 may include a conductive plate and the process gas may be introduced in another manner. The lower electrode 507 may be disposed on the non-conductive pedestal. Alternatively, pedestal 506 may include an electrostatic chuck that includes a conductive plate that functions as lower electrode 507.

RF生成システム510は、RF電圧を生成し、上部電極504および下部電極507の一方に出力する。上部電極504および下部電極507の他方は、DC接地、AC接地、またはフローティングであってよい。単なる例として、RF生成システム510は、整合分配ネットワーク512によって上部電極504または下部電極507に供給されるRF電圧を生成するRF電圧生成器511を含んでよい。他の例では、プラズマは、誘導的または遠隔的に生成されてよい。 The RF generation system 510 generates an RF voltage and outputs it to one of the upper electrode 504 and the lower electrode 507. The other of the upper electrode 504 and the lower electrode 507 may be DC grounded, AC grounded, or floating. By way of example only, the RF generation system 510 may include an RF voltage generator 511 that generates the RF voltage supplied to the upper electrode 504 or the lower electrode 507 by the matching distribution network 512. In other examples, the plasma may be generated inductively or remotely.

ガス供給システム530は、1つまたは複数のガス源532−1、532−2、・・・、および532−N(集合的にガス源532)を含み、ここで、Nはゼロより大きい整数である。ガス源は、1つまたは複数の前駆体およびそれらの混合物を提供する。ガス源は、パージガスをも提供してよい。気化した前駆体も使用されてよい。ガス源532は、バルブ534−1、534−2、・・・、および534−N(集合的にバルブ534)およびマスフローコントローラ536−1、536−2、・・・、および536−N(集合的にマスフローコントローラ536)によりマニホールド540へ接続される。マニホールド540の出力は、処理チャンバ502に供給される。単なる例として、マニホールド540の出力はシャワーヘッド509に供給される。 The gas supply system 530 includes one or more gas sources 532-1, 532-2,..., And 532-N (collectively gas sources 532), where N is an integer greater than zero. is there. The gas source provides one or more precursors and mixtures thereof. The gas source may also provide a purge gas. Vaporized precursors may also be used. The gas source 532 includes valves 534-1, 534-2,... And 534-N (collectively valve 534) and mass flow controllers 536-1, 536-2,..., 536-N (collectively. And is connected to the manifold 540 by a mass flow controller 536). The output of manifold 540 is provided to processing chamber 502. By way of example only, the output of manifold 540 is provided to showerhead 509.

ヒータ542は、ペデスタル506に配置されたヒータコイル(図示せず)に接続されてよい。ヒータ542は、ペデスタル506および基板508の温度を制御するために使用されてよい。処理チャンバ502から反応物を排出するために、バルブ550およびポンプ552が使用されてよい。基板処理チャンバ500の構成要素を制御するために、コントローラ560が使用されてよい。コントローラ560はまた、エッジ結合リング503の1つまたは複数の部分の位置を調整するようにアクチュエータ505を制御するために使用されてよい。 The heater 542 may be connected to a heater coil (not shown) located on the pedestal 506. Heater 542 may be used to control the temperature of pedestal 506 and substrate 508. Valve 550 and pump 552 may be used to evacuate the reactants from the processing chamber 502. A controller 560 may be used to control the components of the substrate processing chamber 500. Controller 560 may also be used to control actuator 505 to adjust the position of one or more portions of edge coupling ring 503.

エッジ結合リングの侵食を測定するために、ロボット570およびセンサ572が使用されてよい。いくつかの例では、センサ572は、深さゲージを含んでよい。ロボット570は、侵食を測定するために、エッジ結合リングと接触して深さゲージを移動させてよい。あるいは、直接接触せずに侵食を測定するために、(ロボット570の有無に関わらず)レーザ干渉計が使用されてよい。レーザ干渉計が、エッジ結合リングへの直接の視線で配置できる場合、ロボット570は省略され得る。 Robot 570 and sensor 572 may be used to measure erosion of the edge coupling ring. In some examples, sensor 572 may include a depth gauge. Robot 570 may move the depth gauge in contact with the edge coupling ring to measure erosion. Alternatively, a laser interferometer (with or without robot 570) may be used to measure erosion without direct contact. The robot 570 can be omitted if the laser interferometer can be placed in direct line of sight to the edge coupling ring.

ここで図10を参照して示されるように、エッジ結合リングを移動させるようにアクチュエータを操作するための方法600の例が示される。610において、エッジ結合リングの少なくとも一部が、基板に対して第1の位置に配置される。614において、基板処理システムが操作される。操作は、基板のエッチングまたは他の処理を含んでよい。618において、制御は、所定のエッチング期間または所定数のエッチングサイクルが発生したか否かを判定する。618において判定されたように、所定の期間またはサイクル数を超えない場合、制御は614に戻る。 As shown herein with reference to FIG. 10, an example of a method 600 for operating an actuator to move an edge coupling ring is shown. At 610, at least a portion of the edge coupling ring is disposed in a first position with respect to the substrate. At 614, the substrate processing system is operated. The operations may include etching or other processing of the substrate. At 618, control determines whether a predetermined etching period or a predetermined number of etching cycles has occurred. If the predetermined period or number of cycles is not exceeded, as determined at 618, control returns to 614.

所定の期間またはサイクル数がアップされると、制御は、624において、所定の最大のエッチング期間がアップされたか、エッチングサイクルの最大数が発生したか、および/または、アクチュエータの移動の最大数#が発生したかを判定する。 When the predetermined period or number of cycles has been increased, the control may, at 624, determine whether the predetermined maximum etch period has been increased, the maximum number of etch cycles has occurred, and/or the maximum number of actuator movements #. Is generated.

624が偽である場合、制御は、アクチュエータを使用して、エッジ結合リングの少なくとも一部を移動させる。エッジ結合リングの移動は、処理チャンバを開かずに、自動、手動、またはそれらの組み合わせで実行され得る。624が真である場合、制御は、メッセージを送信するか、そうでなければ、エッジ結合リングが修理/交換される必要があることを示す。 If 624 is false, control uses the actuator to move at least a portion of the edge coupling ring. The movement of the edge coupling ring can be performed automatically, manually, or a combination thereof without opening the processing chamber. If 624 is true, control either sends a message or indicates that the edge-bonded ring needs to be repaired/replaced.

ここで図11を参照して示されるように、エッジ結合リングを移動させるようにアクチュエータを操作するための方法700の例が示される。710において、エッジ結合リングの少なくとも一部が、基板に対して第1の位置に配置される。714において、基板処理システムが操作される。操作は、基板のエッチングまたは他の処理を含んでよい。718において、制御は、深さゲージまたはレーザ干渉計などのセンサを使用して、エッジ結合リングの所定量の侵食が発生したか否かを判定する。718が偽の場合、制御は714に戻る。 11, shown is an example of a method 700 for operating an actuator to move an edge coupling ring. At 710, at least a portion of the edge coupling ring is disposed in a first position with respect to the substrate. At 714, the substrate processing system is operated. The operations may include etching or other processing of the substrate. At 718, the control uses a sensor such as a depth gauge or a laser interferometer to determine if a predetermined amount of erosion of the edge coupling ring has occurred. If 718 is false, control returns to 714.

所定量の侵食が発生したとき、制御は、724において、最大量の侵食が発生したか否かを判定する。724が偽の場合、制御は、アクチュエータを使用してエッジ結合リングの少なくとも一部を移動させる。エッジ結合リングの移動は、処理チャンバを開かずに、自動、手動、またはそれらの組み合わせで実行され得る。724が真の場合、制御は、メッセージを送信するか、そうでなければ、エッジ結合リングが修理/交換される必要があることを示す。 When a predetermined amount of erosion has occurred, control determines, at 724, whether a maximum amount of erosion has occurred. If 724 is false, control uses the actuator to move at least a portion of the edge coupling ring. The movement of the edge coupling ring can be performed automatically, manually, or a combination thereof without opening the processing chamber. If 724 is true, the control either sends a message or indicates that the edge-bonded ring needs to be repaired/replaced.

上記に加えて、エッジ結合リングを移動させる必要があるか否かの判定は、処理後の基板のエッチングパターンの検査に基づいてよい。チャンバを開かずに、エッジ結合リングのエッジ結合プロファイルを調整するために、アクチュエータが使用されてよい。 In addition to the above, the determination of whether the edge coupling ring needs to be moved may be based on inspection of the etched pattern of the processed substrate. An actuator may be used to adjust the edge bond profile of the edge bond ring without opening the chamber.

ここで図12を参照して示されるように、処理チャンバ800は、ペデスタル20上に配置されたエッジ結合リング60を含む。エッジ結合リング60は、処理チャンバ800の外側に配置された1つまたは複数のアクチュエータ804によって移動可能な1つまたは複数の部分を含む。この例では、部分72は可動である。アクチュエータ804は、機械的リンケージ810によって、エッジ結合リング60の部分72に接続されてよい。たとえば、機械的リンケージ810は、ロッド部材を含んでよい。機械的リンケージ810は、処理チャンバ800の壁814の穴811を通過してよい。「O」リングなどのシール812が使用されてよい。機械的リンケージ810は、エッジ結合リング60の部分76などの1つまたは複数の構造における穴815を通過してよい。 As shown herein with reference to FIG. 12, the processing chamber 800 includes an edge coupling ring 60 disposed on the pedestal 20. The edge coupling ring 60 includes one or more portions moveable by one or more actuators 804 located outside the processing chamber 800. In this example, the portion 72 is movable. The actuator 804 may be connected to the portion 72 of the edge coupling ring 60 by a mechanical linkage 810. For example, mechanical linkage 810 may include a rod member. Mechanical linkage 810 may pass through hole 811 in wall 814 of processing chamber 800. A seal 812 such as an "O" ring may be used. Mechanical linkage 810 may pass through hole 815 in one or more structures, such as portion 76 of edge coupling ring 60.

ここで図13Aおよび図13Bを参照して示されるように、エッジ結合リング830の左右への傾斜が示される。左右の傾きは、左右のずれを修正するために使用されてよい。図13Aにおいて、基板の逆側のエッジ結合リング830の部分830−1および830−2は、第1の配置840で配置される。部分830−1および830−2は、一般に、エッジ結合リング830の部分832−1および832−2と揃えられてよい。アクチュエータ836−1および836−2は、部分830−1および832−1と、830−2および832−2との間にそれぞれ配置される。 As shown herein with reference to FIGS. 13A and 13B, the left-right tilt of the edge coupling ring 830 is shown. The left/right tilt may be used to correct left/right misalignment. In FIG. 13A, portions 830-1 and 830-2 of the edge coupling ring 830 on the opposite side of the substrate are arranged in a first arrangement 840. Portions 830-1 and 830-2 may be generally aligned with portions 832-1 and 832- 2 of edge coupling ring 830. Actuators 836-1 and 836-2 are disposed between portions 830-1 and 832-1 and 830-2 and 832-, respectively.

図13Bにおいて、アクチュエータ836−1および836−2は、エッジ結合リング830が、図13Aに示される第1の配置840とは異なる第2の配置850に移動するように、エッジ結合リング830のそれぞれの部分を移動させる。理解され得るように、基板は、処理後に検査され得、基板に対する傾斜は、処理チャンバを開かずに、必要に応じて調整されてよい。 In FIG. 13B, actuators 836-1 and 836-2 respectively move the edge coupling ring 830 to a second position 850 that is different from the first position 840 shown in FIG. 13A. Move the part of. As can be appreciated, the substrate may be inspected after processing and the tilt with respect to the substrate may be adjusted as needed without opening the processing chamber.

図14を参照して示されるように、基板の処理中にエッジ結合リングを移動させるための方法900が示される。言い換えれば、同じ処理チャンバ内の単一の基板に対して異なる処理が実行されてよい。エッジ結合リングのエッジ結合効果は、次の基板に進む前に、同じ処理チャンバ内の基板に対して実行される多数の処理間で調整されてよい。910において、基板がペデスタル上に配置され、必要に応じて、エッジ結合リングの位置が調整される。914において、基板の処理が実行される。918において判定されたように基板の処理が行われた場合、922において、基板はペデスタルから移動される。924において、制御は、別の基板を処理する必要があるか否かを判定する。924が真の場合、方法は910に戻る。そうでなければ、方法は終了する。 As shown with reference to FIG. 14, a method 900 for moving an edge coupling ring during processing of a substrate is shown. In other words, different processes may be performed on a single substrate within the same process chamber. The edge-coupling effect of the edge-coupling ring may be adjusted between multiple processes performed on a substrate in the same processing chamber before proceeding to the next substrate. At 910, the substrate is placed on the pedestal and the position of the edge coupling ring is adjusted, if desired. At 914, processing of the substrate is performed. If the substrate has been processed as determined at 918, then at 922 the substrate is removed from the pedestal. At 924, control determines whether another substrate needs to be processed. If 924 is true, the method returns to 910. Otherwise, the method ends.

918が偽であり、基板が追加の処理を必要とする場合、方法は、930において、エッジ結合リングの調整が必要か否かを判定する。930が偽である場合、方法は914に戻る。930が真である場合、934において、1つまたは複数のアクチュエータを使用して、エッジ結合リングの少なくとも一部が移動され、方法は914に戻る。理解され得るように、エッジ結合リングは、同じ処理チャンバ内の同じ基板の処理間で調整され得る。 If 918 is false and the substrate requires additional processing, then at 930 the method determines if adjustment of the edge coupling ring is required. If 930 is false, the method returns to 914. If 930 is true, then at 934, at least a portion of the edge coupling ring is moved using one or more actuators and the method returns to 914. As can be appreciated, the edge coupling ring can be coordinated between processing of the same substrate in the same processing chamber.

ここで図15を参照して示されるように、エッジ結合リング1014およびリフティングリング1018は、ペデスタル1010の上面に隣接してその周りに配置される。エッジ結合リング1014は、上述のようにエッチング中に基板に隣接して配置される半径方向内側のエッジを含む。リフティングリング1018は、エッジ結合リング1014の少なくとも一部の下に配置される。リフティングリング1018は、ロボットアームを使用してエッジ結合リング1014を取り外す際に、エッジ結合リング1014を、ペデスタル1010の表面上に持ち上げるために使用される。エッジ結合リング1014は、処理チャンバを大気圧に開放する必要なしに取り外され得る。いくつかの例では、リフティングリング1018は、後述するように、ロボットアームがエッジ結合リング1014を取り外すためのクリアランスを提供するために、オプションで、円周方向に間隔を空けられた端部1020の間に、開口部1019を含んでよい。 Edge coupling ring 1014 and lifting ring 1018 are disposed adjacent to and around the top surface of pedestal 1010, as now shown with reference to FIG. Edge coupling ring 1014 includes a radially inner edge that is positioned adjacent the substrate during etching as described above. Lifting ring 1018 is disposed under at least a portion of edge coupling ring 1014. The lifting ring 1018 is used to lift the edge coupling ring 1014 onto the surface of the pedestal 1010 when removing the edge coupling ring 1014 using a robot arm. The edge coupling ring 1014 can be removed without having to open the processing chamber to atmospheric pressure. In some examples, the lifting ring 1018 optionally includes circumferentially spaced ends 1020 to provide clearance for the robot arm to remove the edge coupling ring 1014, as described below. An opening 1019 may be included in between.

ここで図16〜図17を参照して示されるように、エッジ結合リング1014およびリフティングリング1018の例がさらに詳細に示される。図16に示す例では、ペデスタルは、1021で一般に識別される静電チャック(ESC)を含んでよい。ESC1021は、ESCプレート1022、1024、1030、および1032などの1つまたは複数の積層プレートを含んでよい。ESCプレート1030は、中間ESCプレートに対応してよく、ESCプレート1032は、ESCベースプレートに対応してよい。いくつかの例では、ESCプレート1024と1030との間にOリング1026が配置されてよい。特定のペデスタル1010が示されているが、他のタイプのペデスタルが使用されてよい。 16-17, examples of edge coupling ring 1014 and lifting ring 1018 are shown in more detail. In the example shown in FIG. 16, the pedestal may include an electrostatic chuck (ESC), generally identified at 1021. ESC 1021 may include one or more laminated plates such as ESC plates 1022, 1024, 1030, and 1032. ESC plate 1030 may correspond to an intermediate ESC plate and ESC plate 1032 may correspond to an ESC base plate. In some examples, an O-ring 1026 may be placed between ESC plates 1024 and 1030. Although a particular pedestal 1010 is shown, other types of pedestals may be used.

底部エッジ結合リング1034は、エッジ結合リング1014およびリフティングリング1018の下に配置されてよい。底部エッジ結合リング1034は、ESCプレート1024、1030および1032ならびにOリング1026に隣接し、それらの半径方向外側に配置されてよい。 Bottom edge coupling ring 1034 may be disposed below edge coupling ring 1014 and lifting ring 1018. Bottom edge coupling ring 1034 may be located adjacent to and radially outward of ESC plates 1024, 1030 and 1032 and O-ring 1026.

いくつかの例では、エッジ結合リング1014は、1つまたは複数の自己センタリング機構1040、1044、および1046を含んでよい。単なる例として、自己センタリング機構1040および1044は、三角形の雌型自己センタリング機構であってよいが、他の形状を使用してもよい。自己センタリング機構1046は、傾斜面であってよい。リフティングリング1018は、1つまたは複数の自己センタリング機構1048、1050、および1051を含んでよい。単なる例として、自己センタリング機構1048および1050は、三角形の雄型自己センタリング機構であってよいが、他の形状を使用してもよい。自己センタリング機構1051は、自己センタリング機構1046と相補的な形状を有する傾斜面であってよい。リフティングリング1018上の自己センタリング機構1048は、エッジ結合リング1014上の自己センタリング機構1044と嵌合してよい。リフティングリング1018上の自己センタリング機構1050は、底部エッジ結合リング1034の自己センタリング機構1052と嵌合してよい。 In some examples, edge coupling ring 1014 may include one or more self-centering features 1040, 1044, and 1046. By way of example only, self-centering features 1040 and 1044 may be triangular female self-centering features, although other shapes may be used. The self-centering mechanism 1046 may be an inclined surface. Lifting ring 1018 may include one or more self-centering features 1048, 1050, and 1051. By way of example only, self-centering features 1048 and 1050 may be triangular male self-centering features, although other shapes may be used. The self-centering mechanism 1051 may be an inclined surface having a shape complementary to the self-centering mechanism 1046. The self-centering mechanism 1048 on the lifting ring 1018 may mate with the self-centering mechanism 1044 on the edge coupling ring 1014. The self-centering mechanism 1050 on the lifting ring 1018 may mate with the self-centering mechanism 1052 of the bottom edge coupling ring 1034.

リフティングリング1018は、半径方向外向きに延びる突起1054をさらに含む。溝1056は、突起1054の底面に面する表面1057上に配置されてよい。溝1056は、アクチュエータ1064に接続され、アクチュエータ1064によって垂直方向に選択的に移動されるピラー1060の一端によって付勢されるように構成される。アクチュエータ1064は、コントローラによって制御されてよい。理解され得るように、単一の溝、ピラー、およびアクチュエータが示されているが、追加の溝、ピラー、およびアクチュエータが、リフティングリング1018を上方向に付勢するために、リフティングリング1018の周りに間隔を空けて円周方向に配置されてよい。 Lifting ring 1018 further includes a protrusion 1054 extending radially outward. The groove 1056 may be disposed on the surface 1057 facing the bottom surface of the protrusion 1054. The groove 1056 is connected to the actuator 1064 and is configured to be biased by one end of a pillar 1060 that is selectively vertically moved by the actuator 1064. The actuator 1064 may be controlled by the controller. As can be appreciated, a single groove, pillar, and actuator are shown, but additional grooves, pillars, and actuators surround the lifting ring 1018 to urge the lifting ring 1018 upward. May be circumferentially spaced apart.

図17において、エッジ結合リング1014は、ピラー1060およびアクチュエータ1064を使用して、リフティングリング1018によって上方向に上昇されて示される。エッジ結合リング1014は、ロボットアームによって処理チャンバから取り外され得る。より詳細には、ロボットアーム1102は、ホルダ1104によってエッジ結合リング1014に接続される。ホルダ1104は、エッジ結合リング1014上の自己センタリング機構1040と嵌合する自己センタリング機構1110を含んでよい。理解され得るように、ロボットアーム1102およびホルダ1104は、リフティングリング1018上の自己センタリング機構1048を解除するために、エッジ結合リングを上方に付勢してよい。次に、ロボットアーム1102、ホルダ1104、およびエッジ結合リング1014が、処理チャンバから外へ移動され得る。ロボットアーム1102、ホルダ1104、および新たなエッジ結合リングは、リフティングリング1018へ戻され、配置され得る。次に、リフティングリング1018が下降される。新たなエッジ結合リング1014をリフティングリング1018に供給するために、逆の操作が使用されてよい。 In FIG. 17, edge coupling ring 1014 is shown raised upward by lifting ring 1018 using pillars 1060 and actuator 1064. The edge coupling ring 1014 can be removed from the processing chamber by a robot arm. More specifically, the robot arm 1102 is connected to the edge coupling ring 1014 by the holder 1104. The holder 1104 may include a self-centering mechanism 1110 that mates with a self-centering mechanism 1040 on the edge coupling ring 1014. As can be appreciated, the robot arm 1102 and holder 1104 may urge the edge coupling ring upward to release the self-centering mechanism 1048 on the lifting ring 1018. The robot arm 1102, holder 1104, and edge coupling ring 1014 can then be moved out of the processing chamber. The robot arm 1102, the holder 1104, and the new edge coupling ring can be returned and positioned on the lifting ring 1018. Next, the lifting ring 1018 is lowered. The reverse operation may be used to feed a new edge coupling ring 1014 to the lifting ring 1018.

あるいは、エッジ結合リング1014をリフティングリング1018から持ち上げるために、ロボットアーム1102およびホルダ1104を上方に持ち上げる代わりに、上昇されたエッジ結合リング1014の下に、ロボットアーム1102およびホルダ1104が、接触して配置され得る。次に、リフティングリング1018が下降され、エッジ結合リング1014が、ロボットアーム1102およびホルダ1104上に残る。ロボットアーム1102、ホルダ1104、およびエッジ結合リング1014は、処理チャンバから取り外され得る。新たなエッジ結合リング1014をリフティングリング1018に供給するために、逆の操作が使用されてよい。 Alternatively, instead of lifting the robot arm 1102 and holder 1104 upward to lift the edge coupling ring 1014 from the lifting ring 1018, the robot arm 1102 and holder 1104 contact below the raised edge coupling ring 1014. Can be placed. The lifting ring 1018 is then lowered and the edge coupling ring 1014 remains on the robot arm 1102 and holder 1104. The robot arm 1102, holder 1104, and edge coupling ring 1014 can be removed from the processing chamber. The reverse operation may be used to feed a new edge coupling ring 1014 to the lifting ring 1018.

ここで図18〜図20を参照して示されるように、可動エッジ結合リング1238およびリフティングリング1018が示される。図18において、1つまたは複数のピラー1210は、1つまたは複数のアクチュエータ1214によって、ESCベースプレート1032、底部エッジ結合リング1034、およびリフティングリング1018それぞれの穴1220、1224、および1228を上下に移動される。この例では、可動エッジ結合リング1238とリフティングリング1018の間に中間エッジ結合リング1240またはスペーサが配置される。中間エッジ結合リング1240は、自己センタリング機構1244、1246を含んでよい。対応する自己センタリング機構1248は、可動エッジ結合リング1238上に提供されてよい。自己センタリング機構1248は、中間エッジ結合リング1240上の自己センタリング機構1246と嵌合する。 As shown herein with reference to FIGS. 18-20, the movable edge coupling ring 1238 and the lifting ring 1018 are shown. In FIG. 18, one or more pillars 1210 are moved up and down through holes 1220, 1224, and 1228 in ESC base plate 1032, bottom edge coupling ring 1034, and lifting ring 1018, respectively, by one or more actuators 1214. It In this example, an intermediate edge coupling ring 1240 or spacer is located between the movable edge coupling ring 1238 and the lifting ring 1018. The intermediate edge coupling ring 1240 may include self-centering features 1244, 1246. A corresponding self-centering mechanism 1248 may be provided on the moveable edge coupling ring 1238. The self-centering mechanism 1248 mates with the self-centering mechanism 1246 on the intermediate edge coupling ring 1240.

上記で詳細に説明したように、使用中に可動エッジ結合リング1238の上方に面する表面の侵食が生じてよい。これは、プラズマのプロファイルを変更してよい。可動エッジ結合リング1238は、プラズマのプロファイルを変更するために、ピラー1210およびアクチュエータ1214を使用して、上方向に選択的に移動されてよい。図19において、図18の可動エッジ結合リング1238は、上昇位置で示される。中間エッジ結合リング1240は、静止したままであってよい。最終的に、可動エッジ結合リング1238は、1回または複数回移動され得、その後、エッジ結合リング1238と中間エッジ結合リング1240とが交換されてよい。 Erosion of the upward facing surface of the moveable edge coupling ring 1238 may occur during use, as described in detail above. This may change the profile of the plasma. The moveable edge coupling ring 1238 may be selectively moved upward using pillars 1210 and actuators 1214 to modify the profile of the plasma. In FIG. 19, the movable edge coupling ring 1238 of FIG. 18 is shown in the raised position. The intermediate edge coupling ring 1240 may remain stationary. Finally, the movable edge coupling ring 1238 may be moved one or more times, after which the edge coupling ring 1238 and the intermediate edge coupling ring 1240 may be replaced.

図20において、アクチュエータ1214は下降状態に戻され、アクチュエータ1064は、上昇状態へ移動される。エッジ結合リング1238および中間エッジ結合リング1240は、リフティングリング1018によって持ち上げられ、可動エッジ結合リング1238は、ロボットアーム1102およびホルダ1104によって取り外されてよい。 In FIG. 20, the actuator 1214 is returned to the lowered state, and the actuator 1064 is moved to the raised state. The edge coupling ring 1238 and the intermediate edge coupling ring 1240 may be lifted by the lifting ring 1018 and the movable edge coupling ring 1238 may be removed by the robot arm 1102 and the holder 1104.

理解され得るように、アクチュエータは、処理チャンバ内または処理チャンバ外に配置され得る。いくつかの例では、エッジ結合リングは、カセット、ロードロック、移動チャンバなどを介してチャンバに提供されてよい。あるいは、エッジ結合リングは、処理チャンバの外側であるが、基板処理ツールの内側に格納されてよい。 As can be appreciated, the actuator can be located within the process chamber or outside the process chamber. In some examples, the edge coupling ring may be provided to the chamber via a cassette, load lock, transfer chamber, etc. Alternatively, the edge bond ring may be stored outside the processing chamber but inside the substrate processing tool.

ここで図21〜図22を参照して示されるように、いくつかの例では、リフティングリングは省略され得る。エッジ結合リング1310は、底部エッジ結合リング1034上、かつペデスタルの半径方向外側のエッジに配置される。エッジ結合リング1310は、1つまたは複数の自己センタリング機構1316、1320を含んでよい。エッジ結合リング1310は、アクチュエータ1214によって付勢されるピラー1210の上面を受け入れるための溝1324をさらに含んでよい。自己センタリング機構1320は、底部エッジ結合リング1034の対応する自己センタリング機構1326に対向して配置されてよい。いくつかの例では、自己センタリング機構1320、1326は、傾斜面である。 In some examples, as shown herein with reference to FIGS. 21-22, the lifting ring may be omitted. The edge bond ring 1310 is disposed on the bottom edge bond ring 1034 and at the radially outer edge of the pedestal. Edge coupling ring 1310 may include one or more self-centering features 1316, 1320. The edge coupling ring 1310 may further include a groove 1324 for receiving the top surface of the pillar 1210 biased by the actuator 1214. The self-centering feature 1320 may be located opposite the corresponding self-centering feature 1326 of the bottom edge coupling ring 1034. In some examples, self-centering features 1320, 1326 are beveled surfaces.

図22において、アクチュエータ1214およびピラー1210は、エッジ結合リング1310を取り外すために、または、侵食が発生した後にプラズマプロファイルを調整するために、エッジ結合リング1310を上方に付勢する。ロボットアーム1102およびホルダ1104は、エッジ結合リング1310の下の位置に移動され得る。自己センタリング機構1316は、ロボットアーム1102に接続されたホルダ1104上の自己センタリング機構1110によって係合されてよい。溝1324とピラー1210との間にクリアランスを設けるために、ロボットアーム1102が上方向に移動するか、または、溝1324のためのクリアランスを設けるために、ピラー1210がアクチュエータ1214によって下方向へ移動される。 In FIG. 22, the actuator 1214 and pillar 1210 urge the edge coupling ring 1310 upward to remove the edge coupling ring 1310 or to adjust the plasma profile after erosion has occurred. Robot arm 1102 and holder 1104 can be moved to a position below edge coupling ring 1310. The self-centering mechanism 1316 may be engaged by the self-centering mechanism 1110 on the holder 1104 connected to the robot arm 1102. The robot arm 1102 moves upward to provide clearance between the groove 1324 and the pillar 1210, or the pillar 1210 is moved downward by an actuator 1214 to provide clearance for the groove 1324. It

ここで図23を参照して示されるように、処理チャンバを大気圧に開放せずに、エッジ結合リングを交換するための方法1400が示される。1404において、方法は、エッジ結合リングがリフティングリング上に配置されているか否かを判定する。1404が偽の場合、方法は、1408において、ロボットアームを使用して、エッジ結合リングをリフティングリング上の位置に移動させる。エッジ結合リングが処理チャンバ内のリフティングリング上に配置された後、1408において処理が実行される。1412において、方法は、上記の基準のいずれかを使用して、エッジ結合リングが摩耗しているか否かを判定する。1412が偽の場合、方法は1408に戻り、プロセスが再度実行されてよい。1412において、エッジ結合リングが摩耗していると判定された場合、1416においてエッジ結合リングが交換され、方法は1408に続く。 As shown herein with reference to FIG. 23, a method 1400 for replacing an edge coupling ring is shown without opening the processing chamber to atmospheric pressure. At 1404, the method determines whether the edge-bonding ring is located on the lifting ring. If 1404 is false, then at 1408 the method uses the robot arm to move the edge coupling ring to a position on the lifting ring. After the edge coupling ring is placed on the lifting ring within the processing chamber, processing is performed at 1408. At 1412, the method uses any of the above criteria to determine if the edge bonding ring is worn. If 1412 is false, the method returns to 1408 and the process may be run again. If it is determined at 1412 that the edge bond ring is worn, the edge bond ring is replaced at 1416 and the method continues at 1408.

ここで図24を参照して示されるように、方法1500は、侵食のためにオフセットするために、必要に応じて、可動エッジ結合リングの位置を調整し、可動エッジ結合リングが磨耗していると判定された場合、可動エッジ結合リングを選択的に交換する。1502において、方法は、可動エッジ結合リングがリフティングリング上に配置されているか否かを判定する。1502が偽の場合、エッジ結合リングは、1504においてリフティングリング上の位置へ移動され、方法は1502に続く。 As shown herein with reference to FIG. 24, method 1500 adjusts the position of the movable edge coupling ring as needed to offset due to erosion and the movable edge coupling ring is worn. If so, the movable edge coupling ring is selectively replaced. At 1502, the method determines whether the moveable edge coupling ring is located on the lifting ring. If 1502 is false, the edge join ring is moved to a position on the lifting ring at 1504 and the method continues at 1502.

1502が真である場合、方法は、1506において、可動エッジ結合リングの位置を調整する必要があるか否かを判定する。1506が真である場合、方法は、アクチュエータを使用して可動エッジ結合リングの位置を調整し、1506に戻る。1506が偽である場合、方法は1510において処理を実行する。1512において、方法は、可動エッジ結合リングが摩耗しているか否かを判定する。偽である場合、方法は1510に戻る。 If 1502 is true, the method determines at 1506 if the position of the moveable edge coupling ring needs to be adjusted. If 1506 is true, the method uses the actuator to adjust the position of the movable edge coupling ring and returns to 1506. If 1506 is false, then the method performs processing at 1510. At 1512, the method determines if the moveable edge coupling ring is worn. If false, the method returns to 1510.

1512が真である場合、方法は、1520において、可動エッジ結合リングが最高の(すなわち、完全に調整された)位置にあるか否かを判定する。1520が偽である場合、方法は、1524において、アクチュエータ1214を使用して可動エッジ結合リングの位置を調整し、方法は、1510に戻る。1520が真である場合、方法は、アクチュエータ1064、リフティングリング1018、およびロボットアーム1102を使用して、可動エッジ結合リングを交換する。 If 1512 is true, the method determines at 1520 whether the moveable edge coupling ring is in the highest (ie, fully adjusted) position. If 1520 is false, the method adjusts the position of the moveable edge coupling ring using actuator 1214 at 1524 and the method returns to 1510. If 1520 is true, the method uses actuator 1064, lifting ring 1018, and robot arm 1102 to replace the moveable edge coupling ring.

ここで図25を参照して示されるように、処理チャンバを大気圧に開放せずに、エッジ結合リングを交換するための方法1600が示される。1610において、リフティングリングとエッジ結合リングは、アクチュエータを使用して上方に付勢される。1620において、ロボットアームとホルダが、エッジ結合リングの下へ移動される。1624において、ロボットアームは、エッジ結合リングの自己センタリング機構を解除するために上方に移動されるか、または、リフティングリングが下方に移動される。1628において、エッジ結合リングとともにロボットアームが、処理チャンバから外へ移動される。1632において、エッジ結合リングが、ロボットアームから切り離される。1636では、交換用のエッジ結合リングが、ロボットアームによって取り上げられる。1638において、エッジ結合リングが、リフティングリング上に配置され、1つまたは複数の自己センタリング機構を使用して揃えられる。1642において、自己センタリング機構のための十分なクリアランスを可能にするために、ロボットアームが下降され、ロボットアームがチャンバから取り外される。1646において、リフティングリングとエッジ結合リングが、位置へ下降される。 As shown herein with reference to FIG. 25, a method 1600 is shown for replacing an edge coupling ring without opening the processing chamber to atmospheric pressure. At 1610, the lifting ring and edge coupling ring are biased upward using an actuator. At 1620, the robot arm and holder are moved under the edge coupling ring. At 1624, the robot arm is moved up to disengage the self-centering mechanism of the edge coupling ring, or the lifting ring is moved down. At 1628, the robot arm with the edge coupling ring is moved out of the processing chamber. At 1632, the edge coupling ring is separated from the robot arm. At 1636, the replacement edge coupling ring is picked up by the robot arm. At 1638, the edge coupling ring is placed over the lifting ring and aligned using one or more self-centering features. At 1642, the robot arm is lowered and the robot arm is removed from the chamber to allow sufficient clearance for the self-centering mechanism. At 1646, the lifting ring and edge coupling ring are lowered into position.

ここで図26を参照して示されるように、エッジ結合リングの状態および位置の検出の特徴が説明される。この説明部分は、本発明の特徴に従う検出器および検出方法に焦点をおき、エッジ結合リングの高さおよび侵食の直接測定を可能にする。ESC、エッジ結合リング、コントローラ、およびアクチュエータを含む処理チャンバの様々な要素の詳細は、以前に提供されたので、簡潔さと明瞭さのために、ここでは繰り返さない。 The characteristics of the detection of the state and position of the edge coupling ring will now be described, as shown with reference to FIG. This part of the description focuses on the detector and the detection method according to the features of the invention and allows a direct measurement of the height and erosion of the edge coupling ring. Details of the various elements of the processing chamber, including the ESC, edge coupling ring, controller, and actuator, have been provided previously and will not be repeated here for brevity and clarity.

図26において、処理チャンバ1710は、チャンバの上部の上に配置された窓1715を有する。チャンバ1710内のペデスタル1720には、静電チャック(ESC)1725が取り付けられる。ESC1725に隣接するのは、前述したように、エッジ結合リング1740を水平方向および/または垂直方向に移動させるアクチュエータ機構1730、1735である。アクチュエータ機構1730、1735のいずれかまたは両方は、前の図に関して説明されたように設置されてよい。ウェーハ1750は、エッジ結合リング1740内のESC1725上に配置される。 In FIG. 26, the processing chamber 1710 has a window 1715 located above the top of the chamber. An electrostatic chuck (ESC) 1725 is attached to the pedestal 1720 in the chamber 1710. Adjacent to the ESC 1725 are actuator mechanisms 1730, 1735 that move the edge coupling ring 1740 horizontally and/or vertically, as described above. Either or both actuator mechanisms 1730, 1735 may be installed as described with respect to the previous figures. Wafer 1750 is placed on ESC 1725 in edge coupling ring 1740.

カメラ1760は、チャンバ1710における側面視ポート1770を介してエッジ結合リング1740を見るために、取付機構1765に取り付けられる。取付機構1765は、側面視ポート1770に対するカメラ1760の適切な垂直および/または水平移動を可能にするブラケット、ドッキング機構、または他の適切な取付機構であり得、エッジ結合リング1740の適切な部分におけるカメラ1760の適切な焦点合わせを可能にし得る。1つの特徴において、側面視ポート1770は、ウェーハ処理中にポート内の材料を保護するためのシャッタ1775を含む。1つの特徴において、シャッタ1775は、空気圧ゲートバルブを使用して作動する。 Camera 1760 is attached to attachment mechanism 1765 to view edge coupling ring 1740 via side view port 1770 in chamber 1710. Attachment mechanism 1765 may be a bracket, docking mechanism, or other suitable attachment mechanism that allows for proper vertical and/or horizontal movement of camera 1760 relative to side view port 1770, at a suitable portion of edge coupling ring 1740. It may allow proper focusing of the camera 1760. In one aspect, the side view port 1770 includes a shutter 1775 to protect the material within the port during wafer processing. In one aspect, shutter 1775 operates using a pneumatic gate valve.

1つの特徴において、図示されるように、取付機構1765は、カメラ1760をチャンバ1710に取り付ける。別の特徴では、取付機構1765は、チャンバ1710の隣の構造上にカメラ1760を取り付ける。 In one feature, the attachment mechanism 1765 attaches the camera 1760 to the chamber 1710, as shown. In another feature, the mounting mechanism 1765 mounts the camera 1760 on a structure next to the chamber 1710.

いくつかの特徴において、(以前の図に示される)コントローラは、カメラ1760の作動、焦点合わせ、および位置決めを制御する。いくつかの特徴において、個別のコントローラ1800が、カメラの作動、焦点合わせ、および位置決めのうちの1つまたは複数を提供する。いくつかの特徴において、カメラ自体が、独自の焦点合わせ機構を提供するが、ここで説明するコントローラのうちの1つは、提供された画像の個別の分析に基づいてカメラ自体の焦点合わせを補完する。 In some aspects a controller (shown in the previous figure) controls the actuation, focusing, and positioning of camera 1760. In some features, a separate controller 1800 provides one or more of camera actuation, focusing, and positioning. In some features, the camera itself provides its own focusing mechanism, but one of the controllers described herein supplements the camera's own focusing based on the individual analysis of the images provided. To do.

他の特徴において、カメラ1760は、窓1715を通して見ることができるように設置される。図26において、カメラ1760は、エッジ結合リング1740の内側のエッジに焦点を合わせたものとして示される。エッジ結合リング1740は、チャンバ1710内に設置された時点の新たな状態で描かれている。 In other features, the camera 1760 is mounted so that it can be viewed through the window 1715. In FIG. 26, the camera 1760 is shown as focused on the inner edge of the edge combining ring 1740. The edge coupling ring 1740 is depicted in its new condition when installed in the chamber 1710.

カメラ1760は、エッジ結合リング1740の状態および位置の判定を可能にし、リングの高さおよびリング侵食の直接測定を提供する適切なサイズの画像を生成するのに十分な解像度(たとえば、画素数)からなる。いくつかの特徴において、カメラは、マクロレンズを使用して、マクロ(クローズアップ)モードで作動する。他の特徴において、レンズは、適切な倍率を提供する光学ズームレンズであってよい。リングの状態と位置を判定するために十分な情報(たとえば、画像)を生成できる画素数と倍率(マクロ、光学ズーム、またはいくつかの特徴では、デジタルズーム)の任意の組合せが許容される。いくつかの特徴では、カメラ1760は、マクロ撮影および/またはズーム撮影と組み合わせて、高ダイナミックレンジ(HDR)イメージングを使用して作動してよい。 The camera 1760 allows sufficient determination of the state and position of the edge-coupled ring 1740 and has sufficient resolution (eg, number of pixels) to produce an appropriately sized image that provides a direct measurement of ring height and ring erosion. Consists of. In some features, the camera operates in macro (close-up) mode using a macro lens. In other features, the lens may be an optical zoom lens that provides the appropriate magnification. Any combination of pixel number and magnification (macro, optical zoom, or digital zoom in some features) that can generate sufficient information (eg, an image) to determine the state and position of the ring is acceptable. In some features, the camera 1760 may operate using high dynamic range (HDR) imaging in combination with macro photography and/or zoom photography.

1つの特徴において、エッジ結合リング1740を照明するために十分な光がチャンバ1710内に存在するために、プラズマ光は十分に良好である。他の特徴では、発光ダイオード(LED)光源などの外部照明光源が提供される。図27において、図26に描写された要素に加えて、いくつかの特徴において、外部照明装置1780は、チャンバ1710内に照明を提供する。1つの特徴において、示されるように、取付機構1785は、照明装置1780をチャンバ1710に取り付ける。別の特徴において、取付機構1785は、チャンバ1710の隣の構造上に照明装置1780を取り付ける。1つの特徴において、照明装置1780はカメラ1760に取り付けられる。様々な特徴に従って、その取り付けは、機械的、電気的、またはその両方である。いくつかの特徴では、追加の側面視ポート1790が提供され、それを通して照明装置1780がチャンバ1710内に光を照らす。取付機構1785は、側面視ポート1790に対するカメラ1760の適切な垂直および/または水平移動を可能にするブラケット、ドッキング機構、または他の適切な取付機構であってよい。いくつかの特徴において、追加の側面視ポート1790は、側面視ポート1770と同じチャンバ1710の側面にある。他の特徴において、追加の側面視ポート1790は、側面視ポート1770とは異なるチャンバ1710の側面にあってよい。1つの特徴において、側面視ポート1790は、ウェーハ処理中にポート内の材料を保護するためのシャッタ1795を含む。1つの特徴において、シャッタ1795は、空気圧ゲートバルブを使用して作動する。さらに他の特徴において、照明装置1780は、カメラ1760が使用するのと同じ側面視ポート1770を通して光を照らし、この場合、個別の側面視ポート1790は必要とされない。 In one aspect, the plasma light is good enough so that there is sufficient light in the chamber 1710 to illuminate the edge coupling ring 1740. In other features, an external illumination light source such as a light emitting diode (LED) light source is provided. 27, in addition to the elements depicted in FIG. 26, in some aspects an external lighting device 1780 provides illumination within the chamber 1710. In one feature, the mounting mechanism 1785 mounts the lighting device 1780 to the chamber 1710, as shown. In another feature, the mounting mechanism 1785 mounts the lighting device 1780 on a structure adjacent to the chamber 1710. In one feature, the lighting device 1780 is attached to the camera 1760. According to various features, the attachment is mechanical, electrical, or both. In some features, an additional side view port 1790 is provided through which a lighting device 1780 illuminates light into chamber 1710. Attachment mechanism 1785 may be a bracket, docking mechanism, or other suitable attachment mechanism that allows for proper vertical and/or horizontal movement of camera 1760 relative to side view port 1790. In some features, the additional side view port 1790 is on the same side of chamber 1710 as side view port 1770. In other features, the additional side view port 1790 may be on a different side of the chamber 1710 than the side view port 1770. In one aspect, the side view port 1790 includes a shutter 1795 to protect the material within the port during wafer processing. In one aspect, the shutter 1795 operates using a pneumatic gate valve. In still other features, the illuminator 1780 illuminates light through the same side view port 1770 that the camera 1760 uses, in which case a separate side view port 1790 is not required.

2つの側面視ポート1770、1790を個別に例示するのを容易にするために、チャンバ1710は、図27では図26よりも少し高いものとして示されているが、いくつかの特徴では、チャンバは、両図において、同じサイズである。プラズマ光が光源として機能する場合、追加の側面視ポート1790は不要である。 To facilitate the illustration of the two side view ports 1770, 1790 separately, the chamber 1710 is shown in FIG. 27 as slightly higher than FIG. 26, but in some features , Both figures have the same size. If the plasma light acts as a light source, no additional side view port 1790 is needed.

操作中、カメラ1760の焦点および/または位置は、ドリフトし得る。1つの特徴において、コントローラ1800は、カメラ1760の焦点および位置を監視し、適切な調整を行う。 During operation, the focus and/or position of camera 1760 may drift. In one aspect, the controller 1800 monitors the focus and position of the camera 1760 and makes the appropriate adjustments.

図28は、図27と同じ要素のすべてを有するが、ただし、エッジ結合リング1740’は侵食されているように示されており、内部半径は外部半径よりも短い。前述したように、この侵食またはエッチングは、ウェーハ処理システムがますます多くのウェーハを処理するにつれて発生する。また、前述したように、エッジ結合リングが腐食しすぎて、ウェーハのエッジにおいてエッチングを制御する機能を実行できないことを示す画像を、カメラ1760が提供する場合、コントローラ560は、必要に応じて、エッジ結合リング1740’を垂直方向に移動させるために、一方または両方のアクチュエータ1730、1735を制御する。1つの特徴において、コントローラ560、1800は互いに通信し、コントローラ560は、コントローラ1800からの画像データに応じて、適切なアクチュエータを操作する。 FIG. 28 has all of the same elements as FIG. 27, except that the edge coupling ring 1740' is shown to be eroded and the inner radius is shorter than the outer radius. As mentioned above, this erosion or etching occurs as the wafer processing system processes more and more wafers. Also, as mentioned above, if the camera 1760 provides an image showing that the edge coupling ring is too corroded to perform the function of controlling the etch at the edge of the wafer, the controller 560 may optionally One or both actuators 1730, 1735 are controlled to move the edge coupling ring 1740' vertically. In one aspect, controllers 560, 1800 communicate with each other and controller 560 operates the appropriate actuator in response to image data from controller 1800.

図29Aは、図15における平面図に示されるライナ1012における開口部1015の拡大図である。開口部は、ライナの側面図に表れる。ライナ1012は、カメラがエッジ結合リングの位置および状態の画像を撮像するために焦点合わせすることができる固定基準として機能する。 FIG. 29A is an enlarged view of the opening 1015 in the liner 1012 shown in the plan view of FIG. The opening appears in the side view of the liner. The liner 1012 acts as a fixed reference that the camera can focus to capture an image of the position and condition of the edge coupling ring.

図29Bおよび図29Cはそれぞれ、ライナ1012における開口部1015に対する、良好なおよび不良なエッジ結合リング配置の画像を示す。これらの図では、エッジ結合リングは各画像の底部にある。各図における暗部分は、開口部1015の一部である。暗部分の高さの一貫性は、配置の品質を示す。1つの特徴において、暗部分の高さは、暗部分の中心の垂直軸に沿った垂直な暗い画素の数を数えることにより決定される。図29Bにおいて、暗部分の高さの相対的な同等性、およびそれらの部分のサイズは、エッジ結合リングが適切に配置されていることを示す。図29Cにおいて、暗部分の高さの不一致、および図の右側の暗部分の比較的短い高さは、エッジ結合リングが傾いていることを示す。 29B and 29C show images of good and bad edge-bonding ring placement for opening 1015 in liner 1012, respectively. In these figures, the edge join ring is at the bottom of each image. The dark portion in each drawing is a part of the opening 1015. The consistency of the height of the dark areas indicates the quality of the placement. In one aspect, the height of the dark portion is determined by counting the number of vertical dark pixels along the vertical axis at the center of the dark portion. In FIG. 29B, the relative equivalence of the heights of the dark areas, and the size of those areas, indicates that the edge-coupling rings are in place. In FIG. 29C, the height mismatch of the dark portion and the relatively short height of the dark portion on the right side of the figure indicate that the edge coupling ring is tilted.

図30A〜図30Cは、チャンバにおいて撮像された、エッジ結合リング1740の様々な高さおよび状態の生画像を示す。図30Aは、図30Aを形成するために並んで配置された6つの画像において見られるように、3.0、3.2、3.4、3.6、3.8、および4.0mmの高さを有する新たなエッジ結合リングの状態を示す。図30Bは、図30Aと同じ高さにおけるリングの再較正および上昇前の、磨耗したエッジ結合リングの状態を示す。図30Cは、図30Aおよび図30Bと同じ高さにおけるリングの再較正および上昇後の、磨耗したエッジ結合リングの状態を示す。 30A-30C show raw images of various heights and conditions of the edge coupling ring 1740 taken in the chamber. FIG. 30A shows 3.0, 3.2, 3.4, 3.6, 3.8, and 4.0 mm as seen in the six images placed side by side to form FIG. 30A. Figure 6 shows a new edge-bonded ring with height. FIG. 30B shows the worn edge bonded ring condition before recalibration and raising of the ring at the same height as FIG. 30A. FIG. 30C shows the condition of the worn edge bonded ring after recalibration and raising of the ring at the same height as FIGS. 30A and 30B.

1つの特徴において、図30A〜図30Cに示されるような生画像は、第1の事例では、いくつかの異なるリング高さおよびリング状態を見て、再び図15のライナ1012における開口部1015を固定基準として使用することによって、カメラを較正するために使用されてよい。1つの特徴において、較正は以下のように実行されてよい。最初に、新たなエッジ結合リングが設置されると、たとえば、リングを上下させるためにアクチュエータの1つまたは複数を使用して、いくつかの異なるリングの高さで画像が撮像されてよい。リングの様々な高さを画素単位で測定し、それらの測定値を物理的な測定値と比較すると、遷移エッジセンサ(TES)の較正を可能にするゲージが提供され、それによってカメラを較正する。較正は、焦点が合っているか、焦点距離(倍率)であるかに関わらず、カメラのドリフトを考慮するのに役立ち得る。たとえば、倍率のドリフトにより、画素数とμmの数との関係が変化するため、高さの測定値が変化し得る。 In one aspect, a raw image as shown in FIGS. 30A-30C, in the first case, sees several different ring heights and states, again looking at the opening 1015 in the liner 1012 of FIG. It may be used to calibrate the camera by using it as a fixed reference. In one aspect, the calibration may be performed as follows. First, when a new edge-coupled ring is installed, images may be taken at several different ring heights, eg, using one or more of the actuators to raise and lower the ring. Measuring different heights of the ring pixel by pixel and comparing those measurements with physical measurements provides a gauge that allows calibration of the transition edge sensor (TES), thereby calibrating the camera. .. Calibration can help account for camera drift, whether in focus or at focal length (magnification). For example, a magnification drift may change the relationship between the number of pixels and the number of μm, thus changing the height measurement.

図31は、エッジ結合リングの侵食を直接測定する代替手法を示す。図26〜図28において、カメラ1760は、エッジ結合リングの内側のエッジ上に直接照準される。しかしながら、この図では、カメラは、エッジ結合リングの上面全体の画像を提供する傾向があり得るため、潜在的に、実際の侵食量を隠したり、またはマスクする。エッジを、リングの上面の残りの部分と区別することは困難であるため、エッジ結合リングの内側のエッジの高さを測定することは困難になる。画像は、ぼやけて見える場合がある。(μmなどの高さの単位に変換された画素数で)その高さを測定し、それによって侵食の程度を判定するために、正面のエッジをはっきりと見ることが望ましい。 FIG. 31 shows an alternative approach to directly measure the erosion of the edge coupling ring. 26-28, the camera 1760 is aimed directly on the inner edge of the edge-coupling ring. However, in this figure, the camera may tend to provide an image of the entire top surface of the edge-coupling ring, potentially hiding or masking the actual amount of erosion. It is difficult to distinguish the edge from the rest of the top surface of the ring, which makes it difficult to measure the height of the inner edge of the edge-bonded ring. The image may appear blurry. In order to measure its height (in pixels converted to a unit of height such as μm) and thereby determine the extent of erosion, it is desirable to see the front edge clearly.

この目的のために、図31では、カメラ1760は、エッジ結合リングの内部を直接見る代わりに、エッジ結合リングの内部の反射を拾うことができる。反射は、ESC1725の表面から、またはウェーハ1750の表面から生じ得る。どちらかまたは両方の表面は、反射特性を有し得る。反射を見ると、その後、カメラ1760は、エッジ結合リング1840の反射1840’を拾う。(点線は、侵食部分1845およびその「反射」1845’を示す。) For this purpose, in FIG. 31, the camera 1760 can pick up reflections inside the edge-coupling ring instead of looking directly inside the edge-coupling ring. Reflection can occur from the surface of ESC 1725 or from the surface of wafer 1750. Either or both surfaces may have reflective properties. Looking at the reflection, the camera 1760 then picks up the reflection 1840' of the edge coupling ring 1840. (Dotted lines indicate eroded portion 1845 and its "reflection" 1845'.)

リング自体を見る代わりにエッジ結合リングの反射を見ることにより、遠近法の問題が回避される。いくつかの事例では、エッジ結合リングの状態をより明確に判定することを可能にするために、エッジ結合リングの内側のエッジの高さが直接測定され得る。 By looking at the reflection of the edge-coupled ring instead of looking at the ring itself, perspective problems are avoided. In some cases, the height of the edge inside the edge-bonding ring may be measured directly to allow a more explicit determination of the condition of the edge-bonding ring.

エッジ結合リングの反射を見ても、リング侵食の検出可能性には限界があり得る。侵食はエッジ結合リングの内側で発生するため、侵食は、リングの内側のエッジの高さを、外側のエッジに対して減少させる。この減少が大きいほど、リングの上面が効果的に傾斜する程度が大きくなる。ある時点において、「傾斜」の程度が非常に大きくなり得るため、反射のリングの内側のエッジを区別することが難しくなり、その結果、その内側のエッジの高さを測定すること、したがって、浸食の程度を測定することを困難にする。侵食の程度を判定できないと、アクチュエータを用いたリングの高さの早すぎる調整または遅すぎる調整を引き起こし得、あるいは、リングの早すぎる交換または遅すぎる交換さえも引き起こしうる。その結果、エッジ結合リングの交換が早すぎて、リングの耐用年数が無駄になるか、あるいは、リングの上昇または交換が遅すぎて、ウェーハの半径方向外側のエッジ付近のエッチングプロファイルにばらつきが生じる。1つの特徴において、侵食が進行するにつれて、カメラ1760が反射画像を見る角度を大きくすることで、補償することができる。 Looking at the reflections of the edge-coupled ring can limit the detectability of ring erosion. Erosion occurs inside the edge-bonded ring, so erosion reduces the height of the inner edge of the ring relative to the outer edge. The greater this reduction, the greater the degree to which the top surface of the ring is effectively tilted. At some point, the degree of "tilt" can be so great that it becomes difficult to distinguish the inner edge of the ring of reflections, which results in measuring the height of that inner edge and thus the erosion. Makes it difficult to measure the degree of. Failure to determine the extent of erosion can cause premature or slow adjustment of the height of the ring with the actuator, or even premature or slow replacement of the ring. As a result, replacement of the edge-bonded ring is premature and the useful life of the ring is wasted, or rise or replacement of the ring is too slow, causing variations in the etching profile near the radially outer edge of the wafer .. In one aspect, as the erosion progresses, the angle at which the camera 1760 views the reflected image can be increased to compensate.

図32は、カメラからの画像を使用して、エッジ結合リングを装着する方法を示す。方法が1910において開始した後、1920において、ロボットは、ESC上にエッジ結合リングを取り付ける。1930において、リングの内側のエッジを識別するために、カメラは焦点を合わせられる。前述のように、カメラは、エッジ結合リングの内側のエッジ、あるいは、ESCまたはウェーハ上のリングの反射のいずれかに、焦点を合わされ得る。 FIG. 32 shows how to attach an edge coupling ring using an image from a camera. After the method starts at 1910, at 1920, the robot mounts an edge coupling ring on the ESC. At 1930, the camera is focused to identify the inner edge of the ring. As mentioned above, the camera can be focused on either the inner edge of the edge-coupled ring or the reflection of the ring on the ESC or wafer.

1940において、カメラは、図15のリフティングリングなどの固定基準に対するエッジ結合リングの画像を撮像する。1950において、リングが垂直方向に揃えられているか否か、すなわち、(たとえば、図29Bに示すように)エッジ結合リングに傾斜があるか否かを判定するために、画像が処理および分析される。傾斜がある場合、1955において、コントローラ560は、傾斜を補償するために、アクチュエータの1つまたは複数を制御し、方法は、1940に戻り、さらに画像を取得し、まだ傾斜があるか否かを(1950において)再度チェックする。 At 1940, the camera captures an image of an edge-coupled ring against a fixed reference, such as the lifting ring of FIG. At 1950, the image is processed and analyzed to determine if the rings are vertically aligned, that is, if the edge-bonded rings are skewed (eg, as shown in FIG. 29B). .. If there is tilt, then at 1955 the controller 560 controls one or more of the actuators to compensate for the tilt and the method returns to 1940 to acquire more images and determine if there is still tilt. Check again (at 1950).

エッジ結合リングが傾斜していない場合、1960において、取得された画像を再度使用して、エッジ結合リングが正しい高さにあるか否かが判定される。リングが正しい高さにない場合、1965において、コントローラ560は、高さを修正するために、垂直アクチュエータの1つまたは複数を制御し、方法は、1940に戻り、さらに画像を取得し、エッジ結合リングが正しい高さにあるか否かを(1960において)再度チェックする。1つの特徴において、傾斜がすでに調整されている場合、1950はスキップされ得、方法は、1940から1960に直接進むことができる。別の特徴において、1950と1960とを単一の分析に組み合わせ、1955と1965とを単一の処理に組み合わせ、コントローラ560が単一のアクションで垂直アクチュエータを制御することにより、傾斜および高さは、単一のステップで測定および調整され得る。 If the edge-bonded ring is not tilted, then at 1960 the acquired image is again used to determine if the edge-bonded ring is at the correct height. If the ring is not at the correct height, at 1965 controller 560 controls one or more of the vertical actuators to correct the height and the method returns to 1940 to acquire more images and edge combine. Check again (at 1960) if the ring is at the correct height. In one feature, if the tilt has already been adjusted, 1950 may be skipped and the method may proceed directly from 1940 to 1960. In another feature, 1950 and 1960 are combined into a single analysis, 1955 and 1965 are combined into a single process, and controller 560 controls the vertical actuator in a single action to provide tilt and height adjustments. , Can be measured and adjusted in a single step.

エッジ結合が、適切な高さにあり、垂直方向に揃っていると、1970において、エッジ結合リングが、ESC上において、水平方向に揃えられているか否かが判定される。水平方向に揃えられていない場合、1975において、コントローラ560は、水平アクチュエータの1つまたは複数に対して、エッジ結合リングを移動させ、その後、方法は、1940に戻り、さらに画像を取得し、エッジ結合リングが水平方向に揃えられているか否かを(1960において)再度チェックする。1つの特徴において、垂直方向の揃えが既に調整されている場合、1950および1960はスキップされ得、方法は、1940から1970へ直接進むことができる。 If the edge bond is at the proper height and is vertically aligned, then at 1970 it is determined whether the edge bond ring is horizontally aligned on the ESC. If not horizontally aligned, at 1975, the controller 560 moves the edge coupling ring relative to one or more of the horizontal actuators, and then the method returns to 1940 to acquire more images and to edge. Check again (at 1960) if the tie rings are horizontally aligned. In one feature, 1950 and 1960 can be skipped if the vertical alignment has already been adjusted, and the method can proceed directly from 1940 to 1970.

図32に示す方法では、垂直方向の揃えおよび水平方向の揃えは、示されたシーケンスで判定される必要はない。シーケンスは逆にすることができ、最初に水平方向の揃えが調整され、次に垂直方向の揃えが調整される。1つの特徴において、コントローラ560は、エッジ結合リングの位置決めに関する情報のすべてを受信し、多数のアクチュエータを直ちに制御して、エッジ結合リングを揃えることができる。この特徴に従って、1950、1960、および1970は、単一の分析に結合され得、1955、1965、および1975は、1つの処理に結合され得る。 In the method shown in FIG. 32, vertical alignment and horizontal alignment need not be determined in the sequence shown. The sequence can be reversed, first adjusting the horizontal alignment and then adjusting the vertical alignment. In one aspect, the controller 560 can receive all of the information regarding the positioning of the edge coupling ring and immediately control multiple actuators to align the edge coupling ring. According to this feature, 1950, 1960, and 1970 can be combined into a single analysis and 1955, 1965, and 1975 can be combined into one treatment.

図33は、カメラからの画像を使用して、エッジ結合リングを調整する方法を示す。方法が2010において開始された後、2020において、リングが設置されてウェーハ処理が開始されてから、所定の期間が経過したか否かが判定される。経過したと判定されなかった場合、方法は、所定の期間が経過したか否かを確認するために2020に戻る。 FIG. 33 shows how to use an image from a camera to adjust the edge-coupling ring. After the method starts at 2010, it is determined at 2020 whether a predetermined period has elapsed since the ring was installed and wafer processing started. If not determined, the method returns to 2020 to see if the predetermined time period has elapsed.

1つの特徴において、2020において、所定の期間待つ代わりに、所定数の処理サイクルが発生したか否かが判定される。発生したと判定されなかった場合、方法は、サイクル数を再度チェックするために2020に戻る。 In one feature, at 2020, it is determined whether a predetermined number of processing cycles have occurred instead of waiting a predetermined period of time. If not, the method returns to 2020 to check the cycle number again.

所定の期間が経過したか、所定数の処理サイクルが発生した場合、2030において、カメラは、リングの内側のエッジを識別するために焦点合わせされる。前述のように、カメラは、エッジ結合リングの内側のエッジ、あるいは、ESCまたはウェーハ上のリングの反射のいずれかに、焦点合わせされ得る。2040において、固定基準に対するエッジ結合リングの焦点画像が撮像され、リングの内側のエッジの高さが測定される。2050において、その内側のエッジが、ウェーハの表面上の少なくとも所定の高さにあると判定された場合、2055において、所定の期間待つように決定される。1つの特徴において、所定の期間待つ代わりに、所定数のウェーハ処理サイクル待つように決定される。所定の期間が経過するか、所定数のサイクルが発生した後、方法は2030に戻り、そこで再びカメラの焦点合わせがなされ、次に2040に戻り、そこでさらに画像が撮像され、2050における決定が繰り返される。 If the predetermined time period has elapsed or the predetermined number of processing cycles have occurred, then at 2030, the camera is focused to identify the inner edge of the ring. As mentioned above, the camera can be focused on either the inner edge of the edge-coupled ring or the reflection of the ring on the ESC or wafer. At 2040, a focus image of the edge-coupled ring relative to a fixed reference is taken and the height of the inner edge of the ring is measured. If it is determined at 2050 that its inner edge is at least a predetermined height above the surface of the wafer, then at 2055 it is determined to wait a predetermined period. In one aspect, it is decided to wait a predetermined number of wafer processing cycles instead of waiting a predetermined period. After a predetermined period of time has elapsed or a predetermined number of cycles have occurred, the method returns to 2030, where the camera is focused again, and then to 2040, where more images are taken and the determination at 2050 is repeated. Be done.

エッジ結合リングの内側のエッジが、ウェーハの表面上の少なくとも所定の高さではないと判定された場合、2060において、コントローラ560は、エッジ結合リングを上昇させるように垂直アクチュエータを制御する。2070において、エッジ結合リングを設置してから所定数のサイクルがあったか否かが判定される。所定数のサイクルがあったと判定されなかった場合、方法は2055に戻り、所定の期間待つ。1つの特徴において、方法は、2055において、所定数のサイクル待つことができる。 If it is determined that the inner edge of the edge coupling ring is not at least a predetermined height above the surface of the wafer, then at 2060 controller 560 controls the vertical actuator to raise the edge coupling ring. At 2070, it is determined whether there has been a predetermined number of cycles since installing the edge coupling ring. If it is not determined that the predetermined number of cycles have been encountered, then the method returns to 2055 and waits for the predetermined period. In one feature, the method can wait at 2055 for a predetermined number of cycles.

2070において、所定数のサイクルが経過したと判定された場合、2080において、エッジ結合リングが交換される。1つの特徴において、所定数のサイクルが経過したか否かを確認する代わりに、アクチュエータの伸びの量が測定され得る。アクチュエータの伸びが所定の量を超える場合、エッジ結合リングを交換する必要があると判定され得る。別の特徴において、直前の選択肢のいずれかではなく、エッジ結合リングの設置から所定の期間が経過したか否かが判定され得る。そのような期間が経過した場合、エッジ結合リングを交換する必要があると判定され得る。 If it is determined at 2070 that the predetermined number of cycles have elapsed, then at 2080 the edge bond ring is replaced. In one aspect, instead of checking if a predetermined number of cycles have elapsed, the amount of actuator extension can be measured. If the extension of the actuator exceeds a predetermined amount, it may be determined that the edge coupling ring needs to be replaced. In another feature, it may be determined whether a predetermined period has elapsed since the installation of the edge coupling ring, rather than any of the previous options. If such a time period has elapsed, it may be determined that the edge coupling ring needs to be replaced.

エッジ結合リングが交換された後、方法は2090において終了するか、または開始するように戻ることができる。 After the edge-bonding ring has been replaced, the method may end at 2090 or return to start.

前述の説明は、本質的に単なる例示であり、開示、その適用、または使用を限定することは決して意図されていない。本開示の広範な教示は、様々な形態で実施され得る。したがって、本開示は特定の例を含むが、図面、明細書、および添付の特許請求の範囲を検討すると、他の修正が明らかになるため、本開示の真の範囲はそのように限定されるべきではない。本明細書で使用される場合、A、B、およびCのうちの少なくとも1つというフレーズは、非排他的な論理的ORを使用する論理(AまたはBまたはC)を意味すると解釈されるべきであり、「Aのうちの少なくとも1つ、Bのうちの少なくとも1つ、およびCのうちの少なくとも1つ」を意味すると解釈されるべきではない。方法内の1つまたは複数のステップは、本開示の原理を変更することなく、異なる順序で(または同時に)実行されてよいことを理解されたい。 The preceding description is merely exemplary in nature and is in no way intended to limit the disclosure, its application, or uses. The broad teachings of the disclosure can be implemented in various forms. Thus, while the present disclosure includes specific examples, upon studying the drawings, the specification, and the appended claims, other modifications will become apparent and the true scope of the disclosure will be so limited. Should not be. As used herein, the phrase at least one of A, B, and C should be taken to mean logic (A or B or C) using a non-exclusive logical OR. And should not be construed to mean “at least one of A, at least one of B, and at least one of C”. It should be appreciated that one or more steps in the method may be performed in a different order (or simultaneously) without changing the principles of the present disclosure.

いくつかの実装形態では、コントローラは、システムの一部であり、これは上記の例の一部であってよい。そのようなシステムは、1つまたは複数の処理ツール、1つまたは複数のチャンバ、処理のための1つまたは複数のプラットフォーム、および/または、特定の処理構成要素(ウェーハペデスタル、ガスフローシステムなど)を含む半導体処理機器を備えることができる。これらのシステムは、半導体ウェーハまたは基板の処理前、処理中、および処理後の操作を制御するための電子機器と統合されてよい。電子機器は、「コントローラ」と呼ばれ、1つまたは複数のシステムの様々な構成要素またはサブパートを制御してよい。コントローラは、処理要件および/またはシステムのタイプに応じて、処理ガスの供給、温度設定(たとえば、加熱および/または冷却)、圧力設定、真空設定、電力設定、無線周波数(RF)生成器設定、RF整合回路設定、周波数設定、流量設定、流体供給設定、位置および操作設定、ツールおよび他の移動ツールへのまたはそこからのウェーハの移動、および/または、特定のシステムに接続またはインターフェースされたロードロックを含む、本明細書で開示された処理のいずれかを制御するようにプログラムされてよい。 In some implementations, the controller is part of the system, which may be part of the example above. Such systems may include one or more processing tools, one or more chambers, one or more platforms for processing, and/or particular processing components (wafer pedestal, gas flow system, etc.). Semiconductor processing equipment including These systems may be integrated with electronics for controlling pre-processing, during processing, and post-processing operations of semiconductor wafers or substrates. The electronic device, called a "controller," may control various components or subparts of one or more systems. The controller can supply process gas, temperature settings (eg, heating and/or cooling), pressure settings, vacuum settings, power settings, radio frequency (RF) generator settings, depending on the process requirements and/or type of system. RF matching circuit settings, frequency settings, flow settings, fluid supply settings, position and operating settings, transfer of wafers to and from tools and other transfer tools, and/or loads connected or interfaced to a particular system. It may be programmed to control any of the processes disclosed herein, including locking.

概して、コントローラは、命令を受け取り、命令を発行し、操作を制御し、クリーニング操作を有効にし、エンドポイント測定を有効にするなどのことをする、様々な集積回路、ロジック、メモリ、および/または、ソフトウェアを有する電子機器として定義されてよい。集積回路は、プログラム命令を格納するファームウェアの形態のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されるチップ、および/または1つまたは複数のマイクロプロセッサ、または、プログラム命令(たとえば、ソフトウェア)を実行するマイクロコントローラを含んでよい。プログラム命令は、半導体ウェーハ上または半導体ウェーハのため、または、システムへの特定の処理を実行するための操作パラメータを定義する様々な個別設定(またはプログラムファイル)の形態でコントローラに通信される命令であってよい。いくつかの実施形態では、操作パラメータは、1つまたは複数の層、材料、金属、酸化物、珪素、二酸化珪素、表面、回路、および/または、ウェーハのダイの製造中に、1つまたは複数の処理ステップを達成するために処理エンジニアによって定義されるレシピの一部であってよい。 In general, a controller receives various instructions, issues instructions, controls operations, enables cleaning operations, enables endpoint measurements, and so on, and may include various integrated circuits, logic, memory, and/or , May be defined as an electronic device having software. An integrated circuit is a chip in the form of firmware that stores program instructions, a digital signal processor (DSP), a chip defined as an application specific integrated circuit (ASIC), and/or one or more microprocessors or programs. It may include a microcontroller that executes instructions (eg, software). Program instructions are instructions that are communicated to the controller in the form of various personalized settings (or program files) that define operating parameters on or for a semiconductor wafer or to perform a particular process on the system. You can In some embodiments, the operating parameters are one or more of one or more layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and/or during fabrication of a die of a wafer. May be part of a recipe defined by the processing engineer to accomplish the processing steps.

コントローラは、いくつかの実装形態では、システムと統合された、システムに結合された、さもなければシステムにネットワーク化された、またはそれらの組み合わせであるコンピュータの一部であってよいか、または、このコンピュータに結合されてよい。たとえば、コントローラは、「クラウド」に、または、ファブホストコンピュータシステムの全部または一部にあってもよく、これは、ウェーハ処理の遠隔アクセスを可能にし得る。コンピュータは、現在の処理のパラメータを変更するため、現在の処理に後続する処理ステップを設定するため、または新たな処理を開始するために、システムへの遠隔アクセスが、製造操作の現在の進行状況を監視し、過去の製造操作の履歴を調べ、複数の製造操作から傾向または性能指標を調べることを可能にする。いくつかの例では、遠隔コンピュータ(たとえば、サーバ)は、ローカルネットワークまたはインターネットを含んでよいネットワーク経由でシステムに処理レシピを提供することができる。遠隔コンピュータは、遠隔コンピュータからシステムに通信されるパラメータおよび/または設定の入力またはプログラミングを可能にするユーザインターフェースを含んでよい。いくつかの例では、コントローラは、データの形態で命令を受信し、この命令は、1つまたは複数の操作中に実行されるべき処理ステップのおのおののパラメータを指定する。パラメータは、実行されるべき処理のタイプと、コントローラが、インターフェースまたは制御するように構成されているツールのタイプに固有であってよいことを理解されたい。したがって、上記のように、コントローラは、ともにネットワーク化され、本明細書で説明されるプロセスおよび制御などの共通の目的に向かって動作する1つまたは複数のディスクリートなコントローラを備えることなどにより分散されてよい。そのような目的のための分散コントローラの例は、チャンバにおける処理を制御するために組み合わされる(プラットフォームレベルにおいて、または遠隔コンピュータの一部として)遠隔配置された1つまたは複数の集積回路と通信するチャンバ上の1つまたは複数の集積回路である。 The controller may, in some implementations, be part of a computer that is integrated with the system, coupled to the system, otherwise networked with the system, or a combination thereof, or It may be combined with this computer. For example, the controller may be in the "cloud" or in all or part of the fab host computer system, which may allow remote access for wafer processing. The computer has remote access to the system to change the parameters of the current process, to set a process step that follows the current process, or to start a new process. It is possible to monitor the history of past manufacturing operations and look for trends or performance indicators from multiple manufacturing operations. In some examples, a remote computer (eg, server) can provide processing recipes to the system via a network, which can include a local network or the Internet. The remote computer may include a user interface that allows the entry or programming of parameters and/or settings that are communicated to the system from the remote computer. In some examples, the controller receives instructions in the form of data, which instructions specify parameters for each of the processing steps to be performed during one or more operations. It should be appreciated that the parameters may be specific to the type of process to be performed and the type of tool the controller is configured to interface with or control. Thus, as noted above, the controllers are distributed, such as by having one or more discrete controllers networked together and operating towards a common purpose, such as the processes and controls described herein. You may An example of a distributed controller for such purpose communicates with one or more remotely located integrated circuits that are combined (at the platform level or as part of a remote computer) to control processing in the chamber. One or more integrated circuits on the chamber.

限定されないが、例示的なシステムは、プラズマエッチングチャンバまたはモジュール、堆積チャンバまたはモジュール、スピンリンスチャンバまたはモジュール、金属メッキチャンバまたはモジュール、クリーンチャンバまたはモジュール、ベベルエッジエッチングチャンバまたはモジュール、物理蒸着(PVD)チャンバまたはモジュール、化学蒸着(CVD)チャンバまたはモジュール、原子層蒸着(ALD)チャンバまたはモジュール、原子層エッチング(ALE)チャンバまたはモジュール、イオン注入チャンバまたはモジュール、トラックチャンバまたはモジュール、および、半導体ウェーハの製造および/または製造に関連付けられてよい、または、使用されてよい、他の任意の半導体処理システムを含んでよい。 Without limitation, exemplary systems include plasma etching chambers or modules, deposition chambers or modules, spin rinse chambers or modules, metal plating chambers or modules, clean chambers or modules, bevel edge etching chambers or modules, physical vapor deposition (PVD). Chamber or module, chemical vapor deposition (CVD) chamber or module, atomic layer deposition (ALD) chamber or module, atomic layer etch (ALE) chamber or module, ion implantation chamber or module, track chamber or module, and semiconductor wafer fabrication And/or may include any other semiconductor processing system that may be associated with or used in manufacturing.

上記のように、ツールによって実行されるべき1つまたは複数の処理ステップに応じて、コントローラは、他のツール回路またはモジュール、他のツール構成要素、クラスタツール、他のツールインターフェース、隣接ツール、近隣ツール、工場全体に配置されたツール、メインコンピュータ、別のコントローラ、または、半導体製造工場内のツールの場所および/またはロードポートとの間でウェーハのコンテナを持ち込む材料搬送において使用されるツールのうちの1つまたは複数と通信してよい。 As mentioned above, depending on the one or more processing steps to be performed by the tool, the controller may be the other tool circuit or module, other tool component, cluster tool, other tool interface, neighboring tool, neighbor Tools, factory-wide tools, main computers, separate controllers, or tools used in material transfer to bring wafer containers to and/or from the tool's location and/or load port in a semiconductor fab May be in communication with one or more of

Claims (26)

基板処理システムであって、
第1の観測ポートを有する処理チャンバと、
前記処理チャンバに配置されたペデスタルと、
前記ペデスタルを囲むライナであって、少なくとも1つの開口部を有するライナと、
前記ペデスタルに隣接して配置されたエッジ結合リングであって、基板が前記ペデスタル上に配置された場合、前記基板の半径方向外側のエッジの外部かつ周りに位置する第1の部分を含むエッジ結合リングと、
前記エッジ結合リングのエッジ結合プロファイルを変更するために、前記エッジ結合リングの前記第1の部分を、(i)前記基板、および(ii)前記第1の部分の半径方向内側に配置された前記エッジ結合リングの第2の部分に対して、選択的に移動させるように構成されたアクチュエータであって、前記第1の部分の上面が前記基板の上面の上にある少なくとも1つの位置へ前記第1の部分を移動させるように構成されたアクチュエータと、
前記エッジ結合リングの状態を検出するように構成された検出器システムとを備え、前記検出器システムは、
前記第1の観測ポートを介して前記エッジ結合リングのプラズマに面する表面の画像データを取得するように構成されたカメラと、
前記画像データを受け取り、前記エッジ結合リングの前記プラズマに面する表面の状態および位置のうちの少なくとも1つを決定するように構成された第1のコントローラとを備えた、基板処理システム。
A substrate processing system,
A processing chamber having a first observation port;
A pedestal disposed in the processing chamber,
A liner surrounding the pedestal, the liner having at least one opening;
An edge coupling ring disposed adjacent to the pedestal, the substrate including a first portion located outside and around a radially outer edge of the substrate when the substrate is disposed on the pedestal. A ring,
The first portion of the edge coupling ring is arranged to (i) the substrate and (ii) radially inward of the first portion to modify the edge coupling profile of the edge coupling ring. An actuator configured to selectively move relative to a second portion of the edge coupling ring, the upper surface of the first portion being at least one position above the upper surface of the substrate. An actuator configured to move part 1;
A detector system configured to detect a condition of the edge coupled ring, the detector system comprising:
A camera configured to acquire image data of a plasma-facing surface of the edge coupling ring via the first observation port;
A first controller configured to receive the image data and determine at least one of a state and a position of the plasma-facing surface of the edge coupling ring.
前記検出器システムはさらに、前記エッジ結合リングの前記画像データを取得するために、前記カメラのために光を提供するように構成された照明装置を備えた、請求項1に記載の基板処理システム。 The substrate processing system of claim 1, wherein the detector system further comprises an illuminator configured to provide light for the camera to acquire the image data of the edge coupled ring. .. 前記照明装置は、前記第1の観測ポートを介して光を提供する、請求項2に記載の基板処理システム。 The substrate processing system of claim 2, wherein the lighting device provides light via the first observation port. 前記処理チャンバは第2の観測ポートを備え、前記照明装置は前記第2の観測ポートを介して光を提供する、請求項2に記載の基板処理システム。 The substrate processing system according to claim 2, wherein the processing chamber comprises a second observation port, and the lighting device provides light through the second observation port. 処理ガスおよびキャリアガスを前記処理チャンバへ供給するように構成されたガス供給システムと、
前記基板をエッチングするために、前記処理チャンバ内にプラズマを生成するように構成されたプラズマ生成器とをさらに備えた、請求項1に記載の基板処理システム。
A gas supply system configured to supply a processing gas and a carrier gas to the processing chamber;
The substrate processing system of claim 1, further comprising a plasma generator configured to generate a plasma in the processing chamber to etch the substrate.
前記プラズマ生成器は、前記エッジ結合リングの前記画像データを取得するために、前記カメラのために光を提供する、請求項5に記載の基板処理システム。 The substrate processing system of claim 5, wherein the plasma generator provides light for the camera to acquire the image data of the edge coupled ring. 前記アクチュエータは、前記エッジ結合リングの前記プラズマに面する表面の浸食を示す状態に応じて、前記エッジ結合リングを、前記基板に対して垂直方向に移動させる、請求項1に記載の基板処理システム。 The substrate processing system according to claim 1, wherein the actuator moves the edge coupling ring in a vertical direction with respect to the substrate in response to a condition indicating erosion of a surface of the edge coupling ring facing the plasma. .. 前記アクチュエータは、前記エッジ結合リングのずれを示す状態に応じて、前記エッジ結合リングを、前記基板に対して水平方向に移動させる、請求項1に記載の基板処理システム。 The substrate processing system according to claim 1, wherein the actuator moves the edge coupling ring in a horizontal direction with respect to the substrate according to a state indicating a shift of the edge coupling ring. 前記アクチュエータは、前記エッジ結合リングのずれを示す状態に応じて、前記エッジ結合リングの前記第1の部分を、前記基板に対して垂直方向に移動させる、請求項1に記載の基板処理システム。 The substrate processing system according to claim 1, wherein the actuator moves the first portion of the edge coupling ring in a vertical direction with respect to the substrate according to a state indicating a shift of the edge coupling ring. 前記エッジ結合リングの前記第1の部分を選択的に移動させるように前記アクチュエータを制御するように、前記第1のコントローラに応答するように構成された第2のコントローラをさらに備えた、請求項1に記載の基板処理システム。 The method further comprising a second controller configured to respond to the first controller to control the actuator to selectively move the first portion of the edge coupling ring. 1. The substrate processing system according to 1. 前記第2のコントローラは、前記エッジ結合リングの十分な浸食の判定に応じて、前記エッジ結合リングの交換を有効にするように構成された、請求項10に記載の基板処理システム。 11. The substrate processing system of claim 10, wherein the second controller is configured to enable replacement of the edge bond ring in response to determining sufficient erosion of the edge bond ring. 前記カメラは、前記画像データを取得するために、前記エッジ結合リング上に照準される、請求項1に記載の基板処理システム。 The substrate processing system of claim 1, wherein the camera is aimed on the edge coupling ring to acquire the image data. 前記ペデスタル上に配置された静電チャック(ESC)をさらに備え、前記カメラは、前記画像データを取得するために、前記基板および前記ESCのうちの少なくとも1つへ照準される、請求項1に記載の基板処理システム。 The electrostatic chuck (ESC) disposed on the pedestal, further comprising: the camera is aimed at at least one of the substrate and the ESC to obtain the image data. The substrate processing system described. 前記画像データは、前記ライナにおける前記少なくとも1つの開口部に対する前記エッジ結合リングの断面の画像データを含み、前記第1のコントローラは、前記エッジ結合リングの状態および位置のうちの少なくとも1つを決定するために、前記エッジ結合リングの前記断面と、前記少なくとも1つの開口部の上端との間の高さを計算する、請求項1に記載の基板処理システム。 The image data includes image data of a cross section of the edge coupling ring with respect to the at least one opening in the liner, and the first controller determines at least one of a state and a position of the edge coupling ring. The substrate processing system of claim 1, wherein a height between the cross-section of the edge coupling ring and an upper end of the at least one opening is calculated to: 前記ライナは複数の開口部を有し、前記画像データは、前記ライナにおける前記複数の開口部に対する前記エッジ結合リングの前記断面の画像データを含み、前記第1のコントローラは、前記エッジ結合リングの前記断面と、前記複数の開口部の対応する上端との間の複数の高さを計算し、前記第1のコントローラは、前記エッジ結合リングの前記状態と前記位置とのうちの少なくとも1つを決定するために、前記複数の高さを比較する、請求項14に記載の基板処理システム。 The liner has a plurality of openings, the image data includes image data of the cross section of the edge coupling ring with respect to the plurality of openings in the liner, and the first controller is configured to detect the edge coupling ring. Calculating a plurality of heights between the cross section and corresponding upper ends of the plurality of openings, the first controller determining at least one of the state and the position of the edge coupling ring. The substrate processing system of claim 14, wherein the plurality of heights are compared to determine. 前記第1のコントローラは、前記エッジ結合リングの状態の検出に応じて、前記カメラの位置を調整する、請求項1に記載の基板処理システム。 The substrate processing system according to claim 1, wherein the first controller adjusts the position of the camera in response to detection of a state of the edge coupling ring. 第1の観測ポートを有する処理チャンバと、前記処理チャンバに配置されたペデスタルと、前記ペデスタルを囲むライナであって、複数の開口部を有するライナと、前記ペデスタルに隣接して配置されたエッジ結合リングであって、前記ペデスタル上の基板の半径方向外側のエッジの外部かつ周りに配置された第1の部分を含むエッジ結合リングとを備えた基板処理システムにおいて、
前記エッジ結合リングの状態および位置のうちの1つまたは複数を検出するための検出器システムであって、前記検出器システムは、
前記第1の観測ポートを介して前記エッジ結合リングの画像データを取得するカメラと、
前記画像データを受け取り、前記エッジ結合リングのプラズマに面する表面の前記位置および前記状態および前記位置のうちの少なくとも1つを決定するコントローラとを備え、
前記画像データは、前記ライナにおける前記複数の開口部に対する前記エッジ結合リングの断面の画像データを含み、前記コントローラは、前記エッジ結合リングの前記断面と、前記複数の開口部の異なる上端との間の複数の高さを計算し、前記コントローラは、前記エッジ結合リングの前記状態と前記位置とのうちの少なくとも1つを決定するために、前記複数の高さを比較する、検出器システム。
A processing chamber having a first observation port, a pedestal disposed in the processing chamber, a liner surrounding the pedestal having a plurality of openings, and an edge bond disposed adjacent to the pedestal. A ring including an edge coupling ring including a first portion disposed outside and around a radially outer edge of the substrate on the pedestal,
A detector system for detecting one or more of a state and a position of the edge coupling ring, the detector system comprising:
A camera for acquiring image data of the edge coupling ring via the first observation port;
A controller for receiving the image data and determining at least one of the position and the state and the position of a plasma-facing surface of the edge coupling ring,
The image data includes image data of a cross section of the edge coupling ring with respect to the plurality of openings in the liner, and the controller is configured between the cross section of the edge coupling ring and different upper ends of the plurality of openings. Of heights of the detector and the controller compares the heights to determine at least one of the state and the position of the edge coupling ring.
前記基板処理システムはさらに、前記ペデスタル上に配置された静電チャック(ESC)を備え、前記カメラは、前記画像データを取得するために、前記基板および前記ESCのうちの1つへ照準される、請求項17に記載の検出器システム。 The substrate processing system further comprises an electrostatic chuck (ESC) disposed on the pedestal, and the camera is aimed at one of the substrate and the ESC to acquire the image data. A detector system according to claim 17. 基板処理システムにおけるエッジ結合リングの状態および位置のうちの少なくとも1つを決定するための方法であって、
前記エッジ結合リングの内側のエッジを識別することと、
固定基準に対する前記エッジ結合リングの画像データを取得することと、
前記エッジ結合リングが垂直方向に揃えられているか否かを判定するために、前記画像データを処理することと、
前記エッジ結合リングが垂直方向に揃えられていないとの判定に応じて、前記エッジ結合リングを垂直方向に調整することと、
前記エッジ結合リングの前記内側のエッジがあらかじめ定められた高さにあるか否かを判定することと、
前記エッジ結合リングの前記内側のエッジが前記あらかじめ定められた高さにないとの判定に応じて、前記エッジ結合リングが垂直方向に調整可能か否かを判定することと、
前記エッジ結合リングが垂直方向に調整可能であるとの判定に応じて、前記エッジ結合リングを垂直方向に調整することとを備えた、方法。
A method for determining at least one of a state and a position of an edge coupling ring in a substrate processing system, comprising:
Identifying an inner edge of the edge join ring,
Obtaining image data of the edge-coupled ring with respect to a fixed reference,
Processing the image data to determine whether the edge coupling ring is vertically aligned;
Adjusting the edge coupling ring in the vertical direction according to the determination that the edge coupling ring is not aligned in the vertical direction,
Determining whether the inner edge of the edge coupling ring is at a predetermined height;
Determining whether the edge coupling ring is vertically adjustable in response to the determination that the inner edge of the edge coupling ring is not at the predetermined height,
Vertically adjusting the edge-bonding ring in response to determining that the edge-bonding ring is vertically adjustable.
前記エッジ結合リングが垂直方向に調整可能でないとの判定に応じて、前記エッジ結合リングの交換を指示することをさらに備えた、請求項19に記載の方法。 20. The method of claim 19, further comprising instructing replacement of the edge-bonding ring in response to determining that the edge-bonding ring is not vertically adjustable. 前記エッジ結合リングが垂直方向に調整可能か否かを判定することは、前記エッジ結合リングの前記基板処理システムへの設置から、あらかじめ定められた数の半導体処理サイクルがあったか否かを判定することを含む、請求項19に記載の方法。 Determining whether the edge coupling ring is vertically adjustable is determining whether there has been a predetermined number of semiconductor processing cycles from installation of the edge coupling ring in the substrate processing system. 20. The method of claim 19, comprising: 前記エッジ結合リングが垂直方向に調整可能か否かを判定することは、前記エッジ結合リングの半導体処理システムへの設置から、あらかじめ定められた時間長さを経過したか否かを判定することを含む、請求項19に記載の方法。 Determining whether the edge coupling ring is vertically adjustable includes determining whether a predetermined time length has elapsed from the installation of the edge coupling ring in the semiconductor processing system. 20. The method of claim 19, comprising. 前記エッジ結合リングが垂直方向に調整可能か否かを判定することは、前記エッジ結合リングが、その上限まで垂直方向に上昇されたか否かを判定することを含む、請求項19に記載の方法。 20. The method of claim 19, wherein determining whether the edge-bonded ring is vertically adjustable comprises determining whether the edge-bonded ring has been vertically raised to its upper limit. .. 前記エッジ結合リングを垂直方向に調整することは、前記エッジ結合リングの一部を、前記エッジ結合リングの別の部分に対して垂直方向に調整することを含む、請求項19に記載の方法。 20. The method of claim 19, wherein vertically adjusting the edge-bonding ring comprises vertically adjusting a portion of the edge-bonding ring with respect to another portion of the edge-bonding ring. 前記エッジ結合リングが水平方向に揃えられているか否かを判定することと、
前記エッジ結合リングが水平方向に揃えられていないとの判定に応じて、前記エッジ結合リングを水平方向に調整することとをさらに備えた、請求項19に記載の方法。
Determining whether the edge-bonding rings are horizontally aligned;
20. The method of claim 19, further comprising adjusting the edge-bonding ring horizontally in response to determining that the edge-bonding ring is not horizontally aligned.
前記エッジ結合リングを水平方向に調整することは、前記エッジ結合リングを、前記基板処理システムにおけるペデスタルに対して移動させることを含み、前記ペデスタル上に基板が配置された、請求項25に記載の方法。 26. The horizontal adjustment of the edge coupling ring comprises moving the edge coupling ring with respect to a pedestal in the substrate processing system, wherein a substrate is disposed on the pedestal. Method.
JP2019564990A 2017-05-31 2018-05-21 Detection system for adjustable / replaceable edge coupling ring Active JP7096271B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2022100927A JP7483795B2 (en) 2017-05-31 2022-06-23 Detection system for adjustable/replaceable edge-coupled rings

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/609,570 2017-05-31
US15/609,570 US20170263478A1 (en) 2015-01-16 2017-05-31 Detection System for Tunable/Replaceable Edge Coupling Ring
PCT/US2018/033656 WO2018222430A2 (en) 2017-05-31 2018-05-21 Detection system for tunable/replaceable edge coupling ring

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2022100927A Division JP7483795B2 (en) 2017-05-31 2022-06-23 Detection system for adjustable/replaceable edge-coupled rings

Publications (3)

Publication Number Publication Date
JP2020522134A true JP2020522134A (en) 2020-07-27
JP2020522134A5 JP2020522134A5 (en) 2021-07-26
JP7096271B2 JP7096271B2 (en) 2022-07-05

Family

ID=64455600

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2019564990A Active JP7096271B2 (en) 2017-05-31 2018-05-21 Detection system for adjustable / replaceable edge coupling ring
JP2022100927A Active JP7483795B2 (en) 2017-05-31 2022-06-23 Detection system for adjustable/replaceable edge-coupled rings

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2022100927A Active JP7483795B2 (en) 2017-05-31 2022-06-23 Detection system for adjustable/replaceable edge-coupled rings

Country Status (5)

Country Link
JP (2) JP7096271B2 (en)
KR (2) KR102658105B1 (en)
CN (1) CN110692130B (en)
TW (2) TW202312310A (en)
WO (1) WO2018222430A2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021197444A (en) * 2020-06-15 2021-12-27 アダプティブ プラズマ テクノロジー コーポレーション Component alignment device for semiconductor process and component alignment method by the same
JP7457209B2 (en) 2020-11-05 2024-03-27 北京北方華創微電子装備有限公司 Mounting equipment and semiconductor reaction chamber

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113130284B (en) * 2019-12-31 2023-01-24 中微半导体设备(上海)股份有限公司 Plasma etching equipment
CN113830700A (en) * 2020-06-24 2021-12-24 拓荆科技股份有限公司 Lifting system and method for automatically adjusting level
JP7455012B2 (en) 2020-07-07 2024-03-25 東京エレクトロン株式会社 Plasma processing equipment and mounting table for plasma processing equipment
US20220108908A1 (en) * 2020-10-06 2022-04-07 Applied Materials, Inc. Shadow ring kit for plasma etch wafer singulation process
CN114639582A (en) * 2020-12-15 2022-06-17 中微半导体设备(上海)股份有限公司 Edge ring height measuring device and method

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006173223A (en) * 2004-12-14 2006-06-29 Toshiba Corp Plasma etching device and plasma etching method using the same
US20150311129A1 (en) * 2014-04-29 2015-10-29 Lam Research Corporation Systems and methods for detecting endpoint for through-silicon via reveal applications
JP2016146472A (en) * 2015-01-16 2016-08-12 ラム リサーチ コーポレーションLam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001230239A (en) 2000-02-15 2001-08-24 Tokyo Electron Ltd Apparatus and method for treating
US6805952B2 (en) * 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US20030019428A1 (en) * 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
JP3795820B2 (en) * 2002-03-27 2006-07-12 株式会社東芝 Substrate alignment device
US20070224709A1 (en) * 2006-03-23 2007-09-27 Tokyo Electron Limited Plasma processing method and apparatus, control program and storage medium
JP2010034416A (en) 2008-07-30 2010-02-12 Hitachi High-Technologies Corp Plasma processing apparatus and plasma processing method
US8034723B2 (en) * 2009-12-25 2011-10-11 Tokyo Electron Limited Film deposition apparatus and film deposition method
US20120237682A1 (en) * 2011-03-18 2012-09-20 Applied Materials, Inc. In-situ mask alignment for deposition tools
US9006633B2 (en) * 2012-11-02 2015-04-14 The United States Of America As Represented By The Secretary Of The Army Passive imaging correction system using feedback including a variable aperture with plural settings and method thereof
US8902429B1 (en) * 2012-12-05 2014-12-02 Kla-Tencor Corporation Focusing detector of an interferometry system
CN107424901B (en) * 2013-03-12 2019-06-11 应用材料公司 Multizone gas fill assembly with azimuth and radial distribution control
US9026244B1 (en) * 2014-05-22 2015-05-05 Applied Materials, Inc. Presence sensing and position correction for wafer on a carrier ring
US9959610B2 (en) * 2014-10-30 2018-05-01 Applied Materials, Inc. System and method to detect substrate and/or substrate support misalignment using imaging
US10041868B2 (en) 2015-01-28 2018-08-07 Lam Research Corporation Estimation of lifetime remaining for a consumable-part in a semiconductor manufacturing chamber
TWI574334B (en) * 2015-03-17 2017-03-11 陳勇吉 Method for wafer detection
JP6880364B2 (en) * 2015-08-18 2021-06-02 株式会社Screenホールディングス Substrate processing equipment and substrate processing method

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006173223A (en) * 2004-12-14 2006-06-29 Toshiba Corp Plasma etching device and plasma etching method using the same
US20150311129A1 (en) * 2014-04-29 2015-10-29 Lam Research Corporation Systems and methods for detecting endpoint for through-silicon via reveal applications
JP2016146472A (en) * 2015-01-16 2016-08-12 ラム リサーチ コーポレーションLam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021197444A (en) * 2020-06-15 2021-12-27 アダプティブ プラズマ テクノロジー コーポレーション Component alignment device for semiconductor process and component alignment method by the same
JP6989980B2 (en) 2020-06-15 2022-01-12 アダプティブ プラズマ テクノロジー コーポレーション Parts sorting device for semiconductor process and parts sorting method by this
JP7457209B2 (en) 2020-11-05 2024-03-27 北京北方華創微電子装備有限公司 Mounting equipment and semiconductor reaction chamber

Also Published As

Publication number Publication date
CN110692130A (en) 2020-01-14
KR20200004439A (en) 2020-01-13
KR102658105B1 (en) 2024-04-16
JP7096271B2 (en) 2022-07-05
WO2018222430A3 (en) 2019-01-10
TWI788356B (en) 2023-01-01
JP2022130533A (en) 2022-09-06
KR102529764B1 (en) 2023-05-04
WO2018222430A2 (en) 2018-12-06
CN110692130B (en) 2024-02-13
TW202312310A (en) 2023-03-16
KR20230066483A (en) 2023-05-15
JP7483795B2 (en) 2024-05-15
TW201906042A (en) 2019-02-01

Similar Documents

Publication Publication Date Title
US20170263478A1 (en) Detection System for Tunable/Replaceable Edge Coupling Ring
JP7483795B2 (en) Detection system for adjustable/replaceable edge-coupled rings
CN105810609B (en) Movable edge coupling ring for controlling edge processing during semiconductor wafer processing
JP6976686B2 (en) Systems and methods for performing edge ring characterization
US7486878B2 (en) Offset correction methods and arrangement for positioning and inspecting substrates
US10541168B2 (en) Edge ring centering method using ring dynamic alignment data
JP2018026558A (en) Methods and systems for monitoring plasma processing systems and advanced process and tool control
US20080081383A1 (en) Offset correction techniques for positioning substrates
US20090088887A1 (en) Offset correction techniques for positioning substrates within a processing chamber
US20220134568A1 (en) Fixture for automatic calibration of substrate transfer robot

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210519

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210519

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220517

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220524

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220623

R150 Certificate of patent or registration of utility model

Ref document number: 7096271

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150