JP2020507199A5 - - Google Patents

Download PDF

Info

Publication number
JP2020507199A5
JP2020507199A5 JP2019528626A JP2019528626A JP2020507199A5 JP 2020507199 A5 JP2020507199 A5 JP 2020507199A5 JP 2019528626 A JP2019528626 A JP 2019528626A JP 2019528626 A JP2019528626 A JP 2019528626A JP 2020507199 A5 JP2020507199 A5 JP 2020507199A5
Authority
JP
Japan
Prior art keywords
sih
silicon
nsih
substrate
containing film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2019528626A
Other languages
English (en)
Other versions
JP2020507199A (ja
Filing date
Publication date
Priority claimed from US15/661,576 external-priority patent/US10192734B2/en
Application filed filed Critical
Publication of JP2020507199A publication Critical patent/JP2020507199A/ja
Publication of JP2020507199A5 publication Critical patent/JP2020507199A5/ja
Pending legal-status Critical Current

Links

Description

ケイ素含有膜形成組成物が開示される。ケイ素含有膜形成組成物は、
(a)[(SiRNSiH−NL3−n(ここで、n=2又は3である)、
(b)(SiHNSiH−O−SiHN(SiH
(c)(SiR’N−SiH−N(SiR’、及び
(d)[(SiRNSiH−NH2−m−C≡N(ここで、m=1又は2である)
(式中、
− 各Rは、H、式−NRを有するジアルキルアミノ基、又はアミジナートから独立して選択され、
− 各R’は、全てのR’がHではないことを条件として、H、式−NRを有するジアルキルアミノ基、又はアミジナートから独立して選択され、
− R及びRは、R及びRが同時にHに等しいことはできず、且つRがHである場合、RがC−C12ヒドロカルビル基であることを条件として、H又はC −C 12 ヒドロカルビル基から独立して選択され、及びNRは、一緒にN含有ヘテロ環配位子を形成し得、及び
− Lは、H又はC−Cヒドロカルビル基から選択される)
からなる群から選択される前駆体を含む。
本明細書において、R=R’の場合の略語「NR,R’R’’−amd」又はNR’’−amdは、アミジナート配位子[R−N−C(R’’)=N−R’]を指し、式中のR、R’、及びR’’は、H又は定義されたアルキル基(Me、Et、nPr、iPr、nBu、iB、sBu、又はtBu等)である。R=R’の場合の略語「NR,R’−fmd」又はN−fmdは、ホルムアミジナート配位子[R−N−C(H)=N−R’]を指し、式中のR及びR’は、Me、Et、nPr、iPr、nBu、iB、sBu、又はtBuなどの定義されたアルキル基である。アミジナート群において選択された配位子は、まとめて「AMD」と略される。
群2
(3)以下の反応:
2(SiHNSiH−X+HO→(SiHNSiH−O−SiHN(SiH+2HX
に従う、(SiHNSiH−X(式中、Xは、Cl、Br、I、SCNNCO、又はNR基から選択され、NRは、上で定義した通りである)と、HOである反応物との反応から、
− 反応は、好ましくは、限定するものではないが、C−C24炭化水素溶媒、トルエン、ベンゼン、ジエチルエーテル、アセトニトリル、又はTHFなど、無水又は非プロトン性の溶媒又は溶媒混合物中で行われる。
− 反応全体を通してシラン部位が絶えず過剰に維持されるように、HOは、シラン含有組成物内にゆっくり添加される。
− 反応は、−40℃〜100℃の温度、好ましくは−20℃〜室温で行われる。
− 任意選択的に、水は、キャリアガス中の蒸気として表面下に添加される。
− 任意選択的に、添加される水は、極性非プロトン性溶媒中に典型的には1%〜50%、より好ましくは5%〜30%で希釈される。
− 任意選択的に且つ好ましくは、Xがハロゲンである場合、反応混合物は、ハライド捕捉剤(限定するものではないが、ピリジン、トリアルキルアミン等)を、モル濃度基準でHXの見込まれる放出量よりも多い量で含む。ハライド捕捉剤は、溶媒として使用され得る。反応混合物は、その後、最終生成物の分離前に形成されたHX−捕捉剤塩を除去するために濾過され得る。
− 任意選択的に、群(2)の化合物は、半導体グレードの前駆体に典型的な>98%、より好ましくは>99%、更に好ましくは>99.5%の分析値に達するように真空蒸留によって精製される。
− 任意選択的に、群(2)の生成物は、例えば、生成物を活性炭、乾燥したAmberlyst樹脂、又は他のそのようなイオン交換樹脂などの固体吸着剤に曝露することにより、溶解している塩の含有量を減らすために更に処理され得る。
− 任意選択的に、生成物は、半導体産業において使用される典型的な製品の仕様に達するように濾過され得る。
SiH[N(SiH+nHNR→SiH[N(SiH3−x(NR][SiH3−y(NR]+(x+y)H(ここで、x=0〜3であり、y=1〜3である)
− 反応は、無溶媒又は非プロトン性溶媒中(限定するものではないが、C3−C24炭化水素溶媒、トルエン、ベンゼン、ジエチルエーテル、アセトニトリル、又はTHF等)で行われる。
− 反応は、室温〜150℃、好ましくは30〜60℃の温度で行われる。
− 任意選択的に、触媒は、反応混合物から濾過され、残りの液体組成物の成分は、蒸留によって分離される。
− 任意選択的に、反応混合物は、限定するものではないが、三級アミン又は配位化合物(XNR(X=F、Cl、Br、I;R=アルキル)、R−CN、RS若しくはPR等)から選択される触媒を失活させるための試薬で処理される。
− 任意選択的に、群(3)の化合物は、半導体グレードの前駆体に典型的な>98%、より好ましくは>99%、更に好ましくは>99.5%の分析値に達するように蒸留によって精製される。
− 任意選択的に、生成物は、半導体産業において使用される典型的な製品の仕様に達するように濾過され得る。
− 反応物である(SiRNSiH−Xは、同時継続出願である米国特許出願公開2018/0072571号明細書に開示の通り、より具体的にはトリシリルアミンをNH反応物又は熱なしで触媒(B(C、BPh、PdCl、Co(CO)、又はゼオライトY(H)、Si:Al等)と混合することによって合成することができる。

Claims (11)

  1. (a)[(SiRNSiH−NH2−m−C≡N(ここで、m=1又は2である)、
    (b)[(SiRNSiH N、及び
    )(SiR’N−SiH−N(SiR’
    (式中、
    − 各Rは、H、式−NRを有するジアルキルアミノ基、又はアミジナートから独立して選択され、
    − 各R’は、全てのR’がHではないことを条件として、H、式−NRを有するジアルキルアミノ基、又はアミジナートから独立して選択され、及び
    − R及びRは、R及びRが同時にHに等しいことはできず、且つRがHである場合、RがC−C12ヒドロカルビル基であることを条件として、H又はC −C 12 ヒドロカルビル基から独立して選択され、及びNRは、一緒にN含有ヘテロ環配位子を形成し得る
    からなる群から選択される前駆体を含むケイ素含有膜形成組成物。
  2. 前記前駆体は、(SiHN−SiH−N(SiH)(SiHNR、[(SiHNSiH]−NH−C≡N、又は[(SiHNSiHN−C≡Nである、請求項1に記載のケイ素含有膜形成組成物。
  3. 前記前駆体は、[(SiHNSiHNである、請求項1に記載のケイ素含有膜形成組成物。
  4. 前記前駆体は、(SiHN−SiH−N(SiH)(SiHNMe)、(SiHN−SiH−N(SiH)(SiHNEt)、(SiHN−SiH−N(SiH)(SiHNEtMe)、(SiHN−SiH−N(SiH)(SiHNiPr)、(SiHN−SiH−N(SiH)(SiHNtBu)、(SiHN−SiH−N(SiH)(SiHNnBu)、(SiHN−SiH−N(SiH)(SiHNsecBu)、又
    は(SiHN−SiH−N(SiH)(SiHNHtBu)である、請求項1に記載のケイ素含有膜形成組成物。
  5. 化学気相成長法によって基板上にケイ素含有膜を堆積する方法であって、
    請求項1〜4のいずれか一項に記載のSi含有膜形成組成物を含む蒸気を、基板が入っている反応器内に導入すること、
    化学気相成長法を使用して、前記前駆体の少なくとも一部を前記基板上に堆積して前記基板上にケイ素含有膜を形成すること
    を含む方法。
  6. 前記化学気相成長法は、原子層堆積法又はプラズマ支援原子層堆積法である、請求項5に記載の方法。
  7. 前記ケイ素含有膜は、ケイ素酸化物膜である、請求項5又は6に記載の方法。
  8. 前記ケイ素含有膜は、ケイ素窒化物膜である、請求項5又は6に記載の方法。
  9. 前記基板は、シリコンウェハである、請求項5又は6に記載の方法。
  10. 前記基板は、ガラスである、請求項5又は6に記載の方法。
  11. 前記基板は、有機材料である、請求項5又は6に記載の方法。
JP2019528626A 2016-12-11 2017-12-11 薄膜堆積のための短鎖無機トリシリルアミン系ポリシラザン Pending JP2020507199A (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201662432666P 2016-12-11 2016-12-11
US62/432,666 2016-12-11
US15/661,576 2017-07-27
US15/661,576 US10192734B2 (en) 2016-12-11 2017-07-27 Short inorganic trisilylamine-based polysilazanes for thin film deposition
PCT/US2017/065506 WO2018107138A1 (en) 2016-12-11 2017-12-11 Short inorganic trisilylamine-based polysilazanes for thin film deposition

Publications (2)

Publication Number Publication Date
JP2020507199A JP2020507199A (ja) 2020-03-05
JP2020507199A5 true JP2020507199A5 (ja) 2021-01-14

Family

ID=60243685

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019528626A Pending JP2020507199A (ja) 2016-12-11 2017-12-11 薄膜堆積のための短鎖無機トリシリルアミン系ポリシラザン

Country Status (6)

Country Link
US (1) US10192734B2 (ja)
JP (1) JP2020507199A (ja)
KR (1) KR102511289B1 (ja)
CN (1) CN110036139B (ja)
TW (1) TWI791477B (ja)
WO (1) WO2018107138A1 (ja)

Families Citing this family (230)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
CN105849221B (zh) 2013-09-27 2019-06-18 乔治洛德方法研究和开发液化空气有限公司 胺取代的三甲硅烷基胺和三-二甲硅烷基胺化合物
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US11124876B2 (en) 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10647578B2 (en) 2016-12-11 2020-05-12 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude N—H free and SI-rich per-hydridopolysilzane compositions, their synthesis, and applications
US10192734B2 (en) * 2016-12-11 2019-01-29 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploration des Procédés Georges Claude Short inorganic trisilylamine-based polysilazanes for thin film deposition
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
SG11202007789UA (en) 2018-02-21 2020-09-29 Air Liquide Perhydropolysilazane compositions and methods for forming oxide films using same
TWI793262B (zh) * 2018-02-21 2023-02-21 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 全氫聚矽氮烷組成物和用於使用其形成氮化物膜之方法
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
DE102018121897A1 (de) * 2018-09-07 2020-03-12 Infineon Technologies Ag Halbleitervorrichtung mit einem silizium und stickstoff enthaltenden bereich und herstellungsverfahren
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
WO2021153986A1 (ko) * 2020-01-31 2021-08-05 주식회사 유피케미칼 실리콘 전구체 화합물, 이를 포함하는 실리콘-함유 막 형성용 조성물 및 실리콘-함유 막 형성 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US20210280451A1 (en) * 2020-03-04 2021-09-09 Applied Materials, Inc. Low temperature steam free oxide gapfill
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE1158972B (de) 1961-03-25 1963-12-12 Franz Josef Carduck Dipl Chem Verfahren zur Herstellung von Trisilylaminen
US4675424A (en) 1986-03-19 1987-06-23 Union Carbide Corporation Method for making polysilazanes
US5413813A (en) 1993-11-23 1995-05-09 Enichem S.P.A. CVD of silicon-based ceramic materials on internal surface of a reactor
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
DE10208822A1 (de) 2002-03-01 2003-09-11 Solvent Innovation Gmbh Halogenfreie ionische Flüssigkeiten
US20050181633A1 (en) 2004-02-17 2005-08-18 Hochberg Arthur K. Precursors for depositing silicon-containing films and processes thereof
JP4470023B2 (ja) 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US8163261B2 (en) 2005-04-05 2012-04-24 Voltaix, Llc System and method for making Si2H6 and higher silanes
US7473655B2 (en) * 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
JP4554446B2 (ja) 2005-06-21 2010-09-29 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
KR101283835B1 (ko) 2005-06-29 2013-07-08 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 3원 막의 증착 방법
US20070010072A1 (en) 2005-07-09 2007-01-11 Aviza Technology, Inc. Uniform batch film deposition process and films so produced
CN101466865A (zh) 2006-04-03 2009-06-24 乔治洛德方法研究和开发液化空气有限公司 通过化学汽相淀积使氮化硅膜和/或氧氮化硅膜淀积的方法
US7638645B2 (en) 2006-06-28 2009-12-29 President And Fellows Of Harvard University Metal (IV) tetra-amidinate compounds and their use in vapor deposition
BRPI0603210A (pt) 2006-08-15 2008-04-08 Petroleo Brasileiro Sa método de preparação de lìquidos iÈnicos isentos de halogenetos e lìquidos iÈnicos assim preparados
CN101495672B (zh) 2006-11-02 2011-12-07 高级技术材料公司 对于金属薄膜的cvd/ald有用的锑及锗复合物
US20080241575A1 (en) 2007-03-28 2008-10-02 Lavoie Adrein R Selective aluminum doping of copper interconnects and structures formed thereby
WO2008129508A2 (en) 2007-04-20 2008-10-30 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Deposition of transition metal carbide containing films
JP5437594B2 (ja) 2007-06-05 2014-03-12 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. 有機金属化合物
KR101542267B1 (ko) 2007-09-18 2015-08-06 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 규소 함유 막의 형성 방법
US7960205B2 (en) 2007-11-27 2011-06-14 Air Products And Chemicals, Inc. Tellurium precursors for GST films in an ALD or CVD process
US9034105B2 (en) 2008-01-10 2015-05-19 American Air Liquide, Inc. Solid precursor sublimator
US8193388B2 (en) 2008-04-15 2012-06-05 American Air Liquide, Inc. Compounds for depositing tellurium-containing films
US20090291872A1 (en) 2008-05-21 2009-11-26 The Regents Of The University Of Colorado Ionic Liquids and Methods For Using the Same
EP2288424A2 (en) 2008-05-21 2011-03-02 The Regents of the University of Colorado Ionic liquids and methods for using the same
WO2010055423A2 (en) 2008-05-29 2010-05-20 L'air Liquide - Societe Anonyme Pour I'etude Et I'exploitation Des Procedes Georges Claude Tellurium precursors for film deposition
TWI478862B (zh) 2009-06-04 2015-04-01 Voltaix Llc 用於製造三矽烷胺(trisilylamine)之設備及方法
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8415259B2 (en) 2009-10-14 2013-04-09 Asm Japan K.K. Method of depositing dielectric film by modified PEALD method
WO2011056519A2 (en) 2009-10-26 2011-05-12 Asm International N.V. Synthesis and use of precursors for ald of group va element containing thin films
US9228120B2 (en) 2010-06-07 2016-01-05 Central Glass Company, Limited Liquid chemical for forming protecting film
US8394466B2 (en) 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
US8771807B2 (en) * 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
SG11201401185VA (en) 2011-10-07 2014-04-28 Voltaix Inc Apparatus and method for the condensed phase production of trisilylamine
US20130143018A1 (en) 2011-12-02 2013-06-06 Sabic Innovative Plastics Ip B.V. Coated Polymer Films
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8871656B2 (en) 2012-03-05 2014-10-28 Applied Materials, Inc. Flowable films using alternative silicon precursors
US9337018B2 (en) 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
JP5874546B2 (ja) 2012-06-21 2016-03-02 富士通株式会社 半導体装置の実装構造
KR20140057908A (ko) 2012-11-05 2014-05-14 삼성전자주식회사 무선 통신 시스템에서 섹터 스위핑을 수행하는 방법 및 장치
US10279959B2 (en) 2012-12-11 2019-05-07 Versum Materials Us, Llc Alkoxysilylamine compounds and applications thereof
CN105849221B (zh) 2013-09-27 2019-06-18 乔治洛德方法研究和开发液化空气有限公司 胺取代的三甲硅烷基胺和三-二甲硅烷基胺化合物
WO2015190749A1 (en) 2014-06-11 2015-12-17 Dnf Co., Ltd. Novel amino-silyl amine compound and the manufacturing method of dielectric film containing si-n bond by using atomic layer deposition
US20160049293A1 (en) 2014-08-14 2016-02-18 Air Products And Chemicals, Inc. Method and composition for providing pore sealing layer on porous low dielectric constant films
KR102332415B1 (ko) * 2014-10-24 2021-12-01 버슘머트리얼즈 유에스, 엘엘씨 실리콘-함유 막을 증착시키기 위한 조성물 및 이를 사용하는 방법
US10354860B2 (en) 2015-01-29 2019-07-16 Versum Materials Us, Llc Method and precursors for manufacturing 3D devices
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
CN108140555B (zh) 2015-10-22 2024-03-15 应用材料公司 沉积包含SiO及SiN的可流动薄膜的方法
SG11201807211XA (en) 2016-02-26 2018-09-27 Versum Materials Us Llc Compositions and methods using same for deposition of silicon-containing film
US10192734B2 (en) * 2016-12-11 2019-01-29 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploration des Procédés Georges Claude Short inorganic trisilylamine-based polysilazanes for thin film deposition

Similar Documents

Publication Publication Date Title
JP2020507199A5 (ja)
TWI791477B (zh) 形成含矽膜之組成物及藉由化學氣相沉積法在基板上沉積含矽膜的方法
US10501484B2 (en) Amine substituted trisilylamine and tridisilylamine compounds and synthesis methods thereof
EP2875166B1 (en) Organosilane precursors for ald/cvd silicon-containing film applications
US9822132B2 (en) Hexacoordinate silicon-containing precursors for ALD/CVD silicon-containing film applications
JP5650589B2 (ja) 有機アミノシラン前駆体及びこれを含む膜の堆積方法
US9969756B2 (en) Carbosilane substituted amine precursors for deposition of Si-containing films and methods thereof
KR20160093093A (ko) 탄소-도핑된 규소-함유 막을 증착시키기 위한 조성물 및 방법
US9382268B1 (en) Sulfur containing organosilane precursors for ALD/CVD silicon-containing film applications
US20230287015A1 (en) 1,1,1-tris(organoamino)disilane compounds and method of preparing same
US20100210808A1 (en) Method for preparing polysilazane solution with reducing ammonia substitution of si-h bond
KR102186868B1 (ko) 신규한 실리콘 전구체 화합물 및 이를 이용한 실리콘 함유 박막의 제조방법
KR20170109530A (ko) Ald/cvd 규소-함유 필름 적용을 위한 오르가노실란 전구체 및 이의 사용 방법