JP2020167418A - 金属、誘電体および窒化物適合性を有する、反射防止コーティング洗浄およびエッチング後残留物除去組成物 - Google Patents

金属、誘電体および窒化物適合性を有する、反射防止コーティング洗浄およびエッチング後残留物除去組成物 Download PDF

Info

Publication number
JP2020167418A
JP2020167418A JP2020092560A JP2020092560A JP2020167418A JP 2020167418 A JP2020167418 A JP 2020167418A JP 2020092560 A JP2020092560 A JP 2020092560A JP 2020092560 A JP2020092560 A JP 2020092560A JP 2020167418 A JP2020167418 A JP 2020167418A
Authority
JP
Japan
Prior art keywords
acid
removal composition
liquid removal
glycol
ether
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2020092560A
Other languages
English (en)
Other versions
JP7018989B2 (ja
Inventor
クーパー,エマニュエル,アイ.
i cooper Emanuel
リッピー,スティーブン
Lippy Steven
ソング,リンヤン
Lingyan Song
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Entegris Inc
Original Assignee
Entegris Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Entegris Inc filed Critical Entegris Inc
Publication of JP2020167418A publication Critical patent/JP2020167418A/ja
Application granted granted Critical
Publication of JP7018989B2 publication Critical patent/JP7018989B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/08Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/10Etching, surface-brightening or pickling compositions containing an inorganic acid containing a boron compound
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/08Acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/10Salts
    • C11D7/14Silicates
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/265Carboxylic acids or salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/28Organic compounds containing halogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3263Amides or imides
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3281Heterocyclic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/34Organic compounds containing sulfur
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/36Organic compounds containing phosphorus
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • C11D7/5022Organic solvents containing oxygen
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/16Acidic compositions
    • C23F1/26Acidic compositions for etching refractory metals
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/06Silver salts
    • G03F7/063Additives or means to improve the lithographic properties; Processing solutions characterised by such additives; Treatment after development or transfer, e.g. finishing, washing; Correction or deletion fluids
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/34Imagewise removal by selective transfer, e.g. peeling away
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70933Purge, e.g. exchanging fluid or gas to remove pollutants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Wood Science & Technology (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Public Health (AREA)
  • Emergency Medicine (AREA)
  • Epidemiology (AREA)
  • Environmental & Geological Engineering (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Atmospheric Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Detergent Compositions (AREA)
  • Weting (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

【課題】反射防止コーティング(ARC)材料および/またはエッチング後残留物を基板から完全かつ効率的に除去するための液体除去組成物を提供する。【解決手段】液体除去組成物は、少なくとも1種のフッ化物含有の化合物と、少なくとも1種の有機溶媒と、任意選択により水と、誘電体不動態化剤および/または腐食抑制剤および/または少なくとも1種のケイ素含有化合物のうちの少なくとも1種とを含む。【効果】この組成物は、アルミニウム、銅およびコバルト合金などの基板上の金属種のエッチングを最小限にし、かつ、半導体アーキテクチャーで使用される低k誘電体および窒化物含有材料に損傷を与えることなく、集積回路の製造におけるARC材料および/またはエッチング後残留物の少なくとも部分的な除去を達成することができる。【選択図】なし

Description

[0001] 本発明は、反射防止材料および/またはエッチング後残留物を、上に前記材料を
有するマイクロ電子デバイスから除去するのに有用な液体除去組成物およびプロセスに関
する。液体除去組成物は、下地誘電材料、相互連結金属、たとえばアルミニウム、銅およ
びコバルト合金、ならびに窒化物含有層と適合性がある。
[0002] 深紫外線(DUV)に露光すると、基板のDUV波長に対する高い反射率を併せ持
つフォトレジストの透過率によって、深紫外線の反射が起こってフォトレジスト内へと戻
り、それによってフォトレジスト層に定在波が生じることはよく知られている。定在波は
さらに、フォトレジストに光化学反応を引き起こし、放射線に露光されることが意図され
ないマスク部においてなど、フォトレジストの不均一な露光が起こり、その結果、線幅、
間隔、および他の限界寸法のばらつきが生じる。
[0003] 透過率および反射率の問題に取り組むために、二層および三層フォトレジスト、
底部反射防止コーティング(BARC)および犠牲反射防止コーティング(SARC)が開発され
た。これらのコーティングは、フォトレジストを塗布する前に基板に塗布される。これら
の反射防止コーティングはすべて、通常のデュアルダマシン集積回路で遭遇するウエハ表
面に対する平坦化作用を有し、すべてUV発色団を、入射紫外線を吸収するスピンオン(
spin-on)ポリマーマトリックス中に組み込む。
[0004] マイクロ電子デバイスウエハからの反射防止コーティング(ARC)材料のきれい
な除去は、困難でありかつ/または費用がかかることが分かっている。除去されないと、
この層は、引き続くケイ素化(silicidation)または接点形成を妨げるおそれがある。一
般に、この層は、酸化的もしくは還元的プラズマ灰化または湿式洗浄によって除去される
。しかしながら、基板が酸化的もしくは還元的プラズマエッチングにかけられるプラズマ
灰化は、フィーチャの形状および寸法が変化することによって、または誘電率が増加する
ことによって、誘電材料に損傷をもたらすおそれがある。後者の問題は、有機シリケート
ガラス(OSG)または炭素ドープ酸化物ガラスなどの低k誘電材料が下地誘電材料である
場合にはより顕著である。したがって、多くの場合、ARC層を除去するためにプラズマ
灰化を使用することを避けることが望ましい。
[0005] ラインのバックエンド(back-end-of-the-line)(BEOL)を適用する際に、洗浄
剤/エッチング剤組成物を使用して低容量(低k)絶縁材料または誘電体によって分離さ
れた、アルミニウム、銅、コバルト合金、または他の相互連結金属または相互連結バリア
を処理する場合、ARCおよび/またはエッチング後残留物材料を除去するために使用さ
れる組成物が、良好な金属適合性、たとえば、銅、アルミニウム、コバルト等に対して低
エッチング速度を有すること、かつ下地シリケート材料が、洗浄剤組成物によって影響を
受けないことが重要である。水性除去溶液は、廃棄技術が簡単なので通常好ましいはずで
あるが、この水性除去溶液は、金属相互連結をエッチングまたは腐食することが知られて
いる。
[0006] したがって、マイクロ電子デバイスの表面からARC層および/またはエッチン
グ後残留物を完全かつ効率的に除去すると同時に、同一の広がりを持って存在する誘電材
料、相互連結金属および/または窒化物含有材料に対する損傷を最小限に抑えることが可
能な、低含水率の除去組成物が当技術分野において必要とされている。
[0007] 本発明は一般に、反射防止コーティング材料および/またはエッチング後残留物
を、上にかかる材料を有するマイクロ電子デバイスの表面から除去するのに有用な、液体
除去組成物およびプロセスに関する。この液体除去組成物は、低k誘電材料、相互連結金
属(たとえばアルミニウム、銅およびコバルト合金)、ならびに窒化物含有層(たとえば
窒化ケイ素)と適合性がある。
[0008] 1つの態様において、液体除去組成物(liquid removal composition)が記載さ
れており、前記液体除去組成物は、少なくとも1種のフッ化物含有化合物と、少なくとも
1種の有機溶媒と、任意選択により水と、誘電体不動態化剤および/または腐食抑制剤お
よび/または少なくとも1種のケイ素含有化合物のうちの少なくとも1種とを含み、ここ
で前記液体除去組成物は、反射防止コーティング(ARC)材料および/またはエッチング
後残留物を、上にかかる材料および/または残留物を有するマイクロ電子デバイスから除
去するのに有用である。
[0009] 別の態様において、ARC材料および/またはエッチング後残留物を、上に前記
材料および残留物を有するマイクロ電子デバイスから除去する方法であって、マイクロ電
子デバイスから前記材料および残留物を少なくとも部分的に除去するのに十分な時間、マ
イクロ電子デバイスを液体除去組成物と接触させることを含み、前記液体除去組成物が、
少なくとも1種のフッ化物含有化合物と、少なくとも1種の有機溶媒と、任意選択により
水と、誘電体不動態化剤および/または腐食抑制剤および/または少なくとも1種のケイ
素含有化合物のうちの少なくとも1種とを含む、方法。
[0010] 本発明の他の態様、特徴、および実施形態は、次の開示内容および添付の特許請
求の範囲からさらに十分に明らかであろう。
[0011] 本発明は、反射防止コーティング(ARC)材料および/またはエッチング後残留
物を、上に前記材料を有するマイクロ電子デバイスの表面から除去するのに有用である液
体除去組成物を企図する。
[0012] 参照を容易にするために、「マイクロ電子デバイス」は、マイクロエレクトロニ
クス、集積回路、エネルギー収集、またはコンピューターチップ用途で使用するために製
造される、半導体基板、フラットパネルディスプレイ、相変化メモリーデバイス、ソーラ
ーパネル、ならびに太陽電池デバイス、光電装置、および微小電気機械システム(MEMS)
を含む他の製品に相当する。「マイクロ電子デバイス」という用語は、決して限定的なこ
とを意味するものではなく、最終的にマイクロ電子デバイスまたはマイクロ電子アセンブ
リとなる、あらゆる基板または構造を含むことを理解されたい。特に、マイクロ電子デバ
イス基板は、パターン形成された基板、ブランケット化基板、および/または試験基板で
あってもよい。
[0013] 本明細書で使用する場合、「エッチング後残留物」および「プラズマエッチング
後残留物」は、気相プラズマエッチング加工、たとえばBEOLデュアルダマシン処理の
後に残存する材料に相当する。エッチング後残留物は、本質的に、有機、有機金属、有機
ケイ素、または無機であってもよく、たとえば、ケイ素含有材料、チタン含有材料、窒素
含有材料、酸素含有材料、高分子残留材料、銅含有残留材料(酸化銅残留物を含む)、タ
ングステン含有残留材料、コバルト含有残留材料、塩素およびフッ素などのエッチングガ
ス残留物、ならびにこれらの組み合わせであってもよい。
[0014] 本明細書における定義では、「低k誘電材料」およびELK ILD材料は、層
状マイクロ電子デバイスにおいて誘電材料として使用されるどの材料にも相当し、この材
料は、約3.5未満の誘電率を有する。好ましくは、低k誘電材料としては、ケイ素含有
有機ポリマー、ケイ素含有有機/無機複合材料、有機シリケートガラス(OSG)、TEO
S、フッ素化シリケートガラス(FSG)、二酸化ケイ素、および炭素ドープ酸化物(CDO)
ガラスなどの低極性材料が挙げられる。最も好ましくは、低k誘電材料は、オルガノシラ
ンおよび/またはオルガノシロキサン前駆体を用いて付着される。低k誘電材料が、様々
な密度および様々な多孔性を有し得ることは認められたい。
[0015] 本明細書で使用する場合、「約」は、記載値の±5%に相当することが意図され
る。
[0016] 本明細書で使用する場合、ARC材料および/またはエッチング後残留物を、上
に前記材料を有するマイクロ電子デバイスから除去するための「適切性」は、マイクロ電
子デバイスからの前記ARCおよび/またはエッチング後残留物の少なくとも部分的な除
去に相当する。好ましくは、材料の少なくとも約90%、より好ましくは材料の少なくと
も95%、および最も好ましくは材料の少なくとも99%は、本明細書に記載の組成物を
使用して、マイクロ電子デバイスから除去される。
[0017] 本明細書における定義では、「ARC材料」は、二層および三層フォトレジスト
、底部反射防止コーティング(BARC)および犠牲反射防止コーティング(SARC)に相当し
、本質的に有機および/または無機であり得る。
[0018] 「実質的に欠く」は、本明細書では、2重量%未満、好ましくは1重量%未満、
より好ましくは0.5重量%未満、さらにより好ましくは0.1重量%未満、最も好まし
くは0重量%と定義される。
[0019] 本明細書における定義では、「窒化物含有材料」は、窒化ケイ素、酸窒化ケイ素
、シリコン窒化炭素、窒化チタン、酸窒化チタン、窒化タンタル、およびこれらの組み合
わせに相当する。
[0020] 本発明の組成物は、以下でより詳細に説明されるように、多種多様な特定の配合
物で具体化することができる。
[0021] すべてのかかる組成物において、組成物の特定の成分がゼロの下限値を含む重量
パーセント範囲と関連して論じられ、組成物に関する様々な特定の実施形態ではかかる成
分が存在する場合もあれば存在しない場合もあり、かかる成分が存在する例では、かかる
成分が使用される組成物の総重量に対して0.001重量パーセント程度の低い濃度で同
成分が存在する場合があることは理解されるであろう。
[0022] 1つの態様において、マイクロ電子デバイスからARC層および/またはエッチ
ング後残留物を除去するのに有用な液体除去組成物が記載されている。液体除去組成物は
、少なくとも1種のフッ化物含有化合物と、少なくとも1種の有機溶媒と、誘電体不動態
化剤および/または腐食抑制剤および/またはケイ素含有化合物のうちの少なくとも1種
と、任意選択により水とを含む。
[0023] 第1の態様の1つの実施形態では、液体除去組成物は、少なくとも1種のフッ化
物含有化合物と、少なくとも1種の有機溶媒と、少なくとも1種の誘電体不動態化剤とを
含む、からなる、またはから本質的になる。別の実施形態では、液体除去組成物は、少な
くとも1種のフッ化物含有化合物と、少なくとも1種の有機溶媒と、少なくとも1種の誘
電体不動態化剤と、水とを含む、からなる、またはから本質的になる。さらに別の実施形
態では、液体除去組成物は、少なくとも1種のフッ化物含有化合物と、少なくとも1種の
有機溶媒と、少なくとも1種の腐食抑制剤とを含む、からなる、またはから本質的になる
。さらに別の実施形態では、液体除去組成物は、少なくとも1種のフッ化物含有化合物と
、少なくとも1種の有機溶媒と、少なくとも1種の腐食抑制剤と、水とを含む、からなる
、またはから本質的になる。別の実施形態では、液体除去組成物は、少なくとも1種のフ
ッ化物含有化合物と、少なくとも1種の有機溶媒と、少なくとも1種のケイ素含有化合物
とを含む、からなる、またはから本質的になる。さらに別の実施形態では、液体除去組成
物は、少なくとも1種のフッ化物含有化合物と、少なくとも1種の有機溶媒と、少なくと
も1種のケイ素含有化合物と、水とを含む、からなる、またはから本質的になる。別の実
施形態では、液体除去組成物は、少なくとも1種のフッ化物含有化合物と、少なくとも1
種の有機溶媒と、少なくとも1種の誘電体不動態化剤と、少なくとも1種の腐食抑制剤と
を含む、からなる、またはから本質的になる。さらに別の実施形態では、液体除去組成物
は、少なくとも1種のフッ化物含有化合物と、少なくとも1種の有機溶媒と、少なくとも
1種の誘電体不動態化剤と、少なくとも1種の腐食抑制剤と、水とを含む、からなる、ま
たはから本質的になる。別の実施形態では、液体除去組成物は、少なくとも1種のフッ化
物含有化合物と、少なくとも1種の有機溶媒と、少なくとも1種の腐食抑制剤と、少なく
とも1種のケイ素含有化合物とを含む、からなる、またはから本質的になる。さらに別の
実施形態では、液体除去組成物は、少なくとも1種のフッ化物含有化合物と、少なくとも
1種の有機溶媒と、少なくとも1種の腐食抑制剤と、少なくとも1種のケイ素含有化合物
と、水とを含む、からなる、またはから本質的になる。さらに別の実施形態では、液体除
去組成物は、少なくとも1種のフッ化物含有化合物と、少なくとも1種の有機溶媒と、少
なくとも1種の誘電体不動態化剤と、少なくとも1種のケイ素含有化合物とを含む、から
なる、またはから本質的になる。さらに別の実施形態では、液体除去組成物は、少なくと
も1種のフッ化物含有化合物と、少なくとも1種の有機溶媒と、少なくとも1種の誘電体
不動態化剤と、少なくとも1種のケイ素含有化合物と、水とを含む、からなる、またはか
ら本質的になる。さらに別の実施形態では、液体除去組成物は、少なくとも1種のフッ化
物含有化合物と、少なくとも1種の有機溶媒と、少なくとも1種の腐食抑制剤と、少なく
とも1種の誘電体不動態化剤と、少なくとも1種のケイ素含有化合物とを含む、からなる
、またはから本質的になる。さらに別の実施形態では、液体除去組成物は、少なくとも1
種のフッ化物含有化合物と、少なくとも1種の有機溶媒と、少なくとも1種の腐食抑制剤
と、少なくとも1種の誘電体不動態化剤と、少なくとも1種のケイ素含有化合物と、水と
を含む、からなる、またはから本質的になる。
[0024] 第1の態様の液体除去組成物は、約1〜約5、より好ましくは約4未満の範囲の
pH値を有する。好ましくは、組成物は、酸化剤(たとえば過酸化水素)、水酸化第四級
アンモニウム化合物、および化学機械研磨用研磨材を実質的に欠いている。
[0025] 好ましくは、液体除去組成物は、組成物の総重量に対して、表示された重量パー
セントで下記成分を含んでおり、組成物のかかる成分の重量パーセントの総計は100重
量%を超過しない。
Figure 2020167418

特に好ましい実施形態において、水は、約0.01重量%〜約1重量%の範囲の量で存在
し、脱イオン化および非オゾン処理され、組成物に添加されるか、または他の成分のうち
の1つにおいて残留物として存在する。
[0026] フッ化物含有化合物の適切な供給源としては、これらに限定されるものではない
が、フッ化水素、フッ化アンモニウム、フルオロホウ酸、テトラメチルアンモニウムフル
オリド(TMAF)、およびトリエタノールアミンフッ化水素酸塩が挙げられる。あるいは、
重フッ化アンモニウム((NH)HF)および重フッ化テトラアルキルアンモニウム
((R)NHF、式中、Rは、メチル、エチル、プロピル、ブチル、フェニル、ベン
ジル、またはフッ素化C〜Cアルキル基である)などの重フッ化物の塩を使用するこ
とができる。2種以上のフッ化物種の組み合わせもまた、本明細書において企図される。
好ましい実施形態において、フッ化物含有化合物としては、フッ化水素が挙げられる。注
目すべきことには、フッ化水素は一般に、残留量の水と共に輸送され、したがって、その
後に水が意図的に添加されないとしても、除去組成物中に水が存在し得る。好ましくは、
フッ化物含有化合物は、フッ化水素または重フッ化アンモニウムを含む。
[0027] 有機溶媒種は、溶媒としての役割を果たし、かつARCおよび/またはエッチン
グ後残留物中に存在し得る有機残留物の溶解を助けると考えられている。かかる組成物の
ための適切な溶媒種としては、限定されないが、テトラメチレンスルホン;これらに限定
されないが、メタノール、エタノール、1−プロパノール、2−プロパノール、1−ブタ
ノール、2−ブタノール、t−ブタノール、1−ペンタノール、ヘキサノール、シクロヘ
キサノール、2−エチル−1−ヘキサノールを含む直鎖または分枝鎖C〜Cアルコー
ル;ベンジルアルコール、フルフリルアルコール;エチレングリコール、ジエチレングリ
コール、プロピレングリコール(1,2−プロパンジオール)、テトラメチレングリコー
ル(1,4−ブタンジオール)、2,3−ブタンジオール、1,3−ブタンジオール、お
よびネオペンチルグリコールなどのグリコール;またはジエチレングリコールモノメチル
エーテル、トリエチレングリコールモノメチルエーテル、ジエチレングリコールモノエチ
ルエーテル、トリエチレングリコールモノエチルエーテル、エチレングリコールモノプロ
ピルエーテル、エチレングリコールモノブチルエーテル、ジエチレングリコールモノブチ
ルエーテル、トリエチレングリコールモノブチルエーテル、プロピレングリコールメチル
エーテル、ジプロピレングリコールメチルエーテル、トリプロピレングリコールメチルエ
ーテル、プロピレングリコールn−プロピルエーテル、ジプロピレングリコールn−プロ
ピルエーテル、トリプロピレングリコールn−プロピルエーテル、プロピレングリコール
n−ブチルエーテル、ジプロピレングリコールn−ブチルエーテル、およびトリプロピレ
ングリコールn−ブチルエーテルなどのグリコールエーテルが挙げられる。有用である他
の溶媒は、ジメチルアセトアミド、ホルムアミド、ジメチルホルムアミド、1−メチル−
2−ピロリジノン、ジメチルスルホキシド、テトラヒドロフルフリルアルコール(THFA)
などの一般的な極性溶媒、および他の極性溶媒である。2種以上の溶媒種の組み合わせも
本明細書において企図される。好ましくは、有機溶媒は、1,4−ブタンジオール、n−
ブタノール、エチレングリコール、プロピレングリコール、およびそれらの組み合わせを
含む。
[0028] 存在する場合には、腐食抑制剤は、下地層における金属、たとえば銅および/ま
たはコバルトの腐食を低減する。腐食抑制剤としては、いずれかの適切な種類のものであ
ってもよく、限定されないが、ベンゾトリアゾール(BTA)、1,2,4−トリアゾール
(TAZ)、5−アミノテトラゾール(ATA)、1−ヒドロキシベンゾトリアゾール、5−ア
ミノ−1,3,4−チアジアゾール−2−チオール、3−アミノ−1H−1,2,4−ト
リアゾール、3,5−ジアミノ−1,2,4−トリアゾール、トリルトリアゾール、5−
フェニル−ベンゾトリアゾール、5−ニトロ−ベンゾトリアゾール、3−アミノ−5−メ
ルカプト−1,2,4−トリアゾール、1−アミノ−1,2,4−トリアゾール、2−(
5−アミノ−ペンチル)−ベンゾトリアゾール、1−アミノ−1,2,3−トリアゾール
、1−アミノ−5−メチル−1,2,3−トリアゾール、3−メルカプト−1,2,4−
トリアゾール、3−イソプロピル−1,2,4−トリアゾール、5−フェニルチオール−
ベンゾトリアゾール、ハロ−ベンゾトリアゾール(ハロ=F、Cl、BrまたはI)、ナ
フトトリアゾール、1H−テトラゾール−5−酢酸、2−メルカプトベンゾチアゾール(
2-MBT)、1−フェニル−2−テトラゾリン−5−チオン、2−メルカプトベンゾイミダ
ゾール(MBI)、4−メチル−2−フェニルイミダゾール、2−メルカプトチアゾリン、
2,4−ジアミノ−6−メチル−1,3,5−トリアジン、チアゾール、イミダゾール、
ベンゾイミダゾール、トリアジン、メチルテトラゾール、ビスムチオールI、1,3−ジ
メチル−2−イミダゾリジノン、1,5−ペンタメチレンテトラゾール、1−フェニル−
5−メルカプトテトラゾール、ジアミノメチルトリアジン、イミダゾリンチオン、4−メ
チル−4H−1,2,4−トリアゾール−3−チオール、5−アミノ−1,3,4−チア
ジアゾール−2−チオール、ベンゾチアゾール、リン酸トリトリル、インダゾール、DN
A塩基、ピラゾール、プロパンチオール、アスコルビン酸、チオ尿素、1,1,3,3−
テトラメチル尿素、尿素、尿素誘導体、尿酸、エチルキサントゲン酸カリウム、グリシン
、ドデシルベンゼンスルホン酸(DDBSA)、酒石酸、N,N−ジメチルアセトアセトアミ
ド、1−ニトロソ−2−ナフトール、ポリソルベート80(Tween 80)、ドデシルホスホ
ン酸(DDPA)、エチレンジアミン四酢酸(EDTA)、(1,2−シクロヘキシレンジニトリ
ロ)四酢酸(CDTA)、ジエチレントリアミン五酢酸(DTPA)、2−ホスホノブタン−1,
2,4−トリカルボン酸(PBTCA)、エチレンジアミンジコハク酸、およびプロピレンジ
アミン四酢酸;ホスホン酸;ヒドロキシエチリデンジホスホン酸(HEDP)(Dequest 2010
)、1−ヒドロキシエタン−1,1−ジホスホン酸、ニトリロ−トリス(メチレンホスホ
ン酸)(NTMP)、アミノトリ(メチレンホスホン酸)(Dequest 2000)、ジエチレントリ
アミンペンタ(メチレンホスホン酸)(Dequest 2060S)、エチレンジアミンテトラ(メ
チレンホスホン酸)(EDTMPA)、およびこれらの組み合わせなどのホスホン酸誘導体が挙
げられ得る。2種以上の腐食抑制剤の組み合わせも本明細書において企図される。好まし
くは、腐食抑制剤は、存在する場合には、DDPAを含む。
[0029] 誘電体不動態化剤は、存在する場合には、液体除去組成物と窒化物含有材料との
適合性を改良するために添加され、これらに限定されるものではないが、マロン酸、ホウ
酸、二ホウ酸アンモニウム、ホウ酸塩(たとえば五ホウ酸アンモニウム、四ホウ酸ナトリ
ウム、および二ホウ酸アンモニウム)、3−ヒドロキシ−2−ナフトエ酸、イミノ二酢酸
、およびこれらの組み合わせを含む。好ましくは、誘電体不動態化剤は、存在する場合に
は、ホウ酸を含む。
[0030] 第1の態様の組成物は、フッ化物含有化合物の活性を低減するために少なくとも
1種のケイ素含有化合物をさらに含むことができる。1つの実施形態において、少なくと
も1種のケイ素含有化合物はアルコキシシランを含む。企図されるアルコキシシランは一
般式SiRを有し、式中R、R、RおよびRは、互いに同じでも
異なっていてもよく、直鎖C〜Cアルキル基(たとえばメチル、エチル、プロピル、
ブチル、ペンチル、ヘキシル)、分枝鎖C〜Cアルキル基、C〜Cアルコキシ基
(たとえばメトキシ、エトキシ、プロポキシ、ブトキシ、ペントキシ、ヘキソキシ)、フ
ェニル基、およびこれらの組み合わせからなる群から選択される。アルコキシシランとし
て特徴づけられるには、R、R、RまたはRの少なくとも1つは、C〜C
ルコキシ基でなければならないことが、当業者により認められるべきである。企図される
アルコキシシランとしては、メチルトリメトキシシラン、ジメチルジメトキシシラン、フ
ェニルトリメトキシシラン、テトラエトキシシラン(TEOS)、N−プロピルトリメトキシ
シラン、N−プロピルトリエトキシシラン、ヘキシルトリメトキシシラン、ヘキシルトリ
エトキシシラン、およびこれらの組み合わせが挙げられる。アルコキシシランの代わりに
、またはアルコキシシランに加えて使用できる他のケイ素含有化合物としては、ヘキサフ
ルオロケイ酸アンモニウム、ケイ酸ナトリウム、ケイ酸カリウム、テトラメチルアンモニ
ウムシリケート(TMAS)、テトラアセトキシシラン、ジ−t−ブトキシジアセトキシシラ
ン、アセトキシメチルトリエトキシシラン、およびこれらの組み合わせが挙げられる。好
ましくは、ケイ素含有化合物はTMASを含む。
[0031] 種々の好ましい実施形態において、除去組成物は、以下の実施形態A1〜A9で
配合され、すべてのパーセンテージは、配合物の総重量に対する重量による。
Figure 2020167418
Figure 2020167418
Figure 2020167418
Figure 2020167418
Figure 2020167418
Figure 2020167418
Figure 2020167418
Figure 2020167418
Figure 2020167418
[0032] 本明細書に記載の液体除去組成物は、金属相互連結種、低k誘電材料および/ま
たは窒化物含有材料への損傷を最小限にしながら、マイクロ電子デバイス基板からARC
層および/またはエッチング後残留物を除去するのに特に有効である。対象の金属として
は、これらに限定されるものではないが、銅、タングステン、コバルト、アルミニウム、
タンタルおよびルテニウムが挙げられる。好ましくは、この組成物は、ARC材料および
/またはエッチング後残留物の95%超を除去し、40℃で約5Å min−1未満のコ
バルトのエッチング速度を有する。
[0033] さらに別の実施形態では、液体除去組成物は、少なくとも1種のフッ化物含有化
合物と、少なくとも1種の有機溶媒と、誘電体不動態化剤および/または腐食抑制剤およ
び/または少なくとも1種のケイ素含有化合物のうちの少なくとも1種と、任意選択によ
り水と、残留材料とを含み、その残留材料としては、ARCおよび/またはエッチング後
残留物が挙げられる。重要なことには、残留材料は、本明細書に記載の液体除去組成物に
溶解かつ/または懸濁することができる。
[0034] 本明細書に記載の液体除去組成物は、それぞれの成分を単に添加し、均一な状態
にまで混合することによって、容易に配合される。さらに、液体除去組成物は、単一パッ
ケージの配合物、または使用する時点もしくは使用する前に混合される複数パートの配合
物として容易に配合することができ、たとえば、複数パートの配合物の個々のパートは、
その器具において、またはその器具の上流の貯蔵タンク内で混合することができる。それ
ぞれの成分の濃度は、本発明の広範な実施において、その液体除去組成物の特定の倍量で
、すなわち、より希釈するかまたはより濃縮して、広範に変化させることができ、本発明
の液体除去組成物は様々に、かつ選択的に、本明細書の開示内容と一貫した成分の任意の
組み合わせを含む、からなる、またはから本質的になることが認められるであろう。
[0035] したがって、本発明の別の態様は、1つまたは複数の容器内に、本明細書に記載
の組成物を形成するように適応された1種または複数種の成分を含むキットに関する。た
とえば、キットは、ファブまたは使用する箇所で水と組み合わせるために、少なくとも1
種のフッ化物含有化合物と、少なくとも1種の有機溶媒と、誘電体不動態化剤および/ま
たは腐食抑制剤および/または少なくとも1種のケイ素含有化合物のうちの少なくとも1
種とを、1つまたは複数の容器内に含み得る。あるいは、キットは、ファブまたは使用す
る箇所で少なくとも1種の溶媒と組み合わせるために、少なくとも1種のフッ化物含有化
合物と、誘電体不動態化剤および/または腐食抑制剤および/または少なくとも1種のケ
イ素含有化合物のうちの少なくとも1種とを、1つまたは複数の容器内に含み得る。さら
に別の実施形態では、キットは、ファブまたは使用する箇所で多量の第1の有機溶媒およ
び/または第2の有機溶媒と組み合わせるために、少なくとも1種のフッ化物含有化合物
と、第1の有機溶媒と、誘電体不動態化剤および/または腐食抑制剤および/または少な
くとも1種のケイ素含有化合物のうちの少なくとも1種とを、1つまたは複数の容器内に
含み得る。キットの容器は、前記液体除去組成物を保管および輸送するのに適していなけ
ればならず、たとえばNOWPak(登録商標)容器(Advanced Technology Materials,
Inc., Danbury, Conn., USA)が挙げられる。
[0036] 除去組成物の成分を含有する1つまたは複数の容器は、好ましくは、前記1つま
たは複数の容器内の成分をブレンドおよび計量分配のために流体連通させるための手段を
含む。たとえば、NOWPak(登録商標)容器に関して、ライナーの内容物の少なくと
も一部を放出させ、したがってブレンドおよび計量分配のための流体連通を可能にするよ
うに、前記1つまたは複数の容器内のライナーの外側にガス圧力が加えられてもよい。あ
るいは、従来の加圧可能な容器の上部空間にガス圧力が加えられてもよいし、流体連通を
可能にするためにポンプが使用されてもよい。さらに、システムは、好ましくは、ブレン
ドされた洗浄組成物をプロセスツールに計量分配するための計量分配口を含む。
[0037] 前記1つまたは複数の容器のライナーを作製するために、好ましくは、高密度ポ
リエチレンなどの実質的に化学的に不活性で不純物を含まず柔軟で弾力性のある高分子薄
膜材料が使用される。望ましいライナー材料は、共押出またはバリア層を必要とせずに、
ライナー内に配置すべき成分の純度要件に悪影響を及ぼす可能性のある任意の顔料、紫外
線抑制剤、または処理剤なしに処理される。望ましいライナー材料のリストとしては、バ
ージン(添加剤なし)ポリエチレン、バージンポリテトラフルオロエチレン(PTFE)、ポ
リプロピレン、ポリウレタン、ポリ塩化ビニリデン、ポリ塩化ビニル、ポリアセタール、
ポリスチレン、ポリアクリロニトリル、ポリブチレンなどを含む薄膜が挙げられる。かか
るライナー材料の好ましい厚さは、約5ミル(0.005インチ)〜約30ミル(0.0
30インチ)の範囲内であり、例としては20ミル(0.020インチ)の厚さである。
[0038] キットのための容器に関して、以下の特許および特許出願の開示内容は参照によ
りそれらのそれぞれ全体がこれにより本明細書に組み込まれる:「APPARATUS
AND METHOD FOR MINIMIZING THE GENERATION
OF PARTICLES IN ULTRAPURE LIQUIDS」という表題
の米国特許第7,188,644号、「RETURNABLE AND REUSABL
E,BAG−IN−DRUM FLUID STORAGE AND DISPENSI
NG CONTAINER SYSTEM」という表題の米国特許第6,698,619
号、John E.Q.Hughesの名義で2007年5月9日に出願された「SYS
TEMS AND METHODS FOR MATERIAL BLENDING A
ND DISTRIBUTION」という表題の米国特許出願第60/916,966号
、およびAdvanced Technology Materials,Inc.の名
義で2008年5月9日に出願された「SYSTEMS AND METHODS FO
R MATERIAL BLENDING AND DISTRIBUTION」という
表題のPCT/US08/63276。
[0039] 除去用途において、除去組成物は、いずれかの適切な方法で、たとえば、マイク
ロ電子デバイスの表面上に除去組成物を吹付けることによって、大量の洗浄組成物中にマ
イクロ電子デバイスを浸漬することによって、洗浄されるマイクロ電子デバイスを別の材
料と、たとえば、除去組成物をたっぷり含んだパッドまたは繊維状収着剤アプリケーター
要素と接触させることによって、マイクロ電子デバイスを循環除去組成物と接触させるこ
とによって、または、除去組成物を洗浄されるマイクロ電子デバイスと除去接触させる任
意の他の適切な手段、手法または技術によって、洗浄されるマイクロ電子デバイスに塗布
される。
[0040] 半導体製造操作に適用される場合、本明細書に記載の液体除去組成物は、ARC
および/またはエッチング後残留材料を、上にかかる材料を有するマイクロ電子デバイス
構造から除去するために有用に用いられる。除去組成物は、かかるARC材料および/ま
たはエッチング後残留材料に対するそれらの選択性のおかげで、マイクロ電子デバイス上
に存在して除去組成物に暴露され得る他の材料、たとえば低k誘電構造、金属被覆、バリ
ア層等と比較して、非常に効率的な手法でARCおよび/またはエッチング後残留材料の
少なくとも部分的な除去を達成する。さらに、除去組成物は、少量の水、たとえば約1重
量パーセント未満の水を含んでいるので、銅、アルミニウムおよびコバルトなどの金属相
互連結層と適合性がある。本発明の組成物の存在下で銅および/またはコバルトのエッチ
ング速度は、好ましくは5Å/分未満、より好ましくは2Å/分未満、最も好ましくは1
Å/分未満である。
[0041] ARC材料および/またはエッチング後残留物を、上にARC材料および/また
はエッチング後残留物を有するマイクロ電子デバイス基板から除去するための除去組成物
の使用において、一般に、この組成物を約20℃〜約80℃の範囲の温度で約1〜約60
分、好ましくは約20〜約30分の時間、デバイス基板と接触させる。かかる接触時間お
よび温度は実例であって、デバイス基板からARC材料および/またはエッチング後残留
物を少なくとも部分的に除去するために有効であるいずれかの他の適切な時間および温度
条件を用いてもよい。本明細書における定義では、「少なくとも部分的な除去」は、AR
C材料および/またはエッチング後残留物の少なくとも50%の除去、好ましくは少なく
とも80%の除去に相当する。最も好ましくは、本明細書に記載の液体除去組成物を用い
てARC材料および/またはエッチング後残留物の少なくとも90%が除去される。
[0042] 所望の洗浄作用の達成後、除去組成物は、本明細書に記載の組成物の所与の最終
用途において望ましくかつ有効であり得るように、たとえば、すすぎ、洗浄、または他の
除去工程によって、それが事前に塗布されているデバイスから容易に除去される。たとえ
ば、デバイスは脱イオン水ですすいでもよい。
[0043] さらなる実施形態は、マイクロ電子デバイスを含む物品を製造する方法に関し、
前記方法は、ARCおよび/またはエッチング後残留材料を、上に前記材料を有するマイ
クロ電子デバイスから除去するのに十分な時間、マイクロ電子デバイスを液体除去組成物
と接触させることと、前記物品中に前記マイクロ電子デバイスを組み込むこととを含み、
液体除去組成物は、少なくとも1種のフッ化物含有化合物と、少なくとも1種の有機溶媒
と、誘電体不動態化剤および/または腐食抑制剤および/または少なくとも1種のケイ素
含有化合物のうちの少なくとも1種と、任意選択により水とを含有する。本発明の組成物
の存在下での低k誘電体および/または窒化物のエッチング速度は、好ましくは5Å/分
未満、より好ましくは2Å/分未満、最も好ましくは1Å/分未満である。
[0044] 別の態様は、除去組成物、マイクロ電子デバイスウエハ、およびARC材料およ
び/またはエッチング後残留物を含む製造物品に関し、この除去組成物は、少なくとも1
種のフッ化物含有化合物と、少なくとも1種の有機溶媒と、誘電体不動態化剤および/ま
たは腐食抑制剤および/または少なくとも1種のケイ素含有化合物のうちの少なくとも1
種と、任意選択により水とを含む。
[0045] 本発明の特徴および利点を、以下で論じる実例によってより詳細に示す。
実施例1
[0046] 少なくとも1種のフッ化物含有化合物(すなわち、HF、HFは自然に存在する
水を含有する)と、少なくとも1種の有機溶媒と、少なくとも1種の誘電体不動態化剤と
を含む除去組成物を調製し、(20分の時点の)TiONおよび(60分の時点の)Si
Nのエッチング速度ならびに、コバルトのクーポンからの(5分の時点の)コバルトの損
失を測定した。実験はすべて35℃で実施した。TiONのエッチング速度は、Ti含有
残留物、したがって表面からのTi含有残留物の除去をシミュレートするために用いた。
結果を、以下の表1に示す。
Figure 2020167418
[0047] 不動態化剤の含有により対照(配合物A)に対して、窒化ケイ素のエッチング速
度が減少したが、全体としての性能は、フッ化物含有化合物の濃度にも依存したことが分
かる。いずれの場合にも、5分の時点のTiNのエッチング速度は0.5Å/分未満であ
り、30分の時点のWのエッチング速度は0.5Å/分未満であった。
実施例2
[0048] 少なくとも1種のフッ化物含有化合物と、少なくとも1種の有機溶媒と、少なく
とも1種の誘電体不動態化剤および/または少なくとも1種の腐食抑制剤とを含む除去組
成物を調製し、(20分の時点の)TiONおよび(30分の時点の)SiNのエッチン
グ速度ならびにコバルトのクーポンからの(5分の時点の)コバルトの損失を測定した。
特段に指示されていない限り、実験はすべて40℃で実施した。TiONのエッチング速
度は、Ti含有残留物、したがって表面からのTi含有残留物の除去をシミュレートする
ために用いた。結果を、以下の表2に示す。
Figure 2020167418
Figure 2020167418
[0049] いくつかの腐食抑制剤は、SiN除去ならびにコバルトの損失を最小限にするの
に有効であったが、DDPAが試験されたもののうち最も有効な腐食抑制剤であったこと
が分かる。腐食抑制剤の存在は、洗浄能力を維持したままCoの損失を低減させるのを助
けた。
実施例3
[0050] 少なくとも1種のフッ化物含有化合物と、少なくとも1種の有機溶媒と、少なく
とも1種の腐食抑制剤と、少なくとも1種のケイ素含有化合物とを含む除去組成物を調製
し、(20分の時点の)TiONおよび(30分の時点の)SiNのエッチング速度、な
らびにコバルトのクーポンからの(5分の時点の)コバルトの損失を測定した。実験は、
すべて40℃で実施した。結果を、以下の表3に示す。
Figure 2020167418
[0051] TMASがSiNの防御を支援しており、誘電体不動態化剤が存在する場合に見
られるものと類似していることが分かる。
実施例4
[0052] 少なくとも1種のフッ化物含有化合物と、少なくとも1種の有機溶媒と、少なく
とも1種の誘電体不動態化剤と、少なくとも1種の腐食抑制剤とを含む除去組成物を調製
し、(20分の時点の)TiONおよび(60分の時点の)SiNのエッチング速度、な
らびにコバルトのクーポンからの(5分の時点の)コバルトの損失を測定した。実験は、
すべて40℃で実施した。結果を、以下の表4に示す。
Figure 2020167418
[0050] 誘電体不動態化剤(すなわち、ホウ酸)の存在が、コバルトの損失および窒化ケ
イ素のエッチング速度を最小限にすることに最大の影響を及ぼしたことが分かる。
実施例5
[0051] 少なくとも1種のフッ化物含有化合物と、プロピレングリコール(PG)と、少な
くとも1種の誘電体不動態化剤および/または少なくとも1種の腐食抑制剤および/また
は少なくとも1種のケイ素含有化合物とを含む除去組成物を調製し、(20分の時点の)
TiONおよび(30分の時点の)SiNのエッチング速度、ならびにコバルトのクーポ
ンからの(5分の時点の)コバルトの損失を測定した。実験は、すべて40℃で実施した
。結果を、以下の表5に示す。
Figure 2020167418
[0052] 注目すべきことに、配合物AEは、洗浄効能を犠牲にすることなく45℃でCo
およびSiNと適合性があった。
実施例6
[0053] 重フッ化アンモニウム0.25重量%、n−ブタノール29.25重量%、エチ
レングリコール(EG)、少なくとも1種の誘電体不動態化剤および/または少なくとも1
種の腐食抑制剤を含む除去組成物を調製し、(20分の時点の)TiONおよび(60分
の時点の)SiNのエッチング速度、ならびにコバルトのクーポンからの(5分の時点の
)コバルトの損失を測定した。実験は、すべて35℃で実施した。結果を、以下の表6に
示す。
Figure 2020167418
[0054] ホウ酸は、SiNの適合性を改良し、DDPA/Tween80の組み合わせは
、初期のCo損失を減少させるのに役立ったことが分かる。
実施例7
[0055] 少なくとも1種のフッ化物含有化合物と、n−ブタノール29.250と、少な
くとも1種の追加有機溶媒とを含む除去組成物を調製し、(20分の時点の)TiONお
よび(60分の時点の)SiNのエッチング速度、ならびにコバルトのクーポンからの(
5分の時点の)コバルトの損失を測定した。実験は、すべて35℃で実施した。結果を、
以下の表7に示す。
Figure 2020167418
[0056] 初期のCoの損失は、TMAFの量の増加に伴って減少し、追加有機溶媒として
のEGによってさらにいっそう減少することが分かる。SiNのエッチング速度は、エッ
チング剤の補充により著しく減少した。
[0057] したがって、本明細書では、本発明の特定の態様、特徴および例示的な実施形態
に関して本発明を説明してきたが、本発明の有用性はこのように限定されるものではなく
、むしろ、多くの他の態様、特徴、および実施形態に及ぶものであり、これらを包含する
ことが認められるであろう。したがって、以下に記載の特許請求の範囲は、その精神およ
び範囲内で、かかるすべての態様、特徴および実施形態を含むものとして、対応するよう
に幅広く解釈されることを意図するものである。

Claims (17)

  1. 少なくとも1種のフッ化物含有の化合物と、少なくとも1種の有機溶媒と、任意選択に
    より水と、誘電体不動態化剤および/または腐食抑制剤および/または少なくとも1種の
    ケイ素含有化合物のうちの少なくとも1種とを含む液体除去組成物であって、反射防止コ
    ーティング(ARC)材料および/またはエッチング後残留物を、上にかかる材料および/
    または残留物を有するマイクロ電子デバイスから除去するのに有用である、液体除去組成
    物。
  2. 少なくとも1種の誘電体不動態化剤を含み、誘電体不動態化剤が、マロン酸、ホウ酸、
    二ホウ酸アンモニウム、五ホウ酸アンモニウム、四ホウ酸ナトリウム、二ホウ酸アンモニ
    ウム、3−ヒドロキシ−2−ナフトエ酸、イミノ二酢酸、およびこれらの組み合わせから
    なる群から選択される化学種、好ましくはホウ酸を含む、請求項1に記載の液体除去組成
    物。
  3. 少なくとも1種の腐食抑制剤を含み、腐食抑制剤が、ベンゾトリアゾール(BTA)、1
    ,2,4−トリアゾール(TAZ)、5−アミノテトラゾール(ATA)、1−ヒドロキシベン
    ゾトリアゾール、5−アミノ−1,3,4−チアジアゾール−2−チオール、3−アミノ
    −1H−1,2,4トリアゾール、3,5−ジアミノ−1,2,4−トリアゾール、トリ
    ルトリアゾール、5−フェニル−ベンゾトリアゾール、5−ニトロ−ベンゾトリアゾール
    、3−アミノ−5−メルカプト−1,2,4−トリアゾール、1−アミノ−1,2,4−
    トリアゾール、2−(5−アミノ−ペンチル)−ベンゾトリアゾール、1−アミノ−1,
    2,3−トリアゾール、1−アミノ−5−メチル−1,2,3−トリアゾール、3−メル
    カプト−1,2,4−トリアゾール、3−イソプロピル−1,2,4−トリアゾール、5
    −フェニルチオール−ベンゾトリアゾール、ハロ−ベンゾトリアゾール(ハロ=F、Cl
    、BrまたはI)、ナフトトリアゾール、1H−テトラゾール−5−酢酸、2−メルカプ
    トベンゾチアゾール(2-MBT)、1−フェニル−2−テトラゾリン−5−チオン、2−メ
    ルカプトベンゾイミダゾール(MBI)、4−メチル−2−フェニルイミダゾール、2−メ
    ルカプトチアゾリン、2,4−ジアミノ−6−メチル−1,3,5−トリアジン、チアゾ
    ール、イミダゾール、ベンゾイミダゾール、トリアジン、メチルテトラゾール、ビスムチ
    オールI、1,3−ジメチル−2−イミダゾリジノン、1,5−ペンタメチレンテトラゾ
    ール、1−フェニル−5−メルカプトテトラゾール、ジアミノメチルトリアジン、イミダ
    ゾリンチオン、4−メチル−4H−1,2,4−トリアゾール−3−チオール、5−アミ
    ノ−1,3,4−チアジアゾール−2−チオール、ベンゾチアゾール、リン酸トリトリル
    、インダゾール、DNA塩基、ピラゾール、プロパンチオール、アスコルビン酸、チオ尿
    素、1,1,3,3−テトラメチル尿素、尿素、尿素誘導体、尿酸、エチルキサントゲン
    酸カリウム、グリシン、ドデシルベンゼンスルホン酸(DDBSA)、酒石酸、N,N−ジメ
    チルアセトアセトアミド、1−ニトロソ−2−ナフトール、ポリソルベート80(Tween
    80)、ドデシルホスホン酸(DDPA)、エチレンジアミン四酢酸(EDTA)、(1,2−シク
    ロヘキシレンジニトリロ)四酢酸(CDTA)、ジエチレントリアミン五酢酸(DTPA)、2−
    ホスホノブタン−1,2,4−トリカルボン酸(PBTCA)、エチレンジアミンジコハク酸
    、プロピレンジアミン四酢酸;ホスホン酸;ヒドロキシエチリデンジホスホン酸(HEDP)
    (Dequest 2010)、1−ヒドロキシエタン−1,1−ジホスホン酸、ニトリロ−トリス(
    メチレンホスホン酸)(NTMP)、アミノトリ(メチレンホスホン酸)(Dequest 2000)、
    ジエチレントリアミンペンタ(メチレンホスホン酸)(Dequest 2060S)、エチレンジア
    ミンテトラ(メチレンホスホン酸)(EDTMPA)、およびこれらの組み合わせからなる群か
    ら選択される化学種、好ましくはDDPAを含む、請求項1または2に記載の液体除去組
    成物。
  4. 少なくとも1種のケイ素含有化合物を含み、ケイ素含有化合物が、メチルトリメトキシ
    シラン、ジメチルジメトキシシラン、フェニルトリメトキシシラン、テトラエトキシシラ
    ン(TEOS)、N−プロピルトリメトキシシラン、N−プロピルトリエトキシシラン、ヘキ
    シルトリメトキシシラン、ヘキシルトリエトキシシラン、ヘキサフルオロケイ酸アンモニ
    ウム、ケイ酸ナトリウム、ケイ酸カリウム、テトラメチルアンモニウムシリケート(TMAS
    )、テトラアセトキシシラン、ジ−t−ブトキシジアセトキシシラン、アセトキシメチル
    トリエトキシシラン、およびこれらの組み合わせからなる群から選択される化学種、好ま
    しくはTMASを含む、請求項1から3のいずれか一項に記載の液体除去組成物。
  5. 少なくとも1種の有機溶媒が、テトラメチレンスルホン、メタノール、エタノール、1
    −プロパノール、2−プロパノール、1−ブタノール、2−ブタノール、t−ブタノール
    、1−ペンタノール、ヘキサノール、シクロヘキサノール、2−エチル−1−ヘキサノー
    ル、ベンジルアルコール、フルフリルアルコール、エチレングリコール、ジエチレングリ
    コール、プロピレングリコール(1,2−プロパンジオール)、テトラメチレングリコー
    ル(1,4−ブタンジオール)、2,3−ブタンジオール、1,3−ブタンジオール、ネ
    オペンチルグリコール、ジエチレングリコールモノメチルエーテル、トリエチレングリコ
    ールモノメチルエーテル、ジエチレングリコールモノエチルエーテル、トリエチレングリ
    コールモノエチルエーテル、エチレングリコールモノプロピルエーテル、エチレングリコ
    ールモノブチルエーテル、ジエチレングリコールモノブチルエーテル、トリエチレングリ
    コールモノブチルエーテル、プロピレングリコールメチルエーテル、ジプロピレングリコ
    ールメチルエーテル、トリプロピレングリコールメチルエーテル、プロピレングリコール
    n−プロピルエーテル、ジプロピレングリコールn−プロピルエーテル、トリプロピレン
    グリコールn−プロピルエーテル、プロピレングリコールn−ブチルエーテル、ジプロピ
    レングリコールn−ブチルエーテル、およびトリプロピレングリコールn−ブチルエーテ
    ル、ジメチルアセトアミド、ホルムアミド、ジメチルホルムアミド、1−メチル−2−ピ
    ロリジノン、ジメチルスルホキシド、テトラヒドロフルフリルアルコール(THFA)、およ
    びこれらの組み合わせからなる群から選択される化合物を含む、請求項1から4のいずれ
    か一項に記載の液体除去組成物。
  6. 少なくとも1種の有機溶媒が、エチレングリコール、プロピレングリコール、2−プロ
    パノール、1−プロパノール、1−ブタノール、1,4−ブタンジオール、1−ペンタノ
    ール、およびこれらの組み合わせからなる群から選択される化合物を含む、請求項1から
    5のいずれか一項に記載の液体除去組成物。
  7. 少なくとも1種の有機溶媒が、1−ブタノール、1,4−ブタンジオール、およびこれ
    らの組み合わせからなる群から選択される化合物を含む、請求項1から6のいずれか一項
    に記載の液体除去組成物。
  8. 少なくとも1種の有機溶媒が、プロピレングリコールを含む、請求項1から7のいずれ
    か一項に記載の液体除去組成物。
  9. 組成物が水を含み、水が組成物の総重量に対して約0.01重量%から約1重量%まで
    の量で存在する、請求項1から8のいずれか一項に記載の液体除去組成物。
  10. 少なくとも1種のフッ化物含有化合物が、フッ化水素、フッ化アンモニウム、フルオロ
    ホウ酸、テトラメチルアンモニウムフルオリド(TMAF)、トリエタノールアミンフッ化水
    素酸塩、重フッ化アンモニウム((NH)HF)、重フッ化テトラアルキルアンモニ
    ウム((R)NHF)、およびこれらの組み合わせからなる群から選択される化学種
    、好ましくはフッ化水素を含む、請求項1から9のいずれか一項に記載の液体除去組成物
  11. 組成物のpHが、約1〜約5の範囲である、請求項1から10のいずれか一項に記載の
    液体除去組成物。
  12. ARC材料および/またはエッチング後残留物の95%超を除去し、40℃で約5Å
    min−1未満のコバルトのエッチング速度を有する、請求項1から11のいずれか一項
    に記載の液体除去組成物。
  13. ARC残留物、エッチング後残留物、およびこれらの組み合わせからなる群から選択さ
    れる残留材料をさらに含む、請求項1から12のいずれか一項に記載の液体除去組成物。
  14. ARC材料および/またはエッチング後残留物を、上に前記材料および残留物を有する
    マイクロ電子デバイスから除去する方法であって、マイクロ電子デバイスから前記材料お
    よび残留物を少なくとも部分的に除去するのに十分な時間、マイクロ電子デバイスを請求
    項1から13のいずれか一項に記載の液体除去組成物と接触させることを含む方法。
  15. マイクロ電子デバイスが、半導体基板、フラットパネルディスプレイ、および微小電気
    機械システム(MEMS)からなる群から選択される物品のものである、請求項14に記載の
    方法。
  16. 前記接触が、約1分〜約60分間行われる、請求項14または15に記載の方法。
  17. 前記接触が、約20℃〜約80℃の範囲の温度で行われる、請求項14から16のいず
    れか一項に記載の方法。
JP2020092560A 2014-06-04 2020-05-27 金属、誘電体および窒化物適合性を有する、反射防止コーティング洗浄およびエッチング後残留物除去組成物 Active JP7018989B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201462007712P 2014-06-04 2014-06-04
US62/007,712 2014-06-04

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2016571339A Division JP2017519862A (ja) 2014-06-04 2015-06-02 金属、誘電体および窒化物適合性を有する、反射防止コーティング洗浄およびエッチング後残留物除去組成物

Publications (2)

Publication Number Publication Date
JP2020167418A true JP2020167418A (ja) 2020-10-08
JP7018989B2 JP7018989B2 (ja) 2022-02-14

Family

ID=54767562

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2016571339A Pending JP2017519862A (ja) 2014-06-04 2015-06-02 金属、誘電体および窒化物適合性を有する、反射防止コーティング洗浄およびエッチング後残留物除去組成物
JP2020092560A Active JP7018989B2 (ja) 2014-06-04 2020-05-27 金属、誘電体および窒化物適合性を有する、反射防止コーティング洗浄およびエッチング後残留物除去組成物

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2016571339A Pending JP2017519862A (ja) 2014-06-04 2015-06-02 金属、誘電体および窒化物適合性を有する、反射防止コーティング洗浄およびエッチング後残留物除去組成物

Country Status (6)

Country Link
US (1) US10460954B2 (ja)
JP (2) JP2017519862A (ja)
KR (1) KR102420338B1 (ja)
CN (2) CN115368982A (ja)
TW (1) TWI692523B (ja)
WO (1) WO2015187675A2 (ja)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI818893B (zh) * 2015-07-14 2023-10-21 美商富士軟片電子材料美國股份有限公司 清潔組成物及其使用方法
KR102570307B1 (ko) * 2016-10-31 2023-08-25 주식회사 이엔에프테크놀로지 식각 조성물
SG11202001057VA (en) * 2017-08-22 2020-03-30 Fujifilm Electronic Materials Usa Inc Cleaning compositions
US10761423B2 (en) * 2017-08-30 2020-09-01 Taiwan Semiconductor Manufacturing Company, Ltd. Chemical composition for tri-layer removal
EP3743772A1 (en) * 2018-01-25 2020-12-02 Merck Patent GmbH Photoresist remover compositions
CN111512239B (zh) * 2018-01-25 2024-05-03 默克专利股份有限公司 光致抗蚀剂去除剂组合物
EP3560913A1 (en) 2018-04-25 2019-10-30 Dynamit Nobel GmbH Explosivstoff- und Systemtechnik Process for the production of tetrazolinones
EP3787009A4 (en) * 2018-04-27 2021-06-09 Mitsubishi Gas Chemical Company, Inc. AQUEOUS COMPOSITION AND PROCESS FOR USING CLEANING
TWI808162B (zh) * 2018-04-27 2023-07-11 日商三菱瓦斯化學股份有限公司 水性組成物及使用此組成物之清洗方法
EP3787008B1 (en) * 2018-04-27 2024-04-10 Mitsubishi Gas Chemical Company, Inc. Aqueous composition and cleaning method using same
CN110669597A (zh) * 2018-07-03 2020-01-10 安集微电子科技(上海)股份有限公司 一种含氟清洗液
CN112384597A (zh) * 2018-07-06 2021-02-19 恩特格里斯公司 选择性蚀刻材料的改进
CN111381458B (zh) * 2018-12-27 2024-04-30 安集微电子科技(上海)股份有限公司 一种光刻胶清洗液
CN110459468A (zh) * 2019-08-29 2019-11-15 上海华力集成电路制造有限公司 TiN薄膜的刻蚀方法
CN110676075B (zh) * 2019-10-15 2021-04-06 江苏科技大学 一种硫铜钴矿基超级电容电极板的制备方法
KR20220083186A (ko) * 2020-12-11 2022-06-20 동우 화인켐 주식회사 고분자 처리용 공정액
KR20220150134A (ko) * 2021-05-03 2022-11-10 삼성전자주식회사 포토레지스트 박리 조성물과 이를 이용하는 반도체 소자 및 반도체 패키지의 제조 방법
CN115815223A (zh) * 2021-09-17 2023-03-21 江苏鲁汶仪器股份有限公司 一种等离子刻蚀腔的清洗方法及应用

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5698503A (en) * 1996-11-08 1997-12-16 Ashland Inc. Stripping and cleaning composition
JP2007519942A (ja) * 2003-12-02 2007-07-19 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド レジスト、barc、およびギャップフィル材料を剥離する化学物質ならびに方法
WO2007120259A2 (en) * 2005-11-08 2007-10-25 Advanced Technology Materials, Inc. Formulations for removing copper-containing post-etch residue from microelectronic devices
JP2008543060A (ja) * 2005-05-26 2008-11-27 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅不活性化化学機械研磨後洗浄組成物及び使用方法
JP2008546036A (ja) * 2005-06-07 2008-12-18 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 金属および誘電体相溶性の犠牲反射防止コーティング浄化および除去組成物
WO2013101907A1 (en) * 2011-12-28 2013-07-04 Advanced Technology Materials, Inc. Compositions and methods for selectively etching titanium nitride
JP2013258214A (ja) * 2012-06-11 2013-12-26 Tokyo Ohka Kogyo Co Ltd リソグラフィー用洗浄液及び配線形成方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7188644B2 (en) 2002-05-03 2007-03-13 Advanced Technology Materials, Inc. Apparatus and method for minimizing the generation of particles in ultrapure liquids
US6698619B2 (en) 2002-05-03 2004-03-02 Advanced Technology Materials, Inc. Returnable and reusable, bag-in-drum fluid storage and dispensing container system
KR101331747B1 (ko) 2005-01-27 2013-11-20 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 반도체 기판 처리 조성물
JP2009516360A (ja) * 2005-10-13 2009-04-16 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 金属適合フォトレジスト及び/又は犠牲反射防止コーティング除去組成物
TW200916183A (en) 2007-05-09 2009-04-16 Advanced Tech Materials Systems and methods for material blending and distribution
KR20110028239A (ko) * 2009-09-11 2011-03-17 동우 화인켐 주식회사 평판표시장치 제조용 기판의 세정액 조성물
JP2013533631A (ja) * 2010-07-16 2013-08-22 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド エッチング後残渣を除去するための水性洗浄剤
WO2016003729A1 (en) * 2014-06-30 2016-01-07 Entegris, Inc. Aqueous and semi-aqueous cleaners for the removal of post-etch residues with tungsten and cobalt compatibility

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5698503A (en) * 1996-11-08 1997-12-16 Ashland Inc. Stripping and cleaning composition
JP2007519942A (ja) * 2003-12-02 2007-07-19 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド レジスト、barc、およびギャップフィル材料を剥離する化学物質ならびに方法
JP2008543060A (ja) * 2005-05-26 2008-11-27 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅不活性化化学機械研磨後洗浄組成物及び使用方法
JP2008546036A (ja) * 2005-06-07 2008-12-18 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 金属および誘電体相溶性の犠牲反射防止コーティング浄化および除去組成物
WO2007120259A2 (en) * 2005-11-08 2007-10-25 Advanced Technology Materials, Inc. Formulations for removing copper-containing post-etch residue from microelectronic devices
WO2013101907A1 (en) * 2011-12-28 2013-07-04 Advanced Technology Materials, Inc. Compositions and methods for selectively etching titanium nitride
JP2013258214A (ja) * 2012-06-11 2013-12-26 Tokyo Ohka Kogyo Co Ltd リソグラフィー用洗浄液及び配線形成方法

Also Published As

Publication number Publication date
KR102420338B1 (ko) 2022-07-13
TWI692523B (zh) 2020-05-01
US10460954B2 (en) 2019-10-29
US20170200619A1 (en) 2017-07-13
KR20170015452A (ko) 2017-02-08
JP2017519862A (ja) 2017-07-20
TW201610102A (zh) 2016-03-16
CN107004575A (zh) 2017-08-01
JP7018989B2 (ja) 2022-02-14
WO2015187675A2 (en) 2015-12-10
CN115368982A (zh) 2022-11-22
WO2015187675A3 (en) 2017-05-11

Similar Documents

Publication Publication Date Title
JP7018989B2 (ja) 金属、誘電体および窒化物適合性を有する、反射防止コーティング洗浄およびエッチング後残留物除去組成物
KR102405063B1 (ko) 텅스텐 및 코발트 상용성을 갖는 에치후 잔류물을 제거하기 위한 수성 및 반-수성 세정제
KR101332501B1 (ko) 금속 및 유전체 상용성 희생 반사 방지 코팅 세정 및 제거조성물
JP5237300B2 (ja) エッチング後残留物を除去するための液体洗浄剤
US9063431B2 (en) Aqueous cleaner for the removal of post-etch residues
JP6970675B2 (ja) 処理液および積層体の処理方法
WO2018061670A1 (ja) 処理液、および積層体の処理方法
KR20210018976A (ko) 애싱된 스핀-온 유리의 선택적 제거 방법
JP2009512194A (ja) ポストエッチング残渣を除去するための酸化性水性洗浄剤
KR102375342B1 (ko) Tin 풀-백 및 클리닝 조성물
JP2020531654A (ja) 洗浄組成物

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200625

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200625

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20201215

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210311

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210713

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20211011

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220118

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220201

R150 Certificate of patent or registration of utility model

Ref document number: 7018989

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150