JP2020071322A - Stage device, lithographic device, and method for manufacturing article - Google Patents

Stage device, lithographic device, and method for manufacturing article Download PDF

Info

Publication number
JP2020071322A
JP2020071322A JP2018204343A JP2018204343A JP2020071322A JP 2020071322 A JP2020071322 A JP 2020071322A JP 2018204343 A JP2018204343 A JP 2018204343A JP 2018204343 A JP2018204343 A JP 2018204343A JP 2020071322 A JP2020071322 A JP 2020071322A
Authority
JP
Japan
Prior art keywords
stage
substrate
holding
control unit
holding mechanism
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2018204343A
Other languages
Japanese (ja)
Other versions
JP7222660B2 (en
Inventor
祐輔 塩村
Yusuke Shiomura
祐輔 塩村
敬次郎 今井
Keijiro Imai
敬次郎 今井
雄己 内田
Yuki Uchida
雄己 内田
雅欣 高柳
Masayoshi Takayanagi
雅欣 高柳
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Canon Inc
Original Assignee
Canon Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Canon Inc filed Critical Canon Inc
Priority to JP2018204343A priority Critical patent/JP7222660B2/en
Priority to KR1020190128199A priority patent/KR20200049538A/en
Priority to CN201911020122.6A priority patent/CN111123658A/en
Publication of JP2020071322A publication Critical patent/JP2020071322A/en
Application granted granted Critical
Publication of JP7222660B2 publication Critical patent/JP7222660B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70716Stages
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/162Coating on a rotating support, e.g. using a whirler or a spinner
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70775Position control, e.g. interferometers or encoders for determining the stage position
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/7085Detection arrangement, e.g. detectors of apparatus alignment possibly mounted on wafers, exposure dose, photo-cleaning flux, stray light, thermal load
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel

Landscapes

  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Environmental & Geological Engineering (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

To provide a technique advantageous for accurately controlling the posture of a stage.SOLUTION: A stage device for moving a substrate includes: a first stage holding the substrate; a movable second stage to which the first stage is rotatably attached, having a holding mechanism to hold the first stage having an adjusted rotation angle; a detection unit detecting a positional deviation of the first stage with respect to the second stage; and a control unit controlling a process of moving the second stage after controlling the holding mechanism to start holding the first stage and before the holding power of the holding mechanism reaches a threshold. The control unit estimates a posture error of the second stage caused by deformation of the second stage in the process, based on a detection result by the detection unit, and controls the posture of the second stage so as to reduce the posture error based on the estimation.SELECTED DRAWING: Figure 1

Description

本発明は、ステージ装置、リソグラフィ装置、および物品の製造方法に関する。   The present invention relates to a stage apparatus, a lithographic apparatus, and a method for manufacturing an article.

半導体デバイスなどの製造に用いられるリソグラフィ装置では、基板等を保持して移動させるステージ装置が用いられる。特許文献1には、基板を保持するθテーブルと、該θテーブルが回転自在に取り付けられたXYテーブルとを有する基板ステージ(ステージ装置)が記載されている。特許文献1に記載の基板ステージでは、XYテーブルに設けられたミラーに光を照射して該XYテーブルの位置を計測する干渉計を用いて、XYテーブルの位置および姿勢が制御される。   A lithographic apparatus used for manufacturing a semiconductor device or the like uses a stage device that holds and moves a substrate or the like. Patent Document 1 describes a substrate stage (stage device) having a θ table for holding a substrate and an XY table to which the θ table is rotatably attached. In the substrate stage described in Patent Document 1, the position and orientation of the XY table is controlled by using an interferometer that irradiates a mirror provided on the XY table with light to measure the position of the XY table.

特開昭62−200726号公報JP-A-62-200726

リソグラフィ装置では、タクトタイムの改善が求められている。したがって、特許文献1に記載されたようにθテーブルとXYテーブルとを有する基板ステージでは、XYテーブルによるθテーブルの保持力が閾値に達する前にXYテーブルを移動させることが好ましい。しかしながら、このような制御では、XYテーブルとθテーブルとに位置ずれが生じた状態でそれらの相対位置が固定され、XYテーブルが変形しうるため、XYテーブルの姿勢を精度よく制御することが困難になりうる。   In a lithographic apparatus, improvement in tact time is required. Therefore, in the substrate stage having the θ table and the XY table as described in Patent Document 1, it is preferable to move the XY table before the holding force of the θ table by the XY table reaches the threshold value. However, in such control, since the relative positions of the XY table and the θ table are fixed and the XY table can be deformed in a state where the position is displaced, it is difficult to control the posture of the XY table with high accuracy. Can be.

そこで、本発明は、ステージの姿勢を精度よく制御するために有利な技術を提供することを目的とする。   Therefore, an object of the present invention is to provide an advantageous technique for controlling the posture of the stage with high accuracy.

上記目的を達成するために、本発明の一側面としてのステージ装置は、基板を移動させるステージ装置であって、前記基板を保持する第1ステージと、前記第1ステージが回転可能に取り付けられるとともに、回転角が調整された前記第1ステージを保持する保持機構を有する移動可能な第2ステージと、前記第2ステージに対する前記第1ステージの位置ずれを検出する検出部と、前記保持機構に前記第1ステージの保持を開始させた後、前記保持機構の保持力が閾値に達する前に前記第2ステージを移動させる処理を制御する制御部と、を含み、前記制御部は、前記検出部での検出結果に基づいて、前記処理時の前記第2ステージの変形に起因する前記第2ステージの姿勢誤差の推定を行い、当該推定に基づいて、前記姿勢誤差を低減するように前記第2ステージの姿勢を制御する、ことを特徴とする。   In order to achieve the above object, a stage device according to one aspect of the present invention is a stage device that moves a substrate, and includes a first stage that holds the substrate and the first stage that is rotatably attached. A movable second stage having a holding mechanism that holds the first stage whose rotation angle is adjusted; a detection unit that detects a positional deviation of the first stage with respect to the second stage; A control unit that controls a process of moving the second stage after the holding of the first stage is started and before the holding force of the holding mechanism reaches a threshold value, and the control unit is the detection unit. The attitude error of the second stage caused by the deformation of the second stage at the time of the processing is estimated based on the detection result of 1. and the attitude error is reduced based on the estimation. To control the attitude of the second stage so, characterized in that.

本発明の更なる目的又はその他の側面は、以下、添付図面を参照して説明される好ましい実施形態によって明らかにされるであろう。   Further objects and other aspects of the present invention will be made clear by the preferred embodiments described below with reference to the accompanying drawings.

本発明によれば、例えば、ステージの姿勢を精度よく制御するために有利な技術を提供することができる。   According to the present invention, for example, it is possible to provide an advantageous technique for accurately controlling the posture of the stage.

本実施形態の処理フローを示す図である。It is a figure which shows the processing flow of this embodiment. 露光装置の構成を示す概略図である。It is a schematic diagram showing the composition of an exposure device. 基板ステージの構成を示す概略図である。It is a schematic diagram showing composition of a substrate stage. 従来の処理フローを示す図である。It is a figure which shows the conventional process flow. 基板ステージの概略図である。It is a schematic diagram of a substrate stage. 基板ステージの概略図である。It is a schematic diagram of a substrate stage. 基板ステージ(ミラー)の変形を説明するための図である。It is a figure for demonstrating the deformation | transformation of a substrate stage (mirror). 露光結果を説明するための図である。It is a figure for explaining an exposure result. 重ね合わせ精度を説明するための図である。It is a figure for demonstrating superposition precision. 基準値を求める方法を示すフローチャートである。6 is a flowchart showing a method for obtaining a reference value. 補正値を決定する方法を示すフローチャートである。6 is a flowchart showing a method for determining a correction value.

以下、添付図面を参照して、本発明の好適な実施の形態について説明する。なお、各図において、同一の部材ないし要素については同一の参照番号を付し、重複する説明は省略する。   Hereinafter, preferred embodiments of the present invention will be described with reference to the accompanying drawings. In each drawing, the same reference numeral is given to the same member or element, and the duplicated description will be omitted.

本発明に係る一実施形態のステージ装置、および、このステージ装置を含むリソグラフィ装置の構成について説明する。本実施形態のステージ装置が採用されるリソグラフィ装置は、例えば、液晶表示デバイス(液晶パネル)や半導体デバイスを製造する際のリソグラフィ工程に使用されるものである。リソグラフィ装置としては、例えば、基板を露光する露光装置、モールドを用いて基板上の組成物を成形する成形装置(インプリント装置、平坦化装置)などが挙げられる。以下では、本実施形態のステージ装置を露光装置に採用する例について説明する。   A configuration of a stage apparatus according to an embodiment of the present invention and a lithographic apparatus including the stage apparatus will be described. A lithographic apparatus to which the stage apparatus according to the present embodiment is applied is used, for example, in a lithographic process when manufacturing a liquid crystal display device (liquid crystal panel) or a semiconductor device. Examples of the lithographic apparatus include an exposure apparatus that exposes a substrate and a molding apparatus (imprint apparatus, planarization apparatus) that molds a composition on the substrate using a mold. Hereinafter, an example in which the stage device according to the present embodiment is used in an exposure apparatus will be described.

露光装置は、原版Mおよび投影光学系を通過した光で基板W(具体的には、基板W上に塗布されたレジスト(感光剤))を露光することにより、基板Wにおける複数のショット領域の各々に原版Mのパターンを転写する処理(露光処理)を行うものである。本実施形態の露光装置は、原版Mと基板Wとを相対的に走査しながら原版Mのパターンを基板Wに転写するステップ・アンド・スキャン方式の露光装置(スキャナ)とするが、ステップ・アンド・リピート方式の露光装置(ステッパ)としてもよい。ここで、原版Mとしては、例えばマスクやレチクルなどが用いられ、基板Wとしては、例えばガラスプレートや半導体ウェハなどが用いられうる。   The exposure device exposes the substrate W (specifically, the resist (photosensitive agent) coated on the substrate W) with light that has passed through the original plate M and the projection optical system to expose a plurality of shot areas on the substrate W. A process (exposure process) of transferring the pattern of the original plate M to each is performed. The exposure apparatus of the present embodiment is a step-and-scan type exposure apparatus (scanner) that transfers the pattern of the original M onto the substrate W while relatively scanning the original M and the substrate W. A repeat type exposure device (stepper) may be used. Here, for example, a mask or a reticle may be used as the original plate M, and a glass plate or a semiconductor wafer may be used as the substrate W.

[露光装置の構成について]
図2は、本実施形態の露光装置10の構成を示す概略図である。露光装置10は、例えば、照明光学系1と、アライメント検出部2と、原版ステージ3と、投影光学系4と、基板ステージ5(ステージ装置)と、制御部9とを含みうる。制御部9は、例えばCPUやメモリなどを有するコンピュータによって構成されるとともに、装置内の各部に電気的に接続され、装置全体の動作を統括して制御する(即ち、基板Wの露光処理を制御する)。
[About the structure of the exposure device]
FIG. 2 is a schematic diagram showing the configuration of the exposure apparatus 10 of this embodiment. The exposure apparatus 10 can include, for example, an illumination optical system 1, an alignment detection unit 2, an original stage 3, a projection optical system 4, a substrate stage 5 (stage device), and a control unit 9. The control unit 9 is composed of, for example, a computer having a CPU, a memory, and the like, is electrically connected to each unit in the apparatus, and controls the overall operation of the apparatus (that is, controls the exposure processing of the substrate W). To).

照明光学系1は、例えば高圧水銀ランプなどの光源(不図示)から発せられた光を受けて、スリット状(例えば円弧状)に整形された照明光で原版Mの一部を照明する。原版ステージ3は、原版Mを保持し、少なくともX方向およびY方向に移動可能に構成される。投影光学系4は、原版Mに形成されたパターンのうち、照明光学系1によって照明された一部の像を、基板ステージ5により保持された基板Wに投影する。基板ステージ5は、基板Wを保持し、除振台8により支持された定盤6の上を、例えばX、Y、Z、ωX(Roll)、ωY(Pitch)、ωZ(Yaw)の6軸方向に移動可能に構成されうる。原版ステージ3により保持された原版M、および基板ステージ5により保持された基板Wは、投影光学系4を介して光学的に共役な位置(投影光学系4の物体面および像面)にそれぞれ配置される。制御部9は、原版ステージ3と基板ステージ5とを投影光学系4の投影倍率に応じた速度比で相対的に同期走査(例えばX方向)することにより、原版Mのパターンを基板上に転写することができる。   The illumination optical system 1 receives light emitted from a light source (not shown) such as a high-pressure mercury lamp, and illuminates a part of the original plate M with illumination light shaped in a slit shape (for example, an arc shape). The original stage 3 holds the original M and is movable in at least the X direction and the Y direction. The projection optical system 4 projects an image of a part of the pattern formed on the original plate M, which is illuminated by the illumination optical system 1, onto the substrate W held by the substrate stage 5. The substrate stage 5 holds the substrate W and moves on the surface plate 6 supported by the vibration isolation table 8 by, for example, six axes of X, Y, Z, ωX (Roll), ωY (Pitch), and ωZ (Yaw). It can be configured to be movable in a direction. The original plate M held by the original plate stage 3 and the substrate W held by the substrate stage 5 are arranged at optically conjugate positions (the object plane and the image plane of the projection optical system 4) via the projection optical system 4. To be done. The controller 9 relatively synchronously scans (for example, the X direction) the original stage 3 and the substrate stage 5 at a speed ratio according to the projection magnification of the projection optical system 4, thereby transferring the pattern of the original M onto the substrate. can do.

ここで、露光装置10には、基板ステージ5の位置および姿勢を計測する計測部7が設けられうる。計測部7は、例えば、基板ステージ5に設けられたミラー72に光束(レーザ光)を照射し、ミラー72からの反射光によって基板ステージ5(ミラー72)までの距離を計測するレーザ干渉計71を含みうる。このようなレーザ干渉計71は、基板ステージ5(ミラー72)の複数個所の位置(当該複数箇所までの距離)を計測することができるように複数設けられる。これにより、計測部7は、基板ステージ5の位置および姿勢を計測する(求める)ことができる。制御部9は、計測部7での計測結果に基づいて、基板ステージ5の位置および姿勢を制御し、基板Wの移動を制御することができる。   Here, the exposure apparatus 10 may be provided with a measuring unit 7 that measures the position and orientation of the substrate stage 5. The measuring unit 7 irradiates a mirror 72 provided on the substrate stage 5 with a light beam (laser light), for example, and measures the distance to the substrate stage 5 (mirror 72) by the reflected light from the mirror 72. Can be included. A plurality of such laser interferometers 71 are provided so that the positions (distances to the plurality of locations) of the substrate stage 5 (mirror 72) at a plurality of locations can be measured. Accordingly, the measuring unit 7 can measure (obtain) the position and orientation of the substrate stage 5. The control unit 9 can control the position and orientation of the substrate stage 5 and the movement of the substrate W based on the measurement result of the measurement unit 7.

また、アライメント検出部2(アライメントスコープ)は、例えば、撮像素子および光学素子を有し、基板Wに設けられたマークの位置を原版Mおよび投影光学系4を介して検出する。露光装置10では、このアライメント検出部2を用いて、基板Wにおける複数のショット領域の配列情報を求めるアライメント処理(グローバルアライメント)が行われる。具体的には、制御部9は、基板Wの露光処理を開始する前に、基板Wにおける代表的な幾つかのショット領域(サンプルショット領域)に設けられたマークの位置を、基板ステージ5(基板W)をステップ移動させながら、アライメント検出部2に検出させる。そして、アライメント検出部2で得られた検出値に対して統計処理を行うことにより、複数のショット領域の配列情報を求めることができる。   The alignment detector 2 (alignment scope) has, for example, an image sensor and an optical element, and detects the position of the mark provided on the substrate W via the original plate M and the projection optical system 4. In the exposure apparatus 10, the alignment detection unit 2 is used to perform alignment processing (global alignment) for obtaining arrangement information of a plurality of shot areas on the substrate W. Specifically, before starting the exposure processing of the substrate W, the control unit 9 sets the positions of the marks provided in some typical shot areas (sample shot areas) on the substrate W to the substrate stage 5 ( The substrate W) is moved in steps, and is detected by the alignment detector 2. Then, by performing statistical processing on the detection values obtained by the alignment detection unit 2, it is possible to obtain the array information of a plurality of shot areas.

[基板ステージの構成]
次に、基板ステージ5(ステージ装置)の具体的な構成について説明する。図3は、基板ステージ5の構成を示す概略図である。図3(a)は、基板ステージ5を上方(Z方向)から見た図であり、図3(b)は、基板ステージ5を側方(Y方向)から見た図である。基板ステージ5は、基板Wを保持する粗θステージ51(第1ステージ)と、粗θステージ51が回転可能に取り付けられるとともに、6軸方向に移動可能なXYステージ52(第2ステージ)とを含みうる。また、基板ステージ5は、XYステージ52に対する粗θステージ51の位置ずれ(粗θステージ51とXYステージ52との相対位置)を検出する検出部53を含みうる。
[Substrate stage configuration]
Next, a specific configuration of the substrate stage 5 (stage device) will be described. FIG. 3 is a schematic diagram showing the configuration of the substrate stage 5. 3A is a view of the substrate stage 5 viewed from above (Z direction), and FIG. 3B is a view of the substrate stage 5 viewed from side (Y direction). The substrate stage 5 includes a coarse θ stage 51 (first stage) that holds the substrate W, and an XY stage 52 (second stage) that is rotatably attached to the coarse θ stage 51 and is movable in six axial directions. May be included. Further, the substrate stage 5 may include a detection unit 53 that detects a positional deviation of the coarse θ stage 51 with respect to the XY stage 52 (a relative position between the coarse θ stage 51 and the XY stage 52).

粗θステージ51は、例えば真空吸着などにより基板Wを保持する基板チャック51aと、XYステージ52に対して回転可能に案内する粗θガイド51bとを含みうる。本実施形態では、粗θガイド51bは、XYステージ52に対して粗θステージ51(基板チャック51a)を回転駆動する駆動機構としての機能を有しうる。なお、このように粗θステージ51を設ける理由としては、XYステージ52ではωZ(Yaw)方向への基板Wの駆動量を大きくすることができないからである。つまり、粗θステージ51を設けることにより、ωZ(Yaw)方向における基板Wの回転角の補正を十分に行うことが可能となる。   The rough θ stage 51 may include a substrate chuck 51 a that holds the substrate W by vacuum suction or the like, and a rough θ guide 51 b that rotatably guides the substrate W with respect to the XY stage 52. In the present embodiment, the rough θ guide 51b can have a function as a drive mechanism that rotationally drives the rough θ stage 51 (substrate chuck 51a) with respect to the XY stage 52. The reason for providing the coarse θ stage 51 in this way is that the XY stage 52 cannot increase the driving amount of the substrate W in the ωZ (Yaw) direction. That is, by providing the coarse θ stage 51, it becomes possible to sufficiently correct the rotation angle of the substrate W in the ωZ (Yaw) direction.

XYステージ52は、例えば、天板52aと、Xステージ52bと、駆動機構52cと、保持機構52dとを含みうる。天板52aは、粗θステージ51が回転可能に取り付けられるとともに、計測部7(レーザ干渉計71)からの光を反射するミラー72が取り付けられる。Xステージ52bは、天板52aを支持するとともに、定盤6の上をX方向に移動可能に構成される。つまり、Xステージ52bにより、基板WをX方向に移動させることができる。ここで、Xステージ52bは、不図示のYステージ上に搭載されうる。当該Yステージは、Xステージ52bを支持するとともに、定盤6の上をY方向に移動可能に構成される。つまり、Xステージ52bとYステージとにより、基板WをXY方向に移動させることができる。   The XY stage 52 can include, for example, a top plate 52a, an X stage 52b, a drive mechanism 52c, and a holding mechanism 52d. The coarse θ stage 51 is rotatably attached to the top plate 52a, and a mirror 72 that reflects light from the measurement unit 7 (laser interferometer 71) is attached to the top plate 52a. The X stage 52b is configured to support the top plate 52a and move on the surface plate 6 in the X direction. That is, the substrate W can be moved in the X direction by the X stage 52b. Here, the X stage 52b can be mounted on a Y stage (not shown). The Y stage supports the X stage 52b and is movable on the surface plate 6 in the Y direction. That is, the substrate W can be moved in the XY directions by the X stage 52b and the Y stage.

駆動機構52cは、天板52aとXステージ52bとの間に配置された複数のアクチュエータを含み、各アクチュエータによって天板52aをZ方向に駆動して、天板52aとXステージ52bとの間隔を変更する。これにより、Z、ωX(Roll)、ωY(Pitch)方向における天板52aの位置および姿勢を調整し、基板Wの位置および姿勢を制御することができる。また、保持機構52dは、天板52aに設けられ、例えば真空吸着力などの保持力によって粗θステージ51を保持可能に構成される。具体的には、粗θステージ51によってωZ(Yaw)方向における基板Wの回転角を調整(補正)するときには、保持機構52dから圧縮空気を噴出させ(即ち正圧にし)、天板52aに対して粗θステージ51を浮上させる。一方、粗θステージ51の回転角が調整され、基板W(XYステージ52)を移動させるときには、保持機構52dに保持力を発生させて(即ち負圧にし)、粗θステージ51を保持させることで、粗θステージ51とXYステージ52との相対位置を固定する。   The drive mechanism 52c includes a plurality of actuators arranged between the top plate 52a and the X stage 52b, and drives the top plate 52a in the Z direction by each actuator to keep the space between the top plate 52a and the X stage 52b. change. Thereby, the position and orientation of the top plate 52a in the Z, ωX (Roll), and ωY (Pitch) directions can be adjusted, and the position and orientation of the substrate W can be controlled. The holding mechanism 52d is provided on the top plate 52a, and is configured to be able to hold the rough θ stage 51 by a holding force such as a vacuum suction force. Specifically, when the rotation angle of the substrate W in the ωZ (Yaw) direction is adjusted (corrected) by the coarse θ stage 51, compressed air is ejected from the holding mechanism 52d (that is, positive pressure is applied) to the top plate 52a. The coarse θ stage 51 is levitated. On the other hand, when the rotation angle of the rough θ stage 51 is adjusted and the substrate W (XY stage 52) is moved, a holding force is generated in the holding mechanism 52d (that is, negative pressure is applied) to hold the rough θ stage 51. Then, the relative position between the coarse θ stage 51 and the XY stage 52 is fixed.

ここで、天板52aには、図3(a)に示すように、基板ステージ5のX方向の位置を計測するためのミラー72xと、基板ステージ5のY方向の位置を計測するためのミラー72yとが設けられうる。ミラー72xには、例えば、計測部7のレーザ干渉計71xから複数の光束が照射され、ミラー72yには、例えば、計測部7のレーザ干渉計71yから複数の光束が照射される。このように複数の光束を用いることにより、計測部7は、X、Y方向における天板52aの位置、ωX(Roll)、ωY(Pitch)、ωZ(Yaw)方向における天板52aの姿勢を計測することができる。また、計測部7は、Z方向における天板52aの位置を計測するためのレーザ干渉計71zを更に含みうる。   Here, on the top plate 52a, as shown in FIG. 3A, a mirror 72x for measuring the position of the substrate stage 5 in the X direction and a mirror 72x for measuring the position of the substrate stage 5 in the Y direction. 72y may be provided. The mirror 72x is irradiated with a plurality of light beams from the laser interferometer 71x of the measuring unit 7, and the mirror 72y is irradiated with a plurality of light beams from the laser interferometer 71y of the measuring unit 7, for example. By using a plurality of light fluxes in this way, the measuring unit 7 measures the position of the top plate 52a in the X and Y directions and the posture of the top plate 52a in the ωX (Roll), ωY (Pitch), and ωZ (Yaw) directions. can do. The measurement unit 7 may further include a laser interferometer 71z for measuring the position of the top plate 52a in the Z direction.

[従来のアライメント処理]
半導体デバイスや液晶パネルなどの製造では、露光装置10などのリソグラフィ装置を用いて、基板Wにおける複数のショット領域の各々に対し、複数のパターンを転写する工程が行われうる。この際、層ごとの位置がずれると、製品としての所望の機能が得られなくなるため、複数の層を基板W上に精度よく重ね合わせることが重要である。したがって、露光装置10では、2層目以降の基板露光時に、マスクMのマーク(アライメントマーク)と基板Wのマーク(アライメントマーク)との相対位置を計測し、その計測結果に基づいてマスクMと基板Wとの位置合わせを行う。マスクMのマークと基板Wのマークとの相対位置は、アライメント検出部2によりマスクMおよび投影光学系4を介して基板Wを観察して得られた画像から計測されうる。
[Conventional alignment processing]
In manufacturing a semiconductor device, a liquid crystal panel, or the like, a step of transferring a plurality of patterns to each of a plurality of shot areas on the substrate W may be performed using a lithographic apparatus such as the exposure apparatus 10. At this time, if the position of each layer is displaced, the desired function as a product cannot be obtained, so it is important to accurately superpose a plurality of layers on the substrate W. Therefore, the exposure apparatus 10 measures the relative positions of the mark (alignment mark) on the mask M and the mark (alignment mark) on the substrate W during the exposure of the second and subsequent layers, and the mask M and The alignment with the substrate W is performed. The relative position between the mark on the mask M and the mark on the substrate W can be measured from an image obtained by observing the substrate W via the mask M and the projection optical system 4 by the alignment detector 2.

このようなマーク計測は、上述したように、基板Wにおける代表的な幾つかのショット領域(サンプルショット領域)に対して行われ、その計測結果から、基板Wにおける複数のショット領域の配列情報が求められる。当該配列情報を求める処理は「アライメント処理」とも呼ばれる。アライメント処理で求められた配列情報と理想的な配列情報(例えば設計情報)とを比較することにより、基板Wにおける複数のショット領域が、理想の配列に対して倍率成分や回転成分を持って配列されていることを計算することができる。この計算結果に基づいて、基板ステージ5の姿勢および回転を制御したり、走査露光時における投影光学系4の投影倍率、原版ステージ3および基板ステージ5の走査速度を制御したりすることにより、重ね合わせ精度を許容範囲に収めることができる。   As described above, such mark measurement is performed on some typical shot areas (sample shot areas) on the substrate W, and from the measurement results, array information of a plurality of shot areas on the substrate W is obtained. Desired. The process of obtaining the sequence information is also called “alignment process”. By comparing the array information obtained by the alignment processing with the ideal array information (for example, design information), a plurality of shot areas on the substrate W are arrayed with a magnification component and a rotation component with respect to the ideal array. You can calculate what has been done. Based on the calculation result, the attitude and rotation of the substrate stage 5 are controlled, and the projection magnification of the projection optical system 4 and the scanning speed of the original stage 3 and the substrate stage 5 during scanning exposure are controlled. The alignment accuracy can be within the allowable range.

次に、上述した露光装置10で行われうる従来の処理フローについて説明する。図4は、従来の処理フローを示す図である。図4に示すフローチャートの各工程は、制御部9によって行われうる。また、図5は、図4のフローチャートの工程を説明するための図であり、基板ステージ5を上方から見たときの概略図(上図)と、基板ステージ5を側方から見たときの概略図(下図)とが示されている。   Next, a conventional processing flow that can be performed by the exposure apparatus 10 described above will be described. FIG. 4 is a diagram showing a conventional processing flow. Each process of the flowchart shown in FIG. 4 can be performed by the control unit 9. 5 is a diagram for explaining the steps of the flowchart of FIG. 4, and is a schematic diagram (top view) of the substrate stage 5 viewed from above and a substrate stage 5 viewed from the side. A schematic diagram (below) is shown.

S201では、制御部9は、1つ目のサンプルショット領域を、アライメント検出部2による検出位置(アライメント位置)に配置するように、XYステージ52を移動させる。S202では、制御部9は、1つ目のサンプルショット領域のマーク計測(プリ画像計測)をアライメント検出部2に実行させる(図5(a)参照)。これにより、基板Wのラフな(大まかな)回転成分を得ることができる。S203では、制御部9は、S202のマーク計測で得られた基板Wの回転成分が補正されるように、粗θステージ51の回転角を調整する(図5(b)参照)。このS201〜S203の工程では、制御部9は、XYステージ52の保持機構52dから圧縮空気を噴出させて(即ち正圧にして)、粗θステージ51をXYステージ52から浮上させた状態にする。   In S201, the control unit 9 moves the XY stage 52 so that the first sample shot area is located at the detection position (alignment position) by the alignment detection unit 2. In S202, the control unit 9 causes the alignment detection unit 2 to perform the mark measurement (pre-image measurement) of the first sample shot area (see FIG. 5A). As a result, a rough (rough) rotation component of the substrate W can be obtained. In S203, the control unit 9 adjusts the rotation angle of the coarse θ stage 51 so that the rotation component of the substrate W obtained by the mark measurement in S202 is corrected (see FIG. 5B). In the steps of S201 to S203, the control unit 9 ejects compressed air from the holding mechanism 52d of the XY stage 52 (that is, makes it a positive pressure) to make the coarse θ stage 51 levitate from the XY stage 52. ..

S204では、制御部9は、保持機構52dに保持力を発生させて(即ち負圧にし)、保持機構52dによる粗θステージ51の保持を開始する。S205では、制御部9は、保持機構52dの保持力が第1閾値に達したか否かを判定する。第1閾値とは、XYステージ52(保持機構52d)上に着座した状態の粗θステージ51が、XYステージ52に対して位置ずれが発生しないと判定される保持力の値である。即ち、第1閾値とは、アライメント検出部2によるマーク計測を高精度に行うことができる程度に粗θステージ51がXYステージ52に固定されるときの保持力の値でありうる。保持機構52dの保持力は、例えば、真空吸着力を検出する圧力センサ等を用いて検出されうる。S206では、制御部9は、1つ目のサンプルショット領域のマーク計測(ファイン画像計測)をアライメント検出部2に実行させる(図5(c)参照)。   In S204, the control unit 9 causes the holding mechanism 52d to generate a holding force (that is, to make a negative pressure), and starts holding the rough θ stage 51 by the holding mechanism 52d. In S205, the control unit 9 determines whether the holding force of the holding mechanism 52d has reached the first threshold value. The first threshold value is a value of the holding force with which it is determined that the coarse θ stage 51 seated on the XY stage 52 (holding mechanism 52d) is not displaced with respect to the XY stage 52. That is, the first threshold may be a value of the holding force when the coarse θ stage 51 is fixed to the XY stage 52 to the extent that the mark measurement by the alignment detection unit 2 can be performed with high accuracy. The holding force of the holding mechanism 52d can be detected using, for example, a pressure sensor that detects a vacuum suction force. In S206, the control unit 9 causes the alignment detection unit 2 to perform mark measurement (fine image measurement) on the first sample shot area (see FIG. 5C).

S207では、制御部9は、保持機構52dの保持力が第2閾値に達したか否かを判定する。第2閾値とは、XYステージ52を移動させても、XYステージ52に対する粗θステージ51の位置ずれを許容範囲に収めることができる(即ち、XYステージ52に対する粗θステージ51の位置を固定することができる)保持力の値に設定されうる。S208では、制御部9は、2つ目のサンプルショット領域をアライメント位置に配置するように、XYステージ52を移動させる(ステップ移動させる)。S209では、制御部9は、2つ目のサンプルショット領域のマーク計測(ファイン画像計測)をアライメント検出部2に実行させる(図5(d)参照)。   In S207, the control unit 9 determines whether the holding force of the holding mechanism 52d has reached the second threshold value. The second threshold value can keep the positional deviation of the coarse θ stage 51 with respect to the XY stage 52 within an allowable range even if the XY stage 52 is moved (that is, the position of the coarse θ stage 51 with respect to the XY stage 52 is fixed. Can be set) to the value of the holding power. In S208, the control unit 9 moves (steps moves) the XY stage 52 so that the second sample shot area is arranged at the alignment position. In S209, the control unit 9 causes the alignment detection unit 2 to perform mark measurement (fine image measurement) on the second sample shot area (see FIG. 5D).

S210では、制御部9は、全てのサンプルショット領域に対してマーク計測(ファイン画像計測)を行ったか否かを判定する。マーク計測を行っていないサンプルショット領域がある場合には、当該サンプルショット領域に対してS208〜S209の工程を行う。一方、全てのサンプルショット領域に対してマーク計測(ファイン画像計測)を行った場合にはS211に進む。S211では、制御部9は、サンプルショット領域のマーク計測(ファイン画像計測)での計測結果に対して統計処理を行うことにより、基板Wの倍率成分および回転成分の補正値を求める(複数のショット領域の配列情報を求めてもよい)。S212では、制御部9は、S211で求めた補正値を反映しながら、各ショット領域の露光処理を行う。
[タクトタイムの改善]
露光装置10では、タクトタイム(スループット)を改善させることが求められている。上述した従来のアライメント処理では、保持機構52dの保持力が第2閾値に達するまでの待機時間(S207)がタクトタイムを低下させる1つの要因であり、当該待機時間を低減させればタクトタイムを改善することができる。しかしながら、保持機構52dの保持力が第2閾値に達する前にXYステージ52を移動させてしまうと、XYステージ52の加減速によって粗θステージ51がXYステージ52に対して位置ずれを起こしうる(図6(b)参照)。そして、そのような位置ずれが生じた状態で保持機構52dの保持力が第2閾値に達すると、XYステージ52に変形(弾性変形)が生じうる(図6(c)参照)。なお、図6は、基板ステージ5の状態遷移を示す図であり、図6(a)は、保持機構52dによる粗θステージ51の保持を開始する前の状態を示している。
In S210, the control unit 9 determines whether or not the mark measurement (fine image measurement) has been performed on all the sample shot areas. If there is a sample shot area for which mark measurement has not been performed, steps S208 to S209 are performed on the sample shot area. On the other hand, when the mark measurement (fine image measurement) is performed on all the sample shot areas, the process proceeds to S211. In S211, the control unit 9 obtains the correction values of the magnification component and the rotation component of the substrate W by performing statistical processing on the measurement result of the mark measurement (fine image measurement) in the sample shot area (a plurality of shots). Sequence information for the region may be sought). In S212, the control unit 9 performs the exposure processing of each shot area while reflecting the correction value obtained in S211.
[Improvement of takt time]
The exposure apparatus 10 is required to improve the tact time (throughput). In the conventional alignment process described above, the waiting time (S207) until the holding force of the holding mechanism 52d reaches the second threshold is one factor that reduces the takt time, and if the waiting time is reduced, the takt time is reduced. Can be improved. However, if the XY stage 52 is moved before the holding force of the holding mechanism 52d reaches the second threshold value, the coarse θ stage 51 may be displaced relative to the XY stage 52 due to the acceleration / deceleration of the XY stage 52 ( See FIG. 6B). Then, when the holding force of the holding mechanism 52d reaches the second threshold value in the state where such a positional deviation occurs, the XY stage 52 may be deformed (elastically deformed) (see FIG. 6C). 6A and 6B are diagrams showing the state transition of the substrate stage 5, and FIG. 6A shows the state before the holding mechanism 52d starts holding the coarse θ stage 51.

例えば、従来のアライメント処理のシーケンスでは、保持機構52dの保持力が第2閾値に達した状態でXYステージ52を移動させる。そのため、粗θステージ51と保持機構52dとの静止摩擦力が十分に作用し、XYステージ52を加減速させても、XYステージ52に対して粗θステージ51が位置ずれを起こしにくい。一方、保持機構52dの保持力が第2閾値に達する前にXYステージ52を移動させると、保持機構52dの静止摩擦力では粗θステージ51を保持しきれなくなる。その結果、一部の力が粗θガイド51bを通してXYステージ52の天板52aに伝達され、天板52aが変形(弾性変形)を起こす。また、この力は、XYステージ52の加減速中にのみ発生するため、加減速が終了した際に粗θステージ51が浮上した状態であると、天板52aの変形は解放される。   For example, in the conventional alignment processing sequence, the XY stage 52 is moved with the holding force of the holding mechanism 52d reaching the second threshold value. Therefore, the static frictional force between the coarse θ stage 51 and the holding mechanism 52d sufficiently acts, and even if the XY stage 52 is accelerated or decelerated, the coarse θ stage 51 is less likely to be displaced with respect to the XY stage 52. On the other hand, if the XY stage 52 is moved before the holding force of the holding mechanism 52d reaches the second threshold value, the coarse θ stage 51 cannot be held by the static friction force of the holding mechanism 52d. As a result, a part of the force is transmitted to the top plate 52a of the XY stage 52 through the rough θ guide 51b, and the top plate 52a is deformed (elastically deformed). Further, since this force is generated only during the acceleration / deceleration of the XY stage 52, the deformation of the top plate 52a is released when the rough θ stage 51 is in a floating state at the end of the acceleration / deceleration.

しかしながら、実際には、XYステージ52の天板52aが変形を起こした状態で保持機構52dの保持力が第2閾値に達し、粗θステージ51が保持機構52dによって保持されるため、天板52aの変形が解放されずに残存してしまう。天板52aにはミラー72が固定されているため、天板52aの変形は、図6(c)に示すようにミラー72を傾斜させたり、図7の右図に示すようにミラー72を湾曲させたりしうる。そのため、計測部7(レーザ干渉計71)の計測結果に基づいてXYステージ52の姿勢を制御すると、XYステージ52の変形に起因したXYステージ52の姿勢誤差が生じうる。   However, in reality, the holding force of the holding mechanism 52d reaches the second threshold value in a state where the top plate 52a of the XY stage 52 is deformed, and the coarse θ stage 51 is held by the holding mechanism 52d. Deformation will not be released and will remain. Since the mirror 72 is fixed to the top plate 52a, the top plate 52a may be deformed by inclining the mirror 72 as shown in FIG. 6C or bending the mirror 72 as shown in the right diagram of FIG. It can be done. Therefore, if the posture of the XY stage 52 is controlled based on the measurement result of the measuring unit 7 (laser interferometer 71), the posture error of the XY stage 52 due to the deformation of the XY stage 52 may occur.

例えば、図6(c)に示すようにミラー72が傾斜している場合、計測部7(レーザ干渉計71)の計測結果に基づいて、ミラー72の傾斜を補正するようにXYステージ52の姿勢が制御される。そのため、XYステージ52の姿勢誤差として、図6(d)に示すようにXYステージ52(天板52a)が傾き、それに伴って、デフォーカスが発生しうる。また、図7の右図に示すようにミラー72が湾曲している場合、アライメント処理時において、計測部7(レーザ干渉計71)の計測結果に基づいて行われるサンプルショット領域間のステップ移動の制御に誤差が生じる。そのため、当該ステップ移動の際にもXYステージの姿勢誤差が生じ、当該アライメント処理で得られた配列情報において、ミラー72の湾曲に倣った歪みが発生しうる。つまり、このような配列情報を用いて露光処理を行うと、図8の右図に示すように、露光結果においても、ミラー72の湾曲に倣った歪みが発生し、重ね合わせ精度が低下しうる。さらに、このようなミラー72の変形・湾曲は、アライメント処理中に発生するため、そのずれ成分が検出されず、図9に示すような形状に重ね合わせ精度が低下しうる。   For example, when the mirror 72 is tilted as shown in FIG. 6C, the attitude of the XY stage 52 is corrected so as to correct the tilt of the mirror 72 based on the measurement result of the measuring unit 7 (laser interferometer 71). Is controlled. Therefore, as an attitude error of the XY stage 52, the XY stage 52 (top plate 52a) is tilted as shown in FIG. 6D, and defocus may occur accordingly. Further, when the mirror 72 is curved as shown in the right diagram of FIG. 7, the step movement between the sample shot areas, which is performed based on the measurement result of the measuring unit 7 (laser interferometer 71), is performed during the alignment process. There is an error in control. Therefore, an attitude error of the XY stage may occur during the step movement, and distortion in the array information obtained by the alignment process may follow the curve of the mirror 72. That is, when the exposure process is performed using such array information, as shown in the right diagram of FIG. 8, a distortion that follows the curvature of the mirror 72 may occur in the exposure result, and the overlay accuracy may decrease. .. Furthermore, since such deformation / curving of the mirror 72 occurs during the alignment process, the displacement component thereof is not detected, and the overlay accuracy may be reduced to the shape as shown in FIG.

[本実施形態のアライメント処理]
本実施形態の露光装置10(制御部9)は、タクトタイムを改善させるため、保持機構52dに粗θステージ51の保持を開始させた後、保持機構52dの保持力が第2閾値に達する前にXYステージ52を移動させる処理を行う。そして、本実施形態では、更に、当該処理時のXYステージ52の変形に起因するXYステージ52の姿勢誤差の推定を行い、当該推定に基づいて、XYステージ52の姿勢誤差を低減するようにXYステージ52の姿勢を制御する。以下に、本実施形態の処理フローについて説明する。
[Alignment processing of the present embodiment]
In order to improve the tact time, the exposure apparatus 10 (control unit 9) of the present embodiment causes the holding mechanism 52d to start holding the coarse θ stage 51 and before the holding force of the holding mechanism 52d reaches the second threshold value. The process of moving the XY stage 52 is performed. Then, in the present embodiment, the posture error of the XY stage 52 caused by the deformation of the XY stage 52 during the processing is further estimated, and the posture error of the XY stage 52 is reduced based on the estimation. The posture of the stage 52 is controlled. The processing flow of this embodiment will be described below.

図1は、本実施形態の処理フローを示す図である。図1に示すフローチャートの各工程は、制御部9によって行われうる。なお、S101〜S105は、図4に示すフローチャートのS201〜S205と同じ工程であるため、ここでの説明を省略する。   FIG. 1 is a diagram showing a processing flow of this embodiment. Each step of the flowchart shown in FIG. 1 can be performed by the control unit 9. Note that S101 to S105 are the same steps as S201 to S205 of the flowchart shown in FIG. 4, and therefore description thereof will be omitted here.

S106では、制御部9は、1つ目のサンプルショット領域のマーク計測(ファイン画像計測)をアライメント検出部2に実行させる。S107では、制御部9は、XYステージ52に対する粗θステージ51の位置ずれを検出部53に検出させる(以下、「位置ずれ検出」と呼ぶことがある)。S106〜S107の工程は、XYステージ52を移動させる前であるため、XYステージ52に対する粗θステージ51の位置ずれは生じていない。したがって、S106におけるマーク計測の結果、および、S107での位置ずれ検出の結果は、後続のマーク計測の結果および位置ずれ検出の結果の基準となりうる。   In S106, the control unit 9 causes the alignment detection unit 2 to perform mark measurement (fine image measurement) of the first sample shot area. In S107, the control unit 9 causes the detection unit 53 to detect the positional deviation of the rough θ stage 51 with respect to the XY stage 52 (hereinafter, may be referred to as “positional deviation detection”). Since the steps of S106 to S107 are before moving the XY stage 52, the position shift of the coarse θ stage 51 with respect to the XY stage 52 does not occur. Therefore, the result of the mark measurement in S106 and the result of the positional deviation detection in S107 can serve as a reference for the result of the subsequent mark measurement and the result of the positional deviation detection.

S108では、制御部9は、2つ目のサンプルショット領域をアライメント位置に配置するように、XYステージ52を移動させる(ステップ移動させる)。S109では、制御部9は、2つ目のサンプルショット領域のマーク計測(ファイン画像計測)をアライメント検出部2に実行させる。S110では、制御部9は、XYステージ52に対する粗θステージ51の位置ずれを検出部53に検出させる。S111では、制御部9は、全てのサンプルショット領域に対してマーク計測(ファイン画像計測)および位置ずれ検出を行ったか否かを判定する。マーク計測および位置ずれ検出を行っていないサンプルショット領域がある場合には、当該サンプルショット領域に対してS108〜S110を行う。一方、全てのサンプルショット領域に対してマーク計測および位置ずれ検出を行った場合にはS112に進む。   In S108, the control unit 9 moves (steps moves) the XY stage 52 so that the second sample shot area is arranged at the alignment position. In S109, the control unit 9 causes the alignment detection unit 2 to perform mark measurement (fine image measurement) on the second sample shot area. In S110, the control unit 9 causes the detection unit 53 to detect the positional deviation of the coarse θ stage 51 with respect to the XY stage 52. In S111, the control unit 9 determines whether or not the mark measurement (fine image measurement) and the positional deviation detection have been performed on all the sample shot areas. If there is a sample shot area for which mark measurement and displacement detection have not been performed, S108 to S110 are performed on the sample shot area. On the other hand, when the mark measurement and the positional deviation detection are performed on all the sample shot areas, the process proceeds to S112.

S112では、制御部9は、サンプルショット領域のマーク計測(ファイン画像計測)での計測結果に対して統計処理を行うことにより、基板Wの倍率成分および回転成分の補正値を求める(複数のショット領域の配列情報を求めてもよい)。S113では、制御部9は、サンプルショット領域の位置ずれ検出での検出結果に基づいて、各ショット領域でのXYステージ52(基板ステージ5)の姿勢誤差を推定(算出)する。そして、当該推定に基づいて、XYステージ52の姿勢誤差を低減するための補正値を求める。S114では、制御部9は、S112で求めた基板Wの倍率成分および回転成分の補正値を反映しながら、且つ、S113で求めた補正値に基づいてXYステージ52の姿勢を制御しながら、各ショット領域の露光処理を行う。   In S112, the control unit 9 obtains the correction values of the magnification component and the rotation component of the substrate W by performing statistical processing on the measurement result of the mark measurement (fine image measurement) in the sample shot area (a plurality of shots). Sequence information for the region may be sought). In S113, the control unit 9 estimates (calculates) the attitude error of the XY stage 52 (substrate stage 5) in each shot area based on the detection result of the position shift detection of the sample shot area. Then, based on the estimation, a correction value for reducing the attitude error of the XY stage 52 is obtained. In S114, the control unit 9 reflects the correction values of the magnification component and the rotation component of the substrate W obtained in S112, and controls the attitude of the XY stage 52 based on the correction values obtained in S113. Exposure processing of the shot area is performed.

ここで、XYステージ52(天板52a)の変形は、XYステージ52に対する粗θステージ51の位置ずれによる粗θガイド51bの応力状態の変化が原因である。そのため、XYステージ52(天板52a)の変形量とXYステージ52に対する粗θステージ51の位置ずれ量とには相関がある。ミラー72x、72yの径やおよび屈曲は、XYステージ52(天板52a)の変形が原因であるため、ミラー72x、72yの傾斜および屈曲は、XYステージ52(天板52a)の変形と相関がある。よって、XYステージ52に対する粗θステージ51の位置ずれ量は、ミラー72x、72yの傾斜量および屈曲量と相関がある。ゆえに、XYステージ52に対する粗θステージ51の位置ずれ量から、ミラー72x、72yの傾斜量および屈曲量、XYステージ52(天板52a)の変形量を推定(算出)することができる。また、その推定値に基づいて、走査露光時のXYステージ52の姿勢を補正することができる。   Here, the deformation of the XY stage 52 (top plate 52a) is caused by the change in the stress state of the rough θ guide 51b due to the position shift of the rough θ stage 51 with respect to the XY stage 52. Therefore, there is a correlation between the amount of deformation of the XY stage 52 (top plate 52a) and the amount of displacement of the coarse θ stage 51 with respect to the XY stage 52. Since the diameters and bends of the mirrors 72x and 72y are caused by the deformation of the XY stage 52 (top plate 52a), the tilts and bends of the mirrors 72x and 72y have a correlation with the deformation of the XY stage 52 (top plate 52a). is there. Therefore, the positional shift amount of the coarse θ stage 51 with respect to the XY stage 52 is correlated with the tilt amount and the bending amount of the mirrors 72x and 72y. Therefore, it is possible to estimate (calculate) the amount of inclination and bending of the mirrors 72x and 72y and the amount of deformation of the XY stage 52 (top plate 52a) from the amount of positional deviation of the coarse θ stage 51 with respect to the XY stage 52. In addition, the attitude of the XY stage 52 during scanning exposure can be corrected based on the estimated value.

[XYステージの姿勢誤差の推定]
次に、XYステージ52の姿勢誤差を推定する方法、即ち、XYステージ52の姿勢誤差を低減するための補正値を求める方法(図1のS113)について説明する。XYステージ52の姿勢誤差は、XYステージ52に対して粗θステージ51に任意(所定)の位置ずれを生じさせたときのXYステージ52の姿勢の誤差成分を示す情報を基準値として求め、当該基準値に係数を乗ずることにより推定される。
[Estimation of attitude error of XY stage]
Next, a method of estimating the attitude error of the XY stage 52, that is, a method of obtaining a correction value for reducing the attitude error of the XY stage 52 (S113 in FIG. 1) will be described. The attitude error of the XY stage 52 is obtained by using, as a reference value, information indicating the error component of the attitude of the XY stage 52 when an arbitrary (predetermined) position displacement is generated in the coarse θ stage 51 with respect to the XY stage 52, and It is estimated by multiplying the reference value by a coefficient.

まず、基準値を求める方法について、図10を参照しながら説明する。図10は、基準値を求める方法を示すフローチャートである。図10に示すフローチャートの各工程は、制御部9によって行われうる。また、前提として、XYステージ52(天板52a)と粗θステージ51との間に位置ずれが生じていない状態で装置が調整されており、この状態におけるミラー72x、72yの形状、および粗θステージ51の上面のZ変位(平面度)は既知であるものとする。   First, a method for obtaining the reference value will be described with reference to FIG. FIG. 10 is a flowchart showing a method for obtaining the reference value. Each process of the flowchart shown in FIG. 10 can be performed by the control unit 9. Further, as a premise, the apparatus is adjusted in a state in which there is no displacement between the XY stage 52 (top plate 52a) and the coarse θ stage 51, and the shapes of the mirrors 72x and 72y and the coarse θ in this state are adjusted. It is assumed that the Z displacement (flatness) of the upper surface of the stage 51 is known.

S301では、制御部9は、XYステージ52に対して粗θステージ51に任意の位置ずれが生じるようにXYステージ52を駆動し、その状態で保持機構52dに粗θステージを保持させる。S302では、制御部9は、XYステージ52に対する粗θステージ51の位置ずれを検出部53に検出させる。S303では、制御部9は、ミラー72x、72yの形状を計測する。ミラー72の形状の計測は、例えば、バーミラーベント計測の機能を使用して行われうる。バーミラーベント計測とは、計測対象のミラーの延伸方向に沿ってXYステージ52を移動させながら、2つの干渉計を用いて当該ミラーの位置を計測していく方法である。これにより、ミラーの曲がりやうねりを計測することができる。   In S301, the control unit 9 drives the XY stage 52 so that the coarse θ stage 51 has an arbitrary positional deviation with respect to the XY stage 52, and causes the holding mechanism 52d to hold the coarse θ stage in this state. In S302, the control unit 9 causes the detection unit 53 to detect the positional deviation of the coarse θ stage 51 with respect to the XY stage 52. In S303, the control unit 9 measures the shapes of the mirrors 72x and 72y. The measurement of the shape of the mirror 72 can be performed using, for example, the function of bar mirror bent measurement. The bar mirror bent measurement is a method of measuring the position of the mirror using two interferometers while moving the XY stage 52 along the extending direction of the mirror to be measured. This makes it possible to measure bending and swelling of the mirror.

S304では、制御部9は、定盤6上における複数の位置にXYステージ52を移動させ、各位置において粗θステージ51の上面のZ変位(平面度)を計測する。当該Z変位は、例えば、波面センサを装置内に設けておき、その波面センサを用いて計測することができる。S305では、制御部9は、S302〜S304で得られた値をそれぞれ、基準位置ずれ量、基準ミラー変形量、基準Z変位量として記憶する。これにより、制御部9は、基準位置ずれ量に対するXYステージ52の姿勢の誤差成分(基準ミラー変形量、基準Z変位量)を示す情報を得ることができる。   In S304, the control unit 9 moves the XY stage 52 to a plurality of positions on the surface plate 6, and measures the Z displacement (flatness) of the upper surface of the coarse θ stage 51 at each position. The Z displacement can be measured, for example, by providing a wavefront sensor in the device and using the wavefront sensor. In S305, the control unit 9 stores the values obtained in S302 to S304 as the reference position displacement amount, the reference mirror deformation amount, and the reference Z displacement amount, respectively. Thereby, the control unit 9 can obtain information indicating the error component (reference mirror deformation amount, reference Z displacement amount) of the attitude of the XY stage 52 with respect to the reference position displacement amount.

次に、XYステージ52の姿勢誤差を推定し、その補正値を決定する方法について、図11を参照しながら説明する。図11は、XYステージ52の姿勢誤差を低減するための補正値を決定する方法を示すフローチャートである。図11に示すフローチャートの各工程は、制御部9によって行われうる。   Next, a method of estimating the attitude error of the XY stage 52 and determining the correction value thereof will be described with reference to FIG. FIG. 11 is a flowchart showing a method of determining a correction value for reducing the attitude error of the XY stage 52. Each step of the flowchart shown in FIG. 11 can be performed by the control unit 9.

S401では、制御部9は、図1に示すフローチャートにおいて検出部53で検出されたXYステージ52に対する粗θステージ51の位置ずれ(位置ずれ方向/量)を取得する。例えば、制御部は、S107での位置ずれ検出の結果とS110での位置ずれ検出の結果との差分を、XYステージ52に対する粗θステージ51の位置ずれ方向/量として求めてもよい。S402では、制御部9は、S401で取得した位置ずれ量と、図10に示すフローチャートで得られた基準位置ずれ量(任意の位置ずれ)との比率を算出する。   In S401, the control unit 9 acquires the positional deviation (positional deviation direction / amount) of the coarse θ stage 51 with respect to the XY stage 52 detected by the detection unit 53 in the flowchart shown in FIG. For example, the control unit may obtain the difference between the result of the positional deviation detection in S107 and the result of the positional deviation detection in S110 as the positional deviation direction / amount of the coarse θ stage 51 with respect to the XY stage 52. In S402, the control unit 9 calculates the ratio between the positional deviation amount acquired in S401 and the reference positional deviation amount (arbitrary positional deviation) obtained in the flowchart shown in FIG.

S403では、制御部9は、S401で得られた位置ずれ方向/量を、XYステージ52の姿勢誤差として推定し、その補正値aを求める。例えば、XYステージ52(天板52a)と粗θステージ51との間に、検出部53として3箇所に変位計を設置する。変位計の計測方向の一例としては、X方向:2箇所、Y方向:1箇所としてもよいが、X方向とY方向とで個数を変えてもよい。粗θステージ51はXYθ方向に関して剛体であると仮定すると、3箇所の変位計の計測結果からXYステージ52における任意の点における位置ずれを論理的に計算することができる。具体的には、変位計の設置個所の座標は予め分かっているため、移動前のベクトルと移動後のベクトルとにおいて外積が同一との条件の下で当該位置ずれを計算することができる。   In S403, the control unit 9 estimates the positional deviation direction / amount obtained in S401 as the attitude error of the XY stage 52, and obtains the correction value a thereof. For example, displacement gauges are installed at three locations as the detection unit 53 between the XY stage 52 (top plate 52a) and the coarse θ stage 51. As an example of the measurement direction of the displacement meter, the X direction: 2 places and the Y direction: 1 place may be used, but the number may be changed between the X direction and the Y direction. Assuming that the coarse θ stage 51 is a rigid body in the XYθ directions, it is possible to logically calculate the positional deviation at any point on the XY stage 52 from the measurement results of the displacement gauges at three locations. Specifically, since the coordinates of the location where the displacement meter is installed are known in advance, the positional deviation can be calculated under the condition that the outer product of the vector before movement and the vector after movement are the same.

S404では、制御部9は、図10に示すフローチャートで得られた基準ミラー変形量に、S402で算出した比率を係数として乗ずることにより、ミラー屈曲によるXYステージの姿勢誤差(オーバーレイ変化)を推定し、その補正値bを求める。例えば、位置ずれがAのときのバーミラーベント計測結果を事前に取得して装置に記憶させておき、位置ずれがBのときのバーミラーの屈曲量を、「位置ずれがAのときのバーミラーベント計測結果」に「B/A」を乗ずることにより計算することができる。   In S404, the control unit 9 estimates the attitude error (overlay change) of the XY stage due to the mirror bending by multiplying the reference mirror deformation amount obtained in the flowchart shown in FIG. 10 by the ratio calculated in S402 as a coefficient. , The correction value b is obtained. For example, the bar mirror bent measurement result when the positional deviation is A is stored in the device in advance, and the bending amount of the bar mirror when the positional deviation is B is calculated as “the bar mirror bent when the positional deviation is A”. It can be calculated by multiplying "Bent measurement result" by "B / A".

S405では、制御部9は、図10に示すフローチャートで得られた基準Z変位量に、S402で算出した比率を係数として乗ずることにより、ミラー傾斜によるXYステージの姿勢誤差(Z変位)を推定し、その補正値cを求める。S406では、制御部9は、S403〜S405で求めた補正値の和(a+b+c)を求め、その補正値の和を、露光時にXYステージ52の姿勢誤差を補正するための補正値として設定する。   In step S405, the control unit 9 estimates the attitude error (Z displacement) of the XY stage due to the mirror inclination by multiplying the reference Z displacement amount obtained in the flowchart shown in FIG. 10 by the ratio calculated in step S402 as a coefficient. , The correction value c is obtained. In S406, the control unit 9 obtains the sum (a + b + c) of the correction values obtained in S403 to S405, and sets the sum of the correction values as a correction value for correcting the attitude error of the XY stage 52 during exposure.

上述したように、本実施形態の露光装置10は、保持機構52dに粗θステージ51の保持を開始させた後、保持機構52dの保持力が第2閾値に達する前にXYステージ52を移動させる処理を行う。そして、当該処理時のXYステージ52の変形に起因するXYステージ52の姿勢誤差の推定を行い、当該推定に基づいて、XYステージ52の姿勢誤差を低減するようにXYステージ52の姿勢を制御する。このような制御により、タクトタイムを改善することができるとともに、XYステージ52の変形に起因する露光性能(例えば重ね合わせ精度)の低下を補償し、アライメント処理および露光処理のシーケンスの自由度を向上させることができる。   As described above, the exposure apparatus 10 of the present embodiment moves the XY stage 52 after the holding mechanism 52d starts holding the coarse θ stage 51 and before the holding force of the holding mechanism 52d reaches the second threshold value. Perform processing. Then, the posture error of the XY stage 52 caused by the deformation of the XY stage 52 during the processing is estimated, and the posture of the XY stage 52 is controlled based on the estimation so as to reduce the posture error of the XY stage 52. .. By such control, the takt time can be improved, and the deterioration of the exposure performance (for example, overlay accuracy) due to the deformation of the XY stage 52 can be compensated, and the degree of freedom of the alignment processing and the exposure processing sequence can be improved. Can be made

ここで、本実施形態の変形例として、例えば、保持機構52dの保持力が第2閾値に達した後にXYステージを移動させる第1モードと、保持機構52dの保持力が第2閾値に達する前にXYステージを移動させる第2モードとを選択可能に構成されてもよい。第1モードとは、図4に示す処理フローを実行するモードであり、重ね合わせ精度(アライメント精度)を優先するモードである。一方、第2モードとは、図1に示す処理フローを実行するモードであり、タクトタイムの改善を優先するモードである。このようなモード選択は、露光装置10に設けられたユーザインタフェースを介して行われうる。   Here, as a modified example of the present embodiment, for example, the first mode in which the XY stage is moved after the holding force of the holding mechanism 52d reaches the second threshold and before the holding force of the holding mechanism 52d reaches the second threshold. The second mode of moving the XY stage may be selectable. The first mode is a mode in which the processing flow shown in FIG. 4 is executed, and is a mode in which overlay accuracy (alignment accuracy) is prioritized. On the other hand, the second mode is a mode in which the processing flow shown in FIG. 1 is executed, and is a mode in which the improvement of the tact time is prioritized. Such mode selection can be performed via a user interface provided in the exposure apparatus 10.

<物品の製造方法の実施形態>
本発明の実施形態にかかる物品の製造方法は、例えば、半導体デバイス等のマイクロデバイスや微細構造を有する素子等の物品を製造するのに好適である。本実施形態の物品の製造方法は、基板に塗布された感光剤に上記の露光装置を用いて潜像パターンを形成する工程(基板を露光する工程)と、かかる工程で潜像パターンが形成された基板を現像(加工)する工程とを含む。更に、かかる製造方法は、他の周知の工程(酸化、成膜、蒸着、ドーピング、平坦化、エッチング、レジスト剥離、ダイシング、ボンディング、パッケージング等)を含む。本実施形態の物品の製造方法は、従来の方法に比べて、物品の性能・品質・生産性・生産コストの少なくとも1つにおいて有利である。
<Embodiment of Manufacturing Method of Article>
The method for producing an article according to the embodiment of the present invention is suitable for producing an article such as a microdevice such as a semiconductor device or an element having a fine structure, for example. The method of manufacturing an article according to the present embodiment includes a step of forming a latent image pattern on a photosensitive agent applied to a substrate using the above-mentioned exposure device (step of exposing the substrate), and the latent image pattern is formed in this step. And developing (processing) the substrate. Further, the manufacturing method includes other well-known steps (oxidation, film formation, vapor deposition, doping, planarization, etching, resist stripping, dicing, bonding, packaging, etc.). The article manufacturing method of the present embodiment is advantageous in at least one of the performance, quality, productivity, and production cost of the article as compared with the conventional method.

以上、本発明の好ましい実施形態について説明したが、本発明はこれらの実施形態に限定されないことはいうまでもなく、その要旨の範囲内で種々の変形および変更が可能である。   Although the preferred embodiments of the present invention have been described above, it goes without saying that the present invention is not limited to these embodiments, and various modifications and changes can be made within the scope of the gist thereof.

1:照明光学系、2:アライメント検出部、3:原版ステージ、4:当該光学系、5:基板ステージ、51:粗θステージ、52:XYステージ、52a:天板、52d:保持機構、53:検出部 1: Illumination optical system, 2: Alignment detection unit, 3: Original stage, 4: Optical system, 5: Substrate stage, 51: Coarse θ stage, 52: XY stage, 52a: Top plate, 52d: Holding mechanism, 53 :Detection unit

Claims (9)

基板を移動させるステージ装置であって、
前記基板を保持する第1ステージと、
前記第1ステージが回転可能に取り付けられるとともに、回転角が調整された前記第1ステージを保持する保持機構を有する移動可能な第2ステージと、
前記第2ステージに対する前記第1ステージの位置ずれを検出する検出部と、
前記保持機構に前記第1ステージの保持を開始させた後、前記保持機構の保持力が閾値に達する前に前記第2ステージを移動させる処理を制御する制御部と、
を含み、
前記制御部は、前記検出部での検出結果に基づいて、前記処理時の前記第2ステージの変形に起因する前記第2ステージの姿勢誤差の推定を行い、当該推定に基づいて、前記姿勢誤差を低減するように前記第2ステージの姿勢を制御する、ことを特徴とするステージ装置。
A stage device for moving a substrate,
A first stage for holding the substrate,
A movable second stage having the first stage rotatably attached and having a holding mechanism for holding the first stage whose rotation angle is adjusted,
A detection unit that detects a displacement of the first stage with respect to the second stage;
A controller that controls the process of moving the second stage after the holding mechanism starts holding the first stage and before the holding force of the holding mechanism reaches a threshold value;
Including,
The control unit estimates the attitude error of the second stage due to the deformation of the second stage during the processing based on the detection result of the detection unit, and based on the estimation, the attitude error. A stage device for controlling the posture of the second stage so as to reduce
前記制御部は、前記第2ステージに対して前記第1ステージに任意の位置ずれを生じさせたときの前記第2ステージの姿勢の誤差成分を示す情報に基づいて、前記姿勢誤差を推定する、ことを特徴とする請求項1に記載のステージ装置。   The control unit estimates the attitude error based on information indicating an error component of the attitude of the second stage when an arbitrary positional deviation is generated in the first stage with respect to the second stage, The stage device according to claim 1, wherein: 前記制御部は、前記情報に基づいて、前記検出部で検出された前記位置ずれと前記任意の位置ずれとの比率を前記誤差成分に乗ずることにより前記姿勢誤差を推定する、ことを特徴とする請求項2に記載のステージ装置。   The control unit estimates the posture error based on the information by multiplying the error component by a ratio of the positional deviation detected by the detecting unit and the arbitrary positional deviation. The stage device according to claim 2. 前記閾値は、前記第2ステージを移動させても、前記第2ステージに対する前記第1ステージの位置ずれを許容範囲に収めることができる保持力の値に設定される、ことを特徴とする請求項1乃至3のいずれか1項に記載のステージ装置。   The threshold value is set to a value of a holding force that allows the positional deviation of the first stage with respect to the second stage to fall within an allowable range even when the second stage is moved. The stage device according to any one of 1 to 3. 前記ステージ装置は、前記保持機構の保持力が前記閾値に達した後に前記第2ステージを移動させる第1モードと、前記保持機構の保持力が前記閾値に達する前に前記第2ステージを移動させる第2モードとを含む、ことを特徴とする請求項1乃至4のいずれか1項に記載のステージ装置。   The stage device moves the second stage after the holding force of the holding mechanism reaches the threshold value, and moves the second stage before the holding force of the holding mechanism reaches the threshold value. The second stage mode is included, The stage apparatus of any one of Claim 1 thru | or 4 characterized by the above-mentioned. 前記第2ステージにおける複数個所の位置を計測する計測部を更に含み、
前記制御部は、前記計測部での計測結果に基づいて前記第2ステージの姿勢を制御する、ことを特徴とする請求項1乃至5のいずれか1項に記載のステージ装置。
Further comprising a measuring unit for measuring a plurality of positions on the second stage,
The stage apparatus according to claim 1, wherein the control unit controls the posture of the second stage based on a measurement result of the measurement unit.
前記検出部は、前記第1ステージと前記第2ステージとの間に配置されている、ことを特徴とする請求項1乃至6のいずれか1項に記載のステージ装置。   The stage device according to claim 1, wherein the detection unit is arranged between the first stage and the second stage. 基板上にパターンを形成するリソグラフィ装置であって、
請求項1乃至7のいずれか1項に記載のステージ装置を含む、ことを特徴とするリソグラフィ装置。
A lithographic apparatus for forming a pattern on a substrate, comprising:
A lithographic apparatus comprising the stage apparatus according to claim 1.
請求項8に記載のリソグラフィ装置を用いて基板上にパターンを形成する形成工程と、
前記形成工程でパターンを形成された前記基板を加工する加工工程と、を含み、
前記加工工程で加工された前記基板から物品を製造することを特徴とする物品の製造方法。
A forming step of forming a pattern on a substrate using the lithographic apparatus according to claim 8;
A processing step of processing the substrate having a pattern formed in the forming step,
An article manufacturing method comprising: manufacturing an article from the substrate processed in the processing step.
JP2018204343A 2018-10-30 2018-10-30 Stage apparatus, lithographic apparatus, and method of manufacturing article Active JP7222660B2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2018204343A JP7222660B2 (en) 2018-10-30 2018-10-30 Stage apparatus, lithographic apparatus, and method of manufacturing article
KR1020190128199A KR20200049538A (en) 2018-10-30 2019-10-16 Stage apparatus, lithography apparatus, and article manufacturing method
CN201911020122.6A CN111123658A (en) 2018-10-30 2019-10-25 Stage apparatus, lithographic apparatus, and article manufacturing method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2018204343A JP7222660B2 (en) 2018-10-30 2018-10-30 Stage apparatus, lithographic apparatus, and method of manufacturing article

Publications (2)

Publication Number Publication Date
JP2020071322A true JP2020071322A (en) 2020-05-07
JP7222660B2 JP7222660B2 (en) 2023-02-15

Family

ID=70495375

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018204343A Active JP7222660B2 (en) 2018-10-30 2018-10-30 Stage apparatus, lithographic apparatus, and method of manufacturing article

Country Status (3)

Country Link
JP (1) JP7222660B2 (en)
KR (1) KR20200049538A (en)
CN (1) CN111123658A (en)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001267226A (en) * 2000-03-21 2001-09-28 Nikon Corp Drive device, exposure system, device, and method of manufacturing the same
JP2003203842A (en) * 2002-01-07 2003-07-18 Canon Inc Manufacturing method for positioning stage, aligner, and semiconductor device
JP2014220504A (en) * 2008-12-19 2014-11-20 株式会社ニコン Exposure apparatus, exposure method, and device manufacturing method

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62200726A (en) 1986-02-28 1987-09-04 Canon Inc Exposure device
JP2002328007A (en) * 2001-05-01 2002-11-15 Nikon Corp Method for measuring position of stage, method and apparatus for exposure, and method of manufacturing device
JP2004311659A (en) * 2003-04-04 2004-11-04 Nikon Corp Charged particle beam apparatus and method for regulating the same
US7102736B2 (en) * 2004-06-29 2006-09-05 Asml Netherlands B.V. Method of calibration, calibration substrate, and method of device manufacture
JP6093006B2 (en) * 2012-04-27 2017-03-08 エーエスエムエル ネザーランズ ビー.ブイ. Lithographic apparatus
JP6916616B2 (en) * 2016-12-13 2021-08-11 キヤノン株式会社 Lithography equipment, article manufacturing methods, and measuring equipment

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001267226A (en) * 2000-03-21 2001-09-28 Nikon Corp Drive device, exposure system, device, and method of manufacturing the same
JP2003203842A (en) * 2002-01-07 2003-07-18 Canon Inc Manufacturing method for positioning stage, aligner, and semiconductor device
JP2014220504A (en) * 2008-12-19 2014-11-20 株式会社ニコン Exposure apparatus, exposure method, and device manufacturing method

Also Published As

Publication number Publication date
KR20200049538A (en) 2020-05-08
CN111123658A (en) 2020-05-08
JP7222660B2 (en) 2023-02-15

Similar Documents

Publication Publication Date Title
JP3890233B2 (en) Positioning stage apparatus, exposure apparatus, and semiconductor device manufacturing method
EP0843221B1 (en) Projection exposure apparatus
KR101444981B1 (en) Exposure apparatus, exposure method, and method of manufacturing device
TWI401767B (en) Positioning apparatus, exposure apparatus and device manufacturing method
US6699630B2 (en) Method and apparatus for exposure, and device manufacturing method
JP2000049066A (en) Aligner and manufacture of devices
WO2005088686A1 (en) Step measuring method and apparatus, and exposure method and apparatus
JP5428671B2 (en) Exposure method, device manufacturing method, and exposure system
JP2008021748A (en) Exposure apparatus
JP7173891B2 (en) Measuring device, exposure device, and article manufacturing method
JP2010087310A (en) Exposure apparatus, and method of manufacturing device
JP7222660B2 (en) Stage apparatus, lithographic apparatus, and method of manufacturing article
JP2004241666A (en) Measuring method and exposure method
JP4840958B2 (en) Scanning exposure apparatus and device manufacturing method
JP4254356B2 (en) Stage apparatus, exposure apparatus, and device manufacturing method
JPH1083954A (en) Exposure device
WO2002047132A1 (en) X-ray projection exposure device, x-ray projection exposure method, and semiconductor device
JP2006228890A (en) Alignment method and exposure device
US20240053686A1 (en) Exposure apparatus, exposure method and article manufacturing method
JP2019138957A (en) Control method of exposure equipment, exposure equipment and article production method
JP7216568B2 (en) Conveying device, exposure device, and article manufacturing method
WO2000001001A1 (en) Scanning exposure method, scanning exposure apparatus and method for producing the same, and device and method for manufacturing the same
KR20080094573A (en) Exposure apparatus, method of controlling the same, and manufacturing method
JP3584314B2 (en) Projection exposure equipment
JP2007129102A (en) Method for calculating correction information and exposure method

Legal Events

Date Code Title Description
RD01 Notification of change of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7421

Effective date: 20210103

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210113

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20211012

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220630

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220708

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220831

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230105

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230203

R151 Written notification of patent or utility model registration

Ref document number: 7222660

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R151