JP2018117116A - 処理のエンドポイントを制御するためのスペクトルの時系列からの特徴強要方法 - Google Patents

処理のエンドポイントを制御するためのスペクトルの時系列からの特徴強要方法 Download PDF

Info

Publication number
JP2018117116A
JP2018117116A JP2017240403A JP2017240403A JP2018117116A JP 2018117116 A JP2018117116 A JP 2018117116A JP 2017240403 A JP2017240403 A JP 2017240403A JP 2017240403 A JP2017240403 A JP 2017240403A JP 2018117116 A JP2018117116 A JP 2018117116A
Authority
JP
Japan
Prior art keywords
carpet
virtual
processing
metric
carpets
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2017240403A
Other languages
English (en)
Inventor
イー・フェン
Ye Feng
プラシャンス・クマル
kumar Prashanth
サード アンドリュー・ディー.・ベイリー・ザ
D Bailey Andrew Iii
サード アンドリュー・ディー.・ベイリー・ザ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2018117116A publication Critical patent/JP2018117116A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30003Arrangements for executing specific machine instructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32926Software, data control or modelling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32963End-point detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32972Spectral analysis
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/22Connection or disconnection of sub-entities or redundant parts of a device in response to a measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/24Optical enhancement of defects or not directly visible states, e.g. selective electrolytic deposition, bubbles in liquids, light emission, colour change

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Software Systems (AREA)
  • Theoretical Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

【課題】エッチングエンドポイント動作を制御するためのスペクトルの時系列からの特微強要方法を提供する。【解決手段】方法は、トレーニング動作中に収集されたエッチング処理のためのスペクトルの時系列から形成された仮想カーペットにアクセスする。そして、加工ウエハに加工エッチング処理を施し、加工エッチング処理が実行される間に、スペクトルの時系列から規定されたカーペットの複数部分を加工エッチング処理に対して生成する。次いで、加工エッチング処理のカーペットの複数部分を仮想カーペットと比較することを備える。比較が、加工ウエハにとって所望のメトリックに到達したことを示唆する時に、加工エッチング処理のエンドポインティングが処理される。カーペットの複数部分は、捕捉されたスペクトルの現在のフレームと、捕捉されたスペクトルの少なくとも1つの以前のフレームとを含む。【選択図】図4

Description

本実施形態は、エッチングエンドポイント動作を制御するためにエッチング処理動作の処理中に抽出されたスペクトル情報の時系列を調べる方法およびコンピュータ実装処理に関する。方法およびシステムは、トレーニング処理を利用して、三次元強度表面プロファイル(本明細書ではカーペットと呼ぶ)を生成する。次いで、トレーニング中に生成されたカーペットは、仮想カーペットに変換され、仮想カーペットは、現時点での有効エッチング深さを予測または特定するためにウエハのリアルタイム処理中に用いられ、次いで、有効エッチング深さは、エッチングエンドポイントに達したか否かを判定するために用いられる。
基板(例えば、ウエハまたはフラットパネル)を処理して電子製品(例えば、集積回路またはフラットパネルディスプレイ)を形成するために、長い間、プラズマが利用されてきた。半導体ウエハは、通例、エッチングチャンバ内に配置され、下層にある材料のエッチングを方向付けるためのマスク層を備える。エッチング処理は、マスクによって被覆されていない下層の材料を除去する。エッチング処理はよく研究されており、しばしば、正確なレシピが、特定の構造、材料、および/または、材料スタックのために規定されているが、エッチング性能のばらつきが未だに生じる。この理由は、リアルタイムの製造環境でのエッチング処理が、異なるエッチングチャンバ内で実行されることである。これらのチャンバは、しばしば調整および適合されるが、それでも、物理的または電気的に同一ではない。さらに、処理されるウエハは、ウエハごとまたはロットごとに異なりうる。さらに、ウエハが各チャンバ内に配置される方法(例えば、ウエハオフセットのばらつき、ウエハの傾き、ウエハの厚さなどによって、ばらつきが導入されうる。
結果として、ウエハエッチング処理は、しばしば、少なくとも1つのタイプのエンドポインティング技術を利用する。かかる技術は、製造業者ごとに異なりうるが、最も一般的に用いられるエンドポインティングは、時間ベースのエンドポインティングまたは光学エンドポインティングを含みうる。時間ベースのエンドポインティングは、例えば、所定の量の材料を除去するために、特定のエッチング処理が終了すべき時間の予め較正された推定値を利用することに依存する。光学エンドポインティングシステムは、スペクトル放射の変化がエッチング材料の変化を示す時を特定するために、プラズマのスペクトル放射またはウエハからの反射を監視するよう設計される。例えば、エッチングが特定の量の材料をすべて除去した場合、または、別の材料が除去され始めた時、その時点のスペクトル放射が変化する。残念ながら、現在の技術は、未だ精度が低く、フィーチャサイズが小さくなると共に、さらに精度が足りなくなる。現在の光学エンドポインティングに関して、光学条件の変化の利用は、1つの特定の時点のスペクトル条件に依存している。
実施形態は、このような課題に対処するものである。
エッチングエンドポイント動作を制御するためにエッチング処理動作の処理中に抽出されたスペクトル情報の時系列を調べるための方法およびシステム。方法およびシステムは、トレーニング処理を利用して、三次元強度表面プロファイル(本明細書ではカーペットと呼ぶ)を生成する。カーペットとは、現在のフレームだけでなく、1または複数の以前のフレームの時間情報がサンプリングされるような、強度スペクトル情報の複数のサンプルフレームによって構築されたモデルのことである。結果として、カーペットは、一連の時間(t)サンプルのモデルを規定し、各時間サンプルは、それに関連する強度スペクトル情報(I(λ)、例えば、波長)を有する。したがって、カーペットは、1つの特定の時点のスペクトル情報を提供するだけでなく、さらに、所与のウエハの処理を通してそのウエハのスペクトル情報の変化の履歴を提供する。
トレーニング段階中に、複数のウエハが処理(例えば、エッチング)され、各ウエハについて、カーペットが生成される。各カーペットについて、サンプリングされた最後のフレームは、典型的には測定システムからの実際の測定済みのエッチング深さと関連付けられうる。各カーペットは、係数値を有する多項式によって記述的に数学的に定義される。次いで、トレーニングカーペットのための多項式フィッティングが、浮動係数を有する仮想カーペットを規定するために実行され、仮想カーペットは、トレーニング中に生成されたカーペットすべてを記述する。仮想カーペットの多項式係数を用いて、各ウエハの最後のフレームの仮想フレーム番号が、仮想カーペットに関して得られている。測定されたエッチング深さに対するこれらのフレーム番号の相関が、さらに、良好な精度を得るために最適化されている。
トレーニングの終了時に、最適化された多項式係数が、ランタイム実行のためのレシピパラメータとしてダウンロードされる。ウエハのランタイム処理中に、仮想カーペットの多項式係数は、エンドポイントを確認するためにエッチングシステムのコントローラによって利用されうる。コントローラは、一実施形態において、リアルタム処理からカーペットを生成している。カーペットのフレームが生成されている時、連続的なフレームのグループ(例えば、カーペットパッチ)が、現在の仮想フレーム番号を特定するために、仮想カーペットにフィッティングされうる。仮想フレーム番号は、予測メトリックに予め相関されている。一実施形態では、浮動パラメータが、仮想フレーム番号に加えて、メトリックの予測値に対応付けるために用いられる。メトリックの予測値は、所望のメトリックと実質的に一致した時に、エッチングエンドポイントに達したことを示唆する。
この処理を用いて、予測エッチングポイントに達した時にエッチング処理を終了できるように、エンドポインティングを実行することができる。トレーニングカーペットを生成し、仮想カーペットを生成し、仮想カーペットをリアルタイムで利用するための処理に関するさらなる詳細について、図面を参照しつつ、後に詳述する。
一実施形態において、スペクトルの時系列を用いてエッチング処理のエンドポイントを特定するための方法が開示されている。方法は、トレーニング動作中に収集されたエッチング処理のためのスペクトルの時系列から形成された仮想カーペットにアクセスすることを備える。そして、加工ウエハに加工エッチング処理を施し、加工エッチング処理が実行される間に、スペクトルの時系列から規定されたカーペットの複数部分が、加工エッチング処理に対して生成される。次いで、加工エッチング処理のカーペットの複数部分を仮想カーペットと比較し、比較が、加工ウエハにとって所望のエッチング深さに到達したことを示唆する時に、加工エッチング処理のエンドポインティングが処理される。一例では、カーペットの複数部分は、捕捉されたスペクトルの現在のフレームと、捕捉されたスペクトルの少なくとも1つの以前のフレームとを含む。加工エッチング処理のカーペットの複数部分は、エッチング処理についての予測エッチング深さに相関された仮想フレーム番号を特定するために、仮想カーペットにフィッティングされる。
いくつかの実施形態において、トレーニング動作は、エッチング処理を複数のウエハに実行し、各ウエハについて、個々にサンプリングされたフレーム時間にスペクトルの時系列を捕捉することと、を含む。個々にサンプリングされたフレーム時間に捕捉されたスペクトルの時系列は、それぞれのカーペットを規定し、各それぞれのカーペットは、異なる値で仮想カーペットを記載するそれぞれの係数を有する多項式によって特徴付けられる。
いくつかの実施形態において、トレーニング中に生成された各カーペットのそれぞれの多項式の係数は、仮想カーペットを生成するために、次元削減アルゴリズムによって処理される。いくつかの実施形態において、いくつかの次元削減処理方法がある(例えば、段階的方法、主成分分析など)。仮想カーペットは、トレーニングカーペットのすべての係数の上位集合としてそれぞれの係数を有する標準化された多項式によって規定される。
いくつかの実施形態において、加工エッチング処理のカーペットの複数部分を仮想カーペットと比較することは、仮想カーペットから仮想フレーム番号を特定するために、カーペットの複数部分を仮想カーペットにフィッティングすることを含む。仮想フレーム番号は、予測エッチング深さに対応付けられ、所望のエッチング深さに適合した時の予測エッチング深さは、エッチングエンドポイントを示唆する。
いくつかの実施形態において、トレーニング動作は、基板のエッチング中に生成されたサンプルスペクトルデータから複数のカーペットを生成することを含み、各カーペットは、エッチングされている基板から生成される。次いで、エッチングされる基板の各々に対するエッチング深さに関するデータを測定または受信することを含む。処理は、さらに、複数のカーペットの各々から仮想カーペットを生成することを備える。仮想カーペットは、複数の多項式を複数のカーペットの各々のそれぞれの係数とフィッティングすることによって生成された係数を有する多項式によって規定される。一実施形態において、仮想カーペットの多項式係数の一部は浮動してよく、他の係数は、複数のカーペットの各々のそれぞれの係数の多項式すべてが、仮想カーペットの多項式の部分集合になるように、固定されるかまたは浮動パラメータに結合される。一実施形態において、浮動パラメータは、仮想フレーム番号に加えて、エッチング深さの予測値に対応付けるために用いられ、所望のエッチング深さに実質的に適合した時のエッチング深さの予測値は、エッチングエンドポイントを示唆する。
いくつかの実施形態において、スペクトルの時系列は、広帯域その場反射率測定に関連する強度スペクトルデータ、発光分光分析(OES)に関連する強度スペクトルデータ、または、広帯域その場偏光解析に関連する偏光解析スペクトルデータであり、スペクトルデータは、フィーチャがウエハ上にエッチングされている時に、エッチングに用いられているチャンバから収集される。
別の実施形態において、チャンバ内でエッチング処理中に生成されたスペクトルの時系列からトレーニングデータを生成するための方法が提供されている。方法は、1または複数のチャンバ内で複数の基板をエッチングし、エッチングが処理されている間に、スペクトルのフレームの複数のサンプルを捕捉することを備える。捕捉されたスペクトルの各フレームは、波長の関数としてスペクトルの強度を特定する。次いで、測定されたメトリックを対応する基板のスペクトルの最後のフレームに関連付けることによって、エッチングの完了後に、メトリックが、各基板に関連付けられる。方法は、さらに、エッチングされる基板の各々に複数のカーペットを生成することを備える。カーペットの各々は、スペクトルの複数のフレームによって規定され、対応する係数を有する多項式が、カーペットの各々の特性を規定する。方法は、多項式フィッティングアルゴリズムを用いて複数のカーペットを処理することによって、仮想カーペットを生成し、仮想カーペットは、複数のカーペットのいずれもが、仮想フレーム番号を決定するために、仮想カーペット上に射影されうるように、複数のカーペットの上位集合になっている。例えば、仮想カーペットの次元の柔軟性により、射影された仮想フレーム番号と、測定からのメトリックの予測値との間の相関は、仮想カーペットのハイパーパラメータの浮動化、固定、および/または、結合の1つによって最適化されうる。方法は、仮想カーペットの仮想フレーム番号をメトリックの予測値に相関させることを備える。一実施形態において、方法は、さらに、決定係数または自由度調整済み決定係数を追跡することを備える。
一実施形態において、仮想カーペットは、仮想カーペットから得られたメトリックの現在の予測値が、リアルタイム処理中のエッチング処理のエンドポイントを示す所定の値に対応する時点を決定するために、基板のリアルタイム処理中にコントローラによってアクセスされる。
一実施形態において、エッチングに関連するメトリックは、エッチング深さ、クリティカルディメンションの値、ウエハ反りの値、または、それらの2以上の組み合わせ、の内の1つである。
いくつかの実施形態において、コントローラは、基板のリアルタイム処理についてトレーニング中に生成された仮想カーペットにアクセスするために、データベースまたはレシピファイルに接続するよう構成されている。
いくつかの実施形態において、リアルタイム処理中に、コントローラは、リアルタイム処理のためのカーペットを生成しているように構成され、カーペットが生成されている時に、カーペットの少なくとも一部またはパッチが、仮想カーペットとの比較に用いられる。
いくつかの実施形態において、比較は、仮想カーペットのハイパーパラメータに対するカーペットの一部またはパッチの多項式フィッティングを実行することで、トレーニング中に決定されたレシピパラメータを用いてエッチング深さを決定できるように、仮想フレーム番号を決定するために仮想カーペットおよびカーペットとの対応付けが生成されることを可能にすることを含む。したがって、仮想フレーム番号は、リアルタイム処理中に効率的に決定される。
いくつかの実施形態において、カーペットの一部は、捕捉されたスペクトルの現在のフレームと、捕捉されたスペクトルの少なくとも1つの以前のフレームとを含み、仮想カーペットとの比較中に、捕捉されたスペクトルの少なくとも1つの以前のフレームを用いることで、基板のリアルタイム処理の現在の状態の正確な特定が可能になる。
いくつかの実施形態において、カーペットの一部は、エッチング処理についての予測エッチング深さに相関された仮想フレーム番号を特定するために、仮想カーペットにフィッティングされる。
添付の図面を参照して行う以下の詳細な説明から、別の態様が明らかになる。
実施形態は、添付の図面に関連して行う以下の説明を参照することによって最も良好に理解できる。
一例に従って、ウエハを処理するために用いられるチャンバを備えたシステムを示す図。
強度を波長の関数として報告する時間を各フレームが表すフレームの時系列の捕捉によって生成された表面の三次元表現であるカーペットの一例を示す図。
一実施形態に従って、所望の深さまでエッチングされているフィーチャの断面例を示す図。
一実施形態に従って、トレーニングウエハの各々に対してカーペットを生成することを含むトレーニング生成器の利用に関して詳細を示す図。
一実施形態に従って、トレーニング中にウエハ(W0)がエッチングされる時に対応する多項式を生み出すカーペットの一例を示す図。
トレーニング動作中に生成された多項式すべてから導出された対応する多項式を有する仮想カーペットの一例を示す図。
トレーニングカーペット(例えば、トレーニング中に生成されたカーペット)の多項式係数の例(この例では、仮想カーペットを規定するために平均が用いられている)を示す図。
一実施形態に従って、仮想カーペットの仮想フレーム番号と、様々なカーペットを生成するトレーニング中に実行されたエッチング動作について測定された深さとの間のマッピングチャートの一例を示す図。
一実施形態に従って、後に仮想カーペットにフィッティングされる複数のカーペットを生成するために、複数のウエハからトレーニングデータを生成する処理の一例を示す図。
一実施形態に従って、後に仮想カーペットにフィッティングされる複数のカーペットを生成するために、複数のウエハからトレーニングデータを生成する別の処理例(仮想カーペット番号とカーペットのその他の浮動多項式パラメータとを含むカーペット多項式パラメータに関するエッチング深さのローディングが、回帰によって最大三次まで決定されうる)を示す図。
一実施形態に従って、仮想カーペットの生成に用いられるシステム、ならびに、エッチングエンドポイントを決定するために仮想カーペットデータにアクセスするチャンバおよびコントローラの一例を示す図。
一実施形態に従って、ウエハのリアルタイム処理が動作で実行される処理例を示す図。
一実施形態に従って、次元削減の繰り返しの性質を説明するフローチャート。
実施形態を実施するためのコンピュータシステムを示す概略図。
エッチングエンドポイント動作を制御するためにエッチング処理動作の処理中に抽出されたスペクトル情報の時系列を調べるための方法およびシステム。方法およびシステムは、トレーニング処理を利用して、三次元表面プロファイル(本明細書ではカーペットと呼ぶ)を生成する。カーペットとは、現在のフレームだけでなく、1または複数の以前のフレームの時間情報がサンプリングされるような、スペクトル情報の複数のサンプルフレームによって構築されたモデルのことである。結果として、カーペットは、一連の時間(t)サンプルのモデルを規定し、各時間サンプルは、それに関連するスペクトル情報(λ、例えば、波長)を有する。したがって、カーペットは、1つの特定の時点のスペクトル情報を提供するだけでなく、スペクトル情報の1または複数の以前のサンプルにわたるスペクトル情報の変化の履歴も提供する。一実施形態において、仮想カーペットを生成するためにカーペットがトレーニング中に生成されるアルゴリズムが規定される。カーペットおよび仮想カーペットは、一実施形態において、時間およびスペクトルの両方の次元での多項式回帰による広帯域その場反射率測定スペクトル応答の抽出である。カーペットは、基本的に、複数の時間スライス/フレームのモデルであり、そのモデルでは、波長の関数として強度が、各フレームについて捕捉される。したがって、各時間サンプルについて、フレームが捕捉され、それにより、カーペットが生成される時に、捕捉された1または複数の以前のフレームを利用することも可能になる。本明細書に記載のアルゴリズム/方法を用いることにより、リアルタイム処理中のカーペット処理の利用において、エッチングによるスペクトルの時間発展からウエハレベルの変動によるスペクトル変化を切り離すというさらなる利点がある。
一実施形態において、スペクトルの時系列を用いてウエハの臨界条件を抽出するために、機械学習が実施されてよい。一実施形態において、トレーニング段階が用いられ、ここで、複数のウエハが、目標処理レシピを用いてエッチングされる。トレーニング段階は、目標処理レシピを実施する異なる処理チャンバを用いて実施されてよい。以前のウエハ処理工程のばらつき、チャンバのばらつき、ウエハ特性のばらつき、ウエハロットのばらつき、起こりうるウエハの傾斜または回転のばらつき、ならびに、その他のウエハレベルのばらつきなどにより、ウエハレベルのばらつきが、様々な形で導入されうる。結果として、同じ目標レシピが同じ機械で用いられた場合でも、エッチング処理が様々になる。しかしながら、一実施形態によれば、トレーニング中の各ウエハの処理中に、複数のウエハについてエッチング処理の期間にわたってスペクトルデータがサンプリングされる。したがって、サンプリングは、λの関数としての強度として規定されたスペクトル情報の複数のサンプルフレームを生成する。
したがって、フレームの時系列は、強度を表す三次元(3D)表面(本明細書ではカーペットと呼ぶ)のデータを規定する。したがって、カーペットは、一実施形態において、単一の強度スペクトルグラフだけではなく、スペクトル強度の変化の履歴情報を提供する。トレーニングに用いられる各ウエハについて、エッチングの深さの測定が行われる。測定は、任意の数の測定ツールで実行されてよい。方法の一例は、光学CD(OCD)測定を利用する方法である。OCD測定は、エッチング深さ、フィーチャ特性、エッチング前CD、フィーチャまたはエッチングのプロファイルなど、様々なメトリック(指標)を決定するために利用されうる。一実施形態では、次に、測定されたエッチングの深さは、エッチングの深さが測定された状態でのスペクトル強度を含むカーペットの最後のフレームと相関される。しかし、カーペットは、以前のフレームに関する情報も保持するので、最後のフレームにつながるのがどのスペクトル条件であるのかを理解することが有用である。一実施形態において、生成される各カーペットは、実験スペクトルを固有の係数(C0、・・・、Cmn)を有する多項式または次数m*nとフィッティングすることによって数学的に取得され、ここで、mは時間次元における次数、nは波長次元における次数である。数学的には、フィットアルゴリズムは、多項式推定値および実験スペクトルの差として定義される性能指数を最小化するための回帰法である。
一実施形態において、多項式係数の次元を減らすための動作が導入される。この次元削減は、段階的回帰、マルチカーペット結合回帰、または、主成分分析のいずれかによって実施できる。次元削減の目的は、エッチング時間の影響を表すこれらの高次元における浮動パラメータおよび仮想フレーム番号に関して、最少の次元を用いて、カーペット間のばらつきを説明し、エッチング深さの測定値とうまく相関させることである。
一実施形態では、マルチカーペット結合回帰を実行することによって、回帰が処理された。アルゴリズムは、トレーニング中に生成されたカーペットの各々の多項式を入力として、次いで、すべてのカーペットを含めた総合平均二乗誤差(MSE)を用いて、それらの多項式を、仮想カーペットを規定するパラメータ(C0、...Cp)の削減された次元を有する多項式にフィティングするよう構成される。例えば、MSEは、通例、以下のように定義される:
一実施形態では、いくつかの多項式係数が、残りを浮動状態にしたままでカーペット間の恒常性を表すために、線形関係によって規定された複数のカーペットにわたって結合される。どのパラメータが結合し、どのパラメータが浮動するのかに関する選択は、カーペットと実験スペクトルとの間の平均二乗誤差への影響によって決定される。
別の実施形態において、次元削減は、段階的パラメータ削減によって処理される。パラメータ空間が最も少ないパラメータと良好な相関を示すように調整された時に、削減されたパラメータ空間のエッチング深さ測定値に対する相関が、決定係数および自由度調整済み決定係数に関して評価される。必ずしもすべてのパラメータが、エッチング深さ測定値に対して相関する必要はない。
さらに別の実施例では、主成分のスコア、仮想フレーム番号、および、測定されたエッチング深さの相関を見つけるために、主成分分析を用いた。主成分の数は、より良い相関に達するために増やすことができる。上記の削減された高次元および仮想フレーム番号で、測定されたエッチング深さを説明するのに十分な相関に到達すると、トレーニングが完了する。
トレーニングカーペットの多項式の差が小さく、仮想カーペットフレーム番号自体が所望の精度でエッチング深さの測定値を説明するのに十分である場合、仮想カーペットの多項式は、多項式フィット係数の平均によって取得されてよい。
別の実施形態において、基準ウエハのスペクトル応答は、他のウエハを比較するために用いられてよい。さらに、多項式係数および仮想フレーム番号をエッチング深さに関連付けるいくつかの他の方法がある。かかる方法の1つは、部分最小二乗法であり、別の実施形態においては、ニューラルネットワーク処理が、パラメータと測定されたエッチング深さとの関係性を確立するために用いられる。
トレーニング処理が完了すると、エッチングエンドポイントを決定するために、製品ウエハのリアルタイム処理中に、仮想カーペットを利用できる。一実施形態において、仮想カーペット情報は、スペクトル履歴の関数として有効エッチング深さを測定するために、リアルタイムスペクトルと共に用いられる。仮想カーペットの利用に関するさらなる情報について、図面を参照して説明する。
いくつかの実施形態において、エッチング深さを測定する代わりに、仮想カーペットは、クリティカルディメンション(CD)測定値、ライン幅、ピッチ、間隔、反り検出メトリック、および、その他の測定可能なメトリックに結びつけられてもよい。すなわち、トレーニング中に処理された各ウエハについて、結果として得られたカーペットは、測定されたメトリックと相関しうるが、そのメトリックは、エッチング深さである必要はない。例えば、ウエハ反りが、ラムリサーチ社の特許第9,123,582号に記載されており、参照によって本明細書に組み込まれる。
一実施形態において、リアルタイム処理中(すなわち、ランタイム)、仮想カーペットは、広帯域その場反射率測定スペクトル対時間および意図された目標エッチング深さを予測するために利用されうる。したがって、この処理は、ウエハレベルでのエッチング速度およびエッチングを停止すべき時刻の正確な予測を可能にする。広帯域その場反射率測定または干渉計は、ウエハ上のスポットに光線を集束させて、複数の波長の反射光の強度を測定することによって、エッチング(または蒸着)中のウエハ表面の反射率を測定する。広帯域その場反射率測定の一例は、フラッシュランプ/連続波反射率測定(例えば、ラムスペクトル反射率計(LSR)とも呼ばれる)である。その場干渉計システムに関するさらなる関連情報については、ラムリサーチ社の特許第6,400,458号および第6,160,621号を参照することが可能であり、それらは、参照によって本明細書に組み込まれる。
別の実施例において、動的時間伸縮法(DTW)アルゴリズムが、基準スペクトルに対するスペクトルのマッチングを計算するために用いられてもよく、次いで、このマッチングは、エッチング速度および理想的なエッチング停止を計算するために直接利用できる。
スペクトルの時系列を利用するいくつかの利点がある。1つの利点は、スペクトルの因果関係のモデル依存性を保証することである。これは、モデリングパラメータを制約すると共に、さらなる精度を与えるよう作用する。例えば、2つの異なる時系列の同じスペクトルは、入ってくるばらつきからバイアスが生じうるので、ウエハの異なる条件を伝えうる。さらなる利点は、情報内容を保存するために、スペクトルおよび時間の共分散が仮想カーペット内で明確にモデル化されることである。したがって、実験情報の損失がない。さらに、各カーペットが個別にフィッティングされるので、大量の実験スペクトルを扱うために、拡張性の利点が保証されている。
アクティブ制御のためのアルゴリズムのトレーニングは、大規模な物理モデリングを必要とする多くの他の物理ベースのモデルよりも高速である。さらに、ランタイム実行速度も、混合アレイからのかかる複雑な反射率のための物理ベースのモデルより高速である。
本明細書に記載の方法は、強度スペクトルに限定されないことを理解すべきである。方法は、適切にスケーリングされた時間内の任意の信号セットに適用されてよく、ここで、各時間フレーム内で、相関信号は、時間内の「スペクトル」としてのxに沿った主成分という意味で相関の特定のシグネチャと共に、「x」内に表すことができ、同じ次元削減およびトレーニング戦略が導入されうる。例えば、局所的な深さを予測するためのウエハからの波長時間トレースと同様に、CD(クリティカルディメンション)またはCD均一性を予測するために、静電チャック(ESC)に関連する複数のセンサからの時間トレースを同様の方法で分析できる。これらの非スペクトル信号の共分散は、所与の時間フレームの間の基本情報を抽出するために、主成分分析で処理できるため、より高い精度のエンドポイント制御が可能になる。
本実施形態は、これらの具体的な詳細事項(例えば、エッチング速度)の一部またはすべてがなくとも実施可能であることが明らかである。また、本実施形態が不必要に不明瞭となることを避けるため、周知の処理動作の詳細な説明は省略した。
図1は、一例に従って、ウエハ106を処理するために用いられるチャンバ102を備えたシステム100を示す。この例では、プラズマ108が、ウエハ106を処理するために用いられる。プラズマ108は、ウエハ106にフィーチャをエッチングするために利用できる。図示していないが、チャンバ102は、電源に接続されており、電源は、プラズマ108を生成するためにチャンバ102の電極へRF電力を供給するよう構成されている。コントローラ110が、エッチング処理を制御するために、チャンバ102およびRF電源とインターフェース接続するよう構成されている。いくつかの実施形態において、チャンバ102は、容量結合プラズマ(CCP)チャンバまたは誘導結合プラズマ(ICP)チャンバであってよい。さらなるシステム例として、ラムリサーチ社に発行された米国特許第6,979,578号を参照することが可能であり、これは、参照によって組み込まれる。’578号特許では、その場データ収集に用いられる光ファイバの例が図5に示されている。
いずれにしても、チャンバ102およびその処理は、コントローラ110とインターフェースされ、コントローラ110は、システム100によるエッチングのためのレシピの処理に必要な設定を提供できる。その場監視装置104が、チャンバ102と統合され、コントローラ110と接続してよい。その場監視装置104は、ウエハ106の処理に関連するスペクトル放射の光学特性またはスペクトルデータを検出するよう構成されてよい。一実施形態において、その場監視装置104は、反射率計または干渉計の光信号に関連したスペクトルデータもしくは発光分光分析(OES)に関連したスペクトルデータを収集および/またはサンプリングするよう構成される。
一具体例において、その場監視装置104は、ウエハ106の表面上に投写される広帯域幅光を生成するよう構成され、検出器が、基板の表面からの反射光に関連したスペクトルデータを収集する。以下の議論では、主に、反射率計または干渉計の光信号の監視に焦点を当てているが、システムは、OESまたはその他の検査技術を用いて動作されてもよい。
一実施形態において、コントローラ110は、ウエハ106の放射からのカーペット情報を処理するために、その場監視装置104によって収集されたスペクトルデータを利用する処理動作を実行するよう構成される。上述のように、カーペットは、時系列で捕捉されたスペクトルデータを表す一群のフレームとして定義される。すなわち、スペクトルデータは、所定の間隔(例えば、所定の大きさのミリ秒、秒、または、何らかのカスタムの時間設定ごと、など)で、その場監視装置104によって収集される。
図2は、フレームの時系列の捕捉によって生成された表面の三次元抽出であるカーペットの一例120を示し、ここで、各フレームは、強度を波長の関数として分類する時間を表している。図に示すように、フレーム0は、カーペット120について捕捉された最初のフレームであり、フレームnまでの各後続フレームは、図3に示すエッチング動作のためのカーペットを表す。フレーム1〜nの各々は、特定の時間t0〜tnに捕捉される。したがって、各フレームは、波長に関して強度を記述するそれぞれ独自のスペクトルを有する。各フレームが捕捉されると、カーペット120が構築され、したがって、時間の経過と共に波長について強度の変化に関する情報を示す。
したがって、波長の関数としての強度の単一の時間フレームの情報だけでなく、複数の時間に対する波長の関数としての強度の連続的な変化の情報も収集されている。したがって、任意の一時点に、発生して時間の現在の状態までつながる変化を突き止めることができる。この情報は、エッチングフィーチャ132を規定するために基板材料130がエッチングされるにつれて、どのような強度変化が起きるのかを明らかにする。図3に示す例は、単一のエッチングフィーチャを示しているが、エッチング動作は、通例、光の波長よりも短い場合があり、半導体ウエハ全体にわたって分散されたリソグラフィ露光の単一のフィールドまたは複数のフィールドに対応しうる任意の数のフィーチャに対して実質的に同時に実行されることを理解されたい。単一の反射率センサが用いられる実施形態において、照射スポット下のスペクトル時系列のみが収集されるが、ウエハ全体のエンドポイントを制御するために用いられる。フィーチャのクリティカルディメンションおよび深さがエッチングの進行と共に変化する時に、入射ビームの回折が、波長の関数としてのファーフィールドにおける強度の変化を生み出し、分光計での強度の変化をもたらす。
したがって、図3は、エッチングが進行するにつれて、波長の関数としてのスペクトル強度のフレームが捕捉され続けるため、カーペット120を構築して規定することを示すためにのみ提供されている。一実施形態において、エッチング動作などの特定のウエハ処理動作中に、エッチングされるフィーチャは、測定された深さ(dm)として図3に示された特定の深さに到達する。この時点で、エッチング動作が完了し、図2のカーペット120が完成する。その結果、最後のフレーム(例えば、フレームn)がもたらされ、これは、時間tnでの測定された深さdmに対応するフレームである。
図2のカーペット120および図3のエッチング動作の図面は、スペクトルデータの複数のフレームの捕捉を説明するために示されたものである。所望のサンプリング周波数に基づいて、さらに多くのフレームが捕捉され、これにより、ウエハレベルでのフィーチャCD、深さ、または、プロファイル情報の変化に関連した豊富な情報を備えたより密度の高いカーペット120を提供できることを理解されたい。一実施形態において、カーペット120は、時間の関数として変化すると考えられ、これは、波長の関数として捕捉された複数のフレームによっては明らかにならない。カーペット120は、一実施形態において、波長の範囲について、数学的多項式をそれに関連する係数と共に用いて特徴付けることができる。したがって、多項式の係数は、時間内の表面と波長とを規定し、これらは、後述のようにアクセスされうる。
上述のように、本明細書に記載した一実施形態は、特定のエッチングレシピおよびエッチング処理に対して複数のウエハを処理することを必要とするトレーニング処理を利用する。いくつかの実施形態では、同じチャンバ102が、様々なウエハに用いられる。別の実施形態では、異なるチャンバが、ウエハの各々に対して用いられてもよい。トレーニング動作中に処理されたウエハの各々は、それぞれのカーペット120を生み出す。カーペットの各々は、サンプリング周波数に基づいて、フレームの各々で捕捉されたスペクトルデータに関して、その場監視装置104によって検出された特徴を規定する。複数のカーペットが規定されると、これらのカーペットは、多項式フィットアルゴリズムを用いて、浮動、固定、および/または、結合された係数パラメータを備えたカーペット(本明細書では、仮想カーペットと呼ぶ)を生成するためにフィッティングされうる。
図4は、一実施形態に従って、トレーニングウエハの各々に対してカーペットを生成することを含むトレーニング生成器150の利用に関して詳細を示す図である。図に示すように、トレーニング生成器150は、カーペット120a〜120nの生成を含み、ここで、各カーペットは、それぞれの多項式と関連しており、各カーペットは、測定されたエッチングの深さに相関する最後のフレームを有する。処理条件、チャンバ構成、および、その他の要素の間にばらつきがあるので、カーペットの各々を生成する時のエッチングの終了が異なる可能性がある。この影響は、段階的回帰、マルチカーペット結合回帰、または、主成分分析による多項式係数のローディングによってモデル化され、ここで、異なるカーペットのパラメータの差は、エンドポイントの推定値へのその影響を明らかにし、その後、測定されたエッチング深さに対する線形回帰を用いて決定される。
いくつかの実施形態において、様々なウエハが、様々なサイズのカーペット120を生成するために、異なる深さまで意図的にエッチングされてよい。いずれにしても、カーペット120の各々は、それらの多項式および関連する係数に関して捕捉される。上述のように、多項式の様々な係数は、エッチング動作に対して経時的に捕捉された複数のフレームによって規定されたカーペットの三次元コンター形状を記述する。この例では、多項式フィットプロセッサ162が、カーペット120a〜120nの各々から多項式を受信するよう構成される。さらに、カーペット120a〜120nの各々に関連するウエハの各々に対する測定深さも、測定装置160によって捕捉される。測定装置160は、様々な形態を取りうるものであり、概して、ウエハ、フィーチャ、深さ、および、一般的に特性の特定のパラメータまたはメトリックを測定できる半導体測定ツールでありうる。例としては、断面SEM、TEM、および、散乱計を含む。
多項式フィットプロセッサ162は、仮想カーペット生成器164と通信するよう構成されている。仮想カーペット生成器164は、仮想カーペット120を生成するための次元削減および線形回帰の処理である。仮想カーペット120は、波長の関数としてのスペクトル強度であるスペクトルデータのフレームに関して、所定のサイズを有するよう構成される。多項式フィットプロセッサ162は、上述のように、様々なカーペット120a〜120nの多項式を受信し、したがって、仮想カーペット生成器164によって規定された制約に従ってそれらをフィッティングするよう構成される。一実施形態において、仮想カーペット生成器164は、上述の様々な技術によって生成できる仮想カーペット220を生成するよう構成される。
したがって、仮想カーペット220が生成され、仮想カーペット220および測定装置160の出力が、測定装置160によって測定された特定の深さまたはメトリックに仮想カーペットの仮想フレーム番号を関連付けるために、224において相関される。したがって、リアルタイム処理およびエンドポイント動作226中に、チャンバのコントローラは、エッチング処理がエンドポイントに達した時を特定するために、仮想カーペット220および/または仮想フレーム番号−深さ相関器224にアクセスできる。エッチング処理が、エッチングされている特定のフィーチャに対して意図された深さに達した時に、エンドポイントに到達し、仮想カーペットを用いることで、現在処理中の(すなわち、現在の加工動作のための)カーペットの一部を仮想カーペット220に関連付けることによって、エンドポイントに到達できる。
例えば、実際の加工ウエハのリアルタイム処理は、コントローラが現在のエッチング動作のためのカーペットを生成している場合に、このアルゴリズムを利用できる。処理中、フレームがカーペットに対して生成されており、それらのフレームは、すでに生成された以前のフレームに追加される。一実施形態では、現在のフレームならびに1または複数の以前のフレーム(すなわち、パッチ)が、仮想カーペットへのフィッティングを実行するために、エッチングのリアルタイム処理中に現在生成されているカーペットから利用されうる。動的およびリアルタイムに仮想カーペットへのフィッティングを行うことにより、リアルタイムでエッチングの予測深さを特定することが可能である。上述のように、仮想カーペットは、エッチング深さと予め相関された仮想フレーム番号に関する情報を保持する。
後述するように、様々なエッチング深さが、トレーニングセッション中に処理された様々なウエハから近似されうる。そして、以前のトレーニングセッションが仮想カーペットを生成したので、スペクトルデータの現在捕捉されているフレーム(またはフレームのパッチ)に対して予測された深さに関する情報が、実際のエッチング深さの緊密に相関された推定または予測を生み出す。したがって、リアルタイム処理中にカーペットを処理し続けることにより、特定のエッチング動作について、仮想カーペットにフィッティングおよびマッピングされているフレームが所望の深さを示す時点に至る。この時点で、チャンバのコントローラは、エンドポイントに到達したことをシステムに知らせることができ、エッチング動作が停止される。
図5は、一実施形態に従って、トレーニング中にウエハ(W0)がエッチングされる時に対応する多項式を生み出すカーペットの一例102aを示す。この例において、フレーム番号231および時間232を含むリアルフレームサンプル230への結果として、カーペット120aが生成されたことがわかる。カーペット120aを生成するためのエッチング動作が完了すると、様々なサンプルフレームからの最後のフレームに到達する。この例において、最後のフレームは、フレーム467番である。フレーム467番は、番号の例として示されているだけであり、捕捉されるフレームは、サンプリング周波数およびエッチング動作の持続時間に依存して決まる。
この例について続けると、フレーム467番は、測定装置またはシステムによって検査または測定されている測定エッチング深さまたは何らかのその他のパラメータまたはメトリックに関連する。上述のように、異なるメトリックについて波長の関数としてのスペクトル強度のフレームを測定または相関させることも可能である。かかるメトリックは、クリティカルディメンション検査、ウエハの反り特性、および、一般に測定されるかまたは測定可能であるその他のメトリックを含んでよい。
図6Aは、トレーニング動作中に生成された多項式すべてから導出された対応する多項式を有する仮想カーペットの一例220を示す。図に示すように、この仮想カーペットについて、仮想フレームサンプル240も識別可能であり、ここで、仮想フレーム番号は、トレーニング中に異なるウエハから生成された様々なカーペットから生成された多項式すべてに対応する複数のリアルフレームサンプル230から導出された異なる時間に関連する。仮想フレームサンプル240は、仮想フレーム番号241および対応する時間242も含む。
この例において、仮想フレーム番号は標準化されているので、仮想フレーム番号は、仮想フレーム番号0から仮想フレーム番号300までの範囲にある。様々なトレーニングカーペットすべての仮想フレーム番号は、異なるフレーム番号を有し、様々なフレームおよびそれらに関連する多項式係数は、仮想カーペット220に対して規定された仮想フレーム番号のセットに対して標準化されるように導出される。仮想カーペット220を生成することにより、様々なトレーニングカーペットから生じる変動を抽出し、したがって、各個別のカーペットで生じうる異常または誤判定を生成および除外することが可能である。さらに、仮想カーペット220を生成することにより、製品ウエハを扱っている処理による後の参照のために仮想カーペット220を用いることが可能であり、かかる製品ウエハは、エンドポイントを特定する仮想カーペット224を利用できる。
トレーニング中のウエハラン(例えば、ウエハ1〜5)に関連する多項式係数および結果として得られる仮想カーペット(例えば、平均)の例を提供する目的で、以下の図6Bは、いくつかの数値例を示す。この例において、平均値は、仮想カーペットを規定するために用いられるが、その他の方法を用いてもよい。
例えば、平均の代わりに、
図7は、一実施形態に従って、仮想カーペット220の仮想フレーム番号と、様々なカーペットを生成するトレーニング中に実行されたエッチング動作について測定された深さ304との間のマッピングチャート300の一例を示す。加工ウエハの処理中、コントローラは、波長の関数として強度を有する複数のフレームによって規定された独自のカーペットを生成できる。カーペットが生成されている時、定期的に、フレームの内の2以上(すなわち、カーペットのパッチ)が捕捉され、仮想カーペット220に対してフィッティングされうる。仮想カーペット220にフィッティングすることにより、チャンバがウエハにエッチングを実行することによって処理されている最も最新のフレームの仮想フレーム番号302を特定することが可能である。
310に示すように、現在のフレーム番号(VFNc)が仮想フレーム番号302から特定され、マッピングチャート300のエッチング深さ304から現在の深さdcを予測するために相関されてよい。マッピングチャート300に示すように、トレーニング中に用いられる様々なテストウエハも、チャートにマッピングされてよく、チャートは、実質的に線形の近似を生成する。テストウエハの各々について測定された深さは、それぞれのカーペット120内の最後のフレームに関連しているため、線形近似は、それらの深さを示す。これは、ウエハ0が深さd1、ウエハ3が深さd2、ウエハ1が深さd3、ウエハが深さdnまでエッチングされたことを示す。
仮想フレーム番号は、カーペット120の各々から収集されたフレームの近似表現であるので、これらの工程は、実質的な直線に沿って実質的に起きると示されうる。したがって、仮想カーペットによって提供された標準化が、この実質的な線形応答または表現を生み出すと予期される。結果として、処理中に、現在の仮想フレーム番号VFNcは、線形近似に沿った点306にマッピングされてよく、次いで、点306は、処理中に、予測現在深さdcに相関されうる。深さdcは、線形近似および特定された仮想フレーム番号に基づいて、深さd2とd3との間にあるように、さらに近似される。深さdcは、一実施形態において、補間を用いて特定されうる。リアルタイム処理がd3の深さに到達することを必要とする場合、システムは、現在の加工動作のためのカーペットを処理し続け、現在のパッチまたはフレームを仮想カーペットにフィッティングした後に、ウエハの現在生成中のカーペットの2以上のフレームまたはパッチを仮想カーペットと比較し続ける。
したがって、処理は、現在の仮想フレーム番号が所望の深さd3に対応するか否かをチェックし続けることができる。製品ウエハを処理するシステムが、例えば、VFN5に到達すると、深さd3に到達し、コントローラは、エッチング処理の停止を指示する。
図8Aは、一実施形態に従って、後に仮想カーペットにフィッティングされる複数のカーペットを生成するために、複数のウエハからトレーニングデータを生成する処理の一例を示す。動作402で、トレーニングデータが、複数のウエハの複数のエッチング処理から生成される。上述のように、同じエッチングシステムまたは同様に構成された様々なエッチングシステムが、多くのウエハを処理することができ、処理中に、波長の関数としての強度が捕捉されうる。動作404で、ウエハの各々に実行された処理の各々に対するカーペットが生成される。
カーペットは、波長の関数としての強度の複数のサンプリングされたフレームを含む。
処理エッチングがトレーニングウエハに対して完了すると、動作406は、各カーペット内の最後のフレームが、測定された結果の深さに対応するように、各ウエハについて結果の深さを測定する。一例において、測定システムが、測定を実行するために用いられてよい。動作408で、多項式フィットが、仮想カーペットを生成するために、生成カーペットの各々に対して処理される。仮想カーペットの多項式係数の一部は浮動してよく、他の係数は、複数のカーペットの各々のそれぞれの係数の多項式すべてが、仮想カーペットの多項式の部分集合になるように、固定されるかまたは浮動パラメータに結合される。したがって、仮想カーペットは、トレーニングの際のウエハの処理中に生成された複数のカーペットの上位集合である。動作410で、仮想カーペットの仮想フレーム番号とエッチングの予測深さとの間の相関が生成される。これは、エッチングの深さまたはメトリックを予測するために、仮想カーペットの仮想フレーム番号の教師付きトレーニングを実行する工程を含む。
例えば、マッピングチャート300により、図7に相関を示している。動作412で、仮想カーペットおよび相関が、ウエハのリアルタイム処理中に利用するためにデータベースに格納される。
図8Bは、図8Aの処理の別の例であり、動作410および412に関してさらなる詳細を提供する。この例において、動作410’は、エッチング深さのローディングがカーペット多項式パラメータに関して規定されることを記載する。かかるパラメータは、仮想カーペットフレーム番号とカーペットのその他の浮動多項式パラメータとを含んでよく、一部の場合に、回帰によって最大三次までを含んでよい。動作412’で、仮想カーペットの多項式が格納される。多項式は、浮動、固定、および/または、結合パラメータならびに関連定数のいずれかとしてデータベースに格納されうる。この例において、回帰の係数は、動作410’で取得される。
本明細書で用いられているように、ウエハのリアルタイム処理は、製品ウエハが処理されていることを意味し、用いられるエンドポイントメカニズムは、以前のトレーニング動作中に生成された仮想カーペットへの生成されたカーペットパッチのフィッティングを利用する。いくつかの実施形態において、チャンバのコントローラは、生成中のカーペットの仮想カーペットに対する相関を処理できる。別の実施例において、別個のコンピュータまたはネットワークコンピュータが、仮想カーペットにアクセスして、比較、フィッティング動作、および、結果としてのエンドポイント決定から結果を生成できる。
さらなる実施形態において、処理は、実コンピュータまたは仮想コンピュータの形態で、1または複数のコンピュータもしくは1または複数のプロセスによって共有されてもよい。いくつかの実施形態において、処理は、複数の仮想マシンの間に分散されてもよい。いずれにしても、加工ウエハの処理は、エンドポイントの決定またはエッチング処理に関連するメトリックの検証のために、加工中に生成されるカーペットを仮想カーペットと比較できるように、仮想カーペットを実装できる。上述のように、測定値は、エッチング深さで形成されうる。しかしながら、測定値は、ウエハ特性、クリティカルディメンション、ウエハ反りなど、任意の数の特徴メトリックで形成されてよい。
図9は、一実施形態に従って、仮想カーペット220の生成に用いられるシステム、ならびに、エッチングエンドポイントまたは何らかの他のメトリックを決定するために仮想カーペットデータにアクセスするチャンバおよびコントローラの一例を示す。図に示すように、プロセス特性化エンジン500は、様々なシステムによって実行される機能動作を規定するよう構成される。トレーニング生成器150は、1または複数のウエハに対するトレーニング動作を実行するために、1または複数のチャンバと通信できる処理動作および命令を含みうる。
トレーニングの結果は、それぞれのカーペット120を生成し、次いで、カーペット120は、仮想カーペット220を生成するために用いられる。測定装置502は、1または複数のトレーニングシステムの各々によって生成されたカーペット120内の最後のフレームに関連する結果としてのエッチング深さ、特徴パラメータ、または、その他のメトリックを測定するために利用可能である。仮想フレーム番号−深さ相関器506が提供されてよく、この場合、エッチング深さが、測定されるメトリックである。相関器506は、一実施形態において、データベース504に格納できるコードまたは命令またはデータとして規定されてよく、データベース504は、仮想カーペット220のデータを含む。別の実施形態において、仮想フレーム番号−深さ/メトリック相関器506は、レシピファイルに格納されてもよく、ハードコードされたデータであってもよく、また、かかるデータは、サーバからリトリーブされてもよい。レシピ転送が、図11に示す734および/または714を介して実施されうる。いずれの構成でも、仮想フレーム番号−深さ/メトリック相関器506は、ウエハのリアルタイム処理にアクセス可能にされる。リアルタイム処理中、コントローラ110は、データベース504から利用に向けてデータ(すなわち、仮想カーペットデータ)を取得またはダウンロードする。
図に示すように、チャンバ102は、それに対応するその場監視装置104を有する。
コントローラ110は、チャンバ102およびその場監視装置104とインターフェースされる。コントローラ110は、リアルタイムカーペット生成器520によって、リアルタイムでカーペットを生成するよう構成される。リアルタイムカーペット生成器520は、基本的に、各サンプル中に波長の関数としての強度を表すフレームを生成している。したがって、エッチング処理が完了する前でも、リアルタイムカーペット生成器520は、対応する所定のサンプリング時間の各々の間にますますフレームを忙しく生成している。動作522で、仮想カーペットへの多項式フィットが実行される。
このために、生成器520によって生成されるカーペットの少なくとも一部のための多項式および多項式の関連係数は、仮想フレーム番号−深さ/メトリック相関器506からの仮想フレーム番号を特定するために、仮想カーペット220にフィッティングされる。その結果、コントローラ110は、現在のエッチング深さまたはメトリック524を受信または特定する。図7に示すように、この処理は、コントローラ110による仮想カーペットのルックアップから、エッチング深さまたはメトリックに相関された仮想フレーム番号を特定することを伴ってよい。リアルタイムカーペット生成器520が処理し続ける時に、コントローラ110による仮想カーペットに対する連続的または繰り返しのルックアップにより、エッチング深さに達すると、エッチングエンドポイントプロセッサ526が、仮想カーペット220を用いて予測されるエッチング深さを特定してよく、これは、所望の深さのエッチングに対応する。その時点で、システムは、エンドポイントに到達したと判定する。
図10Aは、一実施形態に従って、ウエハのリアルタイム処理が動作602で実行される処理例を示す。図に示すように、リアルタイムウエハ処理は、その場監視装置104に結合または接続された加工チャンバ(チャンバ102など)によって実行されてよい。いくつかの実施形態において、チャンバ102は、多くの他のチャンバと共に、製造施設に設置されてよい。チャンバの各々は、時系列で複数のフレームについてスペクトルデータを収集できるように、それら自身がその場監視装置104に接続されうる。
動作604で、部分的なカーペットが、現在のエッチング動作の処理中に捕捉された複数のフレームから生成される。上述のように、製造処理中、現在のカーペットを規定するために、所定のサンプリングレートでますますフレームを追加することによって、カーペットが連続的に生成されている。プログラムで設定できる定期的な時点に、システムのコントローラまたは別個の処理は、動作606により、現在のエッチング動作に関連する処理を特性化するために、仮想カーペット(すなわち、トレーニング中に以前に生成された仮想カーペット)に対する部分的なカーペットの多項式フィットがなされるようにトリガできる。動作608で、仮想フレーム番号およびその他のカーペット多項式係数が、仮想カーペットに関連するデータから特定される。
動作610で、エッチングの予測深さが、図7の例を参照して示すように、特定された仮想フレーム番号に基づいて特定される。一実施形態において、エッチング深さの予測は、仮想フレーム番号およびその他のカーペット多項式係数を用いる。例えば、予測の少なくとも一部は、仮想フレーム番号に基づくが、ランタイム処理中に浮動した多項式係数は、部分的なカーペットの差を捕捉し、予測への(所定のローディングパラメータによる)補正を提供する。動作612で、エンドポイントに到達したか否かが判定される。エンドポイントに到達していない場合、システムは、最後のフレームすなわち最も最新に処理されたフレームを含む部分的なカーペットの別の部分を処理し続け、完了するまで、動作606、608、および、610に進む。処理エンドポイントに到達すると、これは、動作610における予測深さエッチングに対応する所望のエッチング深さに到達したことを意味するため、エッチング動作が停止される。
図10Bは、一実施形態に従って、次元削減の繰り返しの性質を説明するフローチャートである。工程(a)で、仮想カーペットが、アンサンブル平均によって得られた多項式係数を用いて規定され、ここで、すべての係数は固定されている。上述のように、固定された係数を有することは、一実施例に従ったものである。工程(b)で、トレーニング中に生成された各カーペットの仮想フレーム番号が取得される。一実施形態において、測定されたエッチング深さを備えたX/Y散布図を生成して、傾きおよび切片を決定するために、1つの仮想フレーム番号が各トレーニングカーペットに対して取得される。これは、本願で議論するように、補正を提供する。
工程(c)で、仮想フレーム番号は、測定されたエッチング深さにのみ相関される。この例では、工程(c)まで、仮想カーペット多項式係数のすべてが固定された場合、仮想カーペットフィッティングから逸脱する仮想フレーム番号のみがある。したがって、この情報だけが、測定されたエッチング深さと相関させて、予測精度に対してチェックするために用いられる。良好でない場合、以下の(d)におけるように、処理は、仮想フレーム番号と共に決定される仮想カーペットに浮動パラメータを、再び、トレーニングカーペットあたり1セットのパラメータとして導入し始める必要がある。次いで、VFN+1、VFN+2、・・・VFN+qパラメータを用いて、測定エッチング深さを予測する。この処理は、特徴抽出と呼ばれる。
したがって、工程(d)で、必要な所定の精度に対してチェックがなされる。工程(c)の結果が十分に良好である場合、処理は停止する。これは、仮想カーペットがエッチング深さを正確に予測していることを意味する。必要な精度に到達していない場合、工程(e)で、仮想カーペットの浮動パラメータ空間を拡張して、平均二乗誤差を小さくする。工程(f)で、段階的回帰、マルチカーペット結合回帰、または、PCAが、(e)で取得された次元を削減するために用いられる。
工程(g)では、工程(f)で規定された高次元に基づいて、処理は、それらの高次元のローディングパラメータを浮動させつつ、トレーニング中に生成された各カーペットの仮想フレーム番号を取得するように進む。理解できる通り、複数回の仮想カーペット評価工程が、トレーニング処理中に実行される。したがって、測定された深さに対する仮想カーペットの相関の質を繰り返し改善する。(e)〜(i)の各反復の中で、仮想カーペット次元を再規定する。さらに、いくつかのカーペット固有のローディングパラメータが、仮想フレーム番号と共に、回帰の終了時にそれらの浮動次元に対して取得される。工程(h)で、測定されたエッチング深さに対する、工程(g)からのローディングパラメータおよび仮想カーペット番号の相関が、線形回帰によって実行される。工程(i)で、精度に対するチェックが求められる。結果が十分に良好であれば、停止する。本明細書で用いられているように、「十分に良好」とは、仮想カーペットを用いたその場処理制御が、独立型の外部(ex−situ)測定システムの有効な代替と見なされるように、教師付きトレーニングおよび基準測定の差が十分に小さいことを意味する。
(i)における結果が十分に良好ではない場合、工程(j)で、高次元をさらに削減し、工程(g)から繰り返す。
工程(k)で、削減すべき高次元がこれ以上ない場合、浮動パラメータ空間を再び拡張し、工程(e)を反復する。より高次の多項式を導入することにより、平均二乗誤差が、減り続ける。工程(l)では、一実施形態において、平均二乗誤差(MSE)を、不偏誤差分散(例えば、残差平方和を自由度数で割ったもの)に代えることができる。
測定された広帯域その場反射率測定スペクトルを用いたカーペットの生成に関して、具体例を提供したが、さらに他の測定方法を用いることもできる。さらに、レーザ吸収分光法のようなレーザによる方法を用いてもよい。一例において、積分帯域上にカーペットを有するレーザ吸収またはフルスペクトルを有するレーザ吸収分光法を用いてよい。さらに別の実施形態において、ウエハ上のメトリックの変化、チャンバの部品、プラズマインピーダンス(化学)の変化の両方に関連する同様の複雑なカーペットの挙動を示すことが知られている周波数スペクトルも有するRF信号も、開示されている分析に適しうる。RF信号に関しては、取得されたメトリックが、エンドポイントにはあまり関連せず、チャンバマッチング/メトリフィケーションに関連するかまたは有用であると考えられる。いくつかの実施形態において、収集されたスペクトルデータは、光またはレーザ干渉法、反射率計および吸収、OES、または、RF電圧/電流トレース自体に関連するか、もしくは、数学的にRFスペクトル振幅に変換される。一実施形態において、スペクトルデータは、フィーチャがウエハ上にエッチングされる際にエッチングに用いられるチャンバから収集される。
さらに別の実施形態において、より多くのデータストリームが、カーペットのような挙動を有する合成「スペクトル」を形成するようにまとめられる。本明細書に記載のカーペットを用いる1つの有用性は、任意のスペクトル要素と、そのスペクトル次元に近い近傍およびその時間次元に近い近傍との間の物理的に制約を受けた強い相関および連続的な関係である。異なるツールデータが、収集されたスペクトルと共に用いられる場合、「スペクトル」および「時間」空間における相関の自然の法則により強化された連続性が低減されうる。これは、ツールデータ変数が必ずしも物理特性によって互いに「近い」とは限らないからである。一実施形態において、ツールデータ変数を互いに「隣接」させるように物理特性を見つけるためにツールデータをソートすることが可能であるか、そうでなければ、「良好に動作するツール」を「発見」することによって、変数をそのように数学的に選択して順序づける必要があり、変数は、「スペクトル−時間」相関および連続性を有することが知られている「偽スペクトル」内に、そのように配列される。
このように、カーペット処理を用いて、制御動作を呼び出し、ツール間の差を検出することができる。一実施形態において、上記の図1および図9を参照して説明したコントローラ110は、プロセッサと、メモリと、ソフトウェアロジックと、ハードウェアロジックと、プラズマ処理システムとの通信、監視、および、制御からの入出力サブシステムと、を備えてよい。コントローラ110は、さらに、例えば、プラズマ処理システムを動作させるために、様々な動作パラメータ(例えば、電圧、電流、周波数、圧力、流量、電力、温度など)の複数の設定点を含む1または複数のレシピの処理を扱ってもよい。さらに、エッチング動作(例えば、エッチングツール)を参照して、より詳細な例を提供したが、動作は蒸着動作(例えば、蒸着ツール)にも同様に利用できることを理解されたい。例えば、検証動作において、エッチング性能を検証する代わりに、蒸着性能の検証を行ってもよい。蒸着性能は、様々な方法で定量化でき、制限なく、様々なタイプの測定方法および/またはツールが用いられてよい。さらに、蒸着性能は、その場またはオフラインで、測定、検知、近似、および/または、試験されてよい。
いくつかの実施例において、コントローラ110は、システムの一部であり、システムは、上述の例の一部であってよい。かかるシステムは、1または複数の処理ツール、1または複数のチャンバ、処理のための1または複数のプラットフォーム、および/または、特定の処理構成要素(ウエハペデスタル、ガスフローシステムなど)など、半導体処理装置を備えうる。これらのシステムは、半導体ウエハまたは基板の処理前、処理中、および、処理後に、システムの動作を制御するための電子機器と一体化されてよい。電子機器は、「コントローラ」と呼ばれてもよく、システムの様々な構成要素または副部品を制御しうる。コントローラ110は、処理要件および/またはシステムのタイプに応じて、処理ガスの供給、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、電力設定、高周波(RF)発生器設定、RF整合回路設定、周波数設定、流量設定、流体供給設定、位置および動作設定、ならびに、ツールおよび他の移動ツールおよび/または特定のシステムと接続または結合されたロードロックの内外へのウエハ移動など、本明細書に開示の処理のいずれを制御するようプログラムされてもよい。
概して、コントローラ110は、命令を受信する、命令を発行する、動作を制御する、洗浄動作を可能にする、エンドポイント測定を可能にすることなどを行う様々な集積回路、ロジック、メモリ、および/または、ソフトウェアを有する電子機器として定義されてよい。集積回路は、プログラム命令を格納するファームウェアの形態のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されるチップ、および/または、プログラム命令(例えば、ソフトウェア)を実行する1または複数のマイクロプロセッサまたはマイクロコントローラを含みうる。プログラム命令は、様々な個々の設定(またはプログラムファイル)の形態でコントローラ110に伝えられて、半導体ウエハに対するまたは半導体ウエハのための特定の処理を実行するための動作パラメータ、もしくは、システムへの動作パラメータを定義する命令であってよい。動作パラメータは、いくつかの実施形態において、ウエハの1または複数の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、および/または、ダイの加工中に1または複数の処理工程を達成するために設計された処理によって規定されるレシピの一部であってよい。
コントローラ110は、いくつかの実施例において、システムと一体化されるか、システムに接続されるか、その他の方法でシステムとネットワーク化されるか、もしくは、それらの組み合わせでシステムに結合されたコンピュータの一部であってもよいし、かかるコンピュータに接続されてもよい。例えば、コントローラ110は、「クラウド」内にあってもよいし、ウエハ処理のリモートアクセスを可能にできるファブホストコンピュータシステムの全部または一部であってもよい。コンピュータは、現在の処理のパラメータを変更する、現在の処理に従って処理工程を設定する、または、新たな処理を開始するために、システムへのリモートアクセスを可能にして、製造動作の現在の進捗を監視する、過去の製造動作の履歴を調べる、もしくは、複数の製造動作からの傾向または性能指標を調べうる。いくつかの例では、リモートコンピュータ(例えば、サーバ)が、ネットワーク(ローカルネットワークまたはインターネットを含みうる)を介してシステムに処理レシピを提供してよい。リモートコンピュータは、パラメータおよび/または設定の入力またはプログラミングを可能にするユーザインターフェースを備えてよく、パラメータおよび/または設定は、リモートコンピュータからシステムに通信される。
いくつかの例において、コントローラ110は、データの形式で命令を受信し、命令は、1または複数の動作中に実行される処理工程の各々のためのパラメータを指定する。パラメータは、実行される処理のタイプならびにコントローラ110がインターフェース接続するまたは制御するよう構成されたツールのタイプに固有であってよいことを理解されたい。したがって、上述のように、コントローラ110は、ネットワーク化されて共通の目的(本明細書に記載の処理および制御など)に向けて動作する1または複数の別個のコントローラ110を備えることなどによって分散されてよい。かかる目的のための分散コントローラ110の一例は、チャンバでの処理を制御するために協働するリモートに配置された(プラットフォームレベルにある、または、リモートコンピュータの一部として配置されるなど)1または複数の集積回路と通信するチャンバ上の1または複数の集積回路である。
限定はしないが、システムの例は、プラズマエッチングチャンバまたはモジュール、蒸着チャンバまたはモジュール、スピンリンスチャンバまたはモジュール、金属メッキチャンバまたはモジュール、洗浄チャンバまたはモジュール、ベベルエッジエッチングチャンバまたはモジュール、物理蒸着(PVD)チャンバまたはモジュール、化学蒸着(CVD)チャンバまたはモジュール、原子層蒸着(ALD)チャンバまたはモジュール、原子層エッチング(ALE)チャンバまたはモジュール、イオン注入チャンバまたはモジュール、トラックチャンバまたはモジュール、ならびに、半導体ウエハの加工および/または製造に関連するかまたは利用されうる任意のその他の半導体処理システムを含みうる。
上述のように、ツールによって実行される1または複数の処理工程に応じて、コントローラ110は、他のツール回路またはモジュール、他のツール構成要素、クラスタツール、他のツールインターフェース、隣接するツール、近くのツール、工場の至る所に配置されるツール、メインコンピュータ、別のコントローラ110、もしくは、半導体製造工場内のツール位置および/またはロードポートに向かってまたはそこからウエハのコンテナを運ぶ材料輸送に用いられるツール、の内の1または複数と通信してもよい。
図11は、実施形態を実施するためのコンピュータシステムを示す概略図である。
本明細書に記載の方法は、従来の汎用コンピュータシステムなどのデジタル処理システムを用いて実行されてよいことを理解されたい。あるいは、1つの機能のみを実行するよう設計またはプログラムされた専用コンピュータが用いられてもよい。コンピュータシステムは、中央処理装置(CPU)704を備えており、CPUは、バス710を介して、ランダムアクセスメモリ(RAM)706、読み出し専用メモリ(ROM)712、および、マスストレージデバイス714に接続されている。システムコントローラプログラム708が、ランダムアクセスメモリ(RAM)706に格納されているが、マスストレージデバイス714に格納されてもよい。
マスストレージデバイス714は、フロッピーディスクドライブまたは固定ディスクドライブなどの永続データ記憶装置であり、ローカルであってもリモートであってもよい。ネットワークインターフェース730が、ネットワーク732を介して接続を提供し、他のデバイスとの通信を可能にする。CPU704は、汎用プロセッサ、専用プロセッサ、または、特別にプログラムされた論理デバイスとして実装されうることを理解されたい。入力/出力(I/O)インターフェースが、様々な周辺機器との通信を提供しており、バス710を介して、CPU704、RAM706、ROM712、および、マスストレージデバイス714に接続されている。周辺機器の例は、ディスプレイ718、キーボード722、カーソルコントロール724、リムーバブルメディアデバイス734などである。
ディスプレイ718は、本明細書に記載のユーザインターフェースを表示するよう構成される。キーボード722、カーソルコントロール724、リムーバブルメディアデバイス734、および、その他の周辺機器は、CPU704に命令選択の情報を通信するために、I/Oインターフェース720に接続される。外部デバイスとのデータのやりとりは、I/Oインターフェース720を介して通信されてよいことを理解されたい。実施形態は、有線または無線ネットワークを通して接続された遠隔処理デバイスによってタスクが実行される分散コンピューティング環境で実施されてもよい。
実施形態は、ハンドヘルドデバイス、マイクロプロセッサシステム、マイクロプロセッサベースまたはプログラム可能な家電、ミニコンピュータ、メインフレームコンピュータなど、様々なコンピュータシステム構成で実施されてもよい。実施形態は、ネットワークを通して接続された遠隔処理デバイスによってタスクが実行される分散コンピューティング環境で実施されてもよい。
上述の実施形態を念頭に置いて、本実施形態は、コンピュータシステムに格納されたデータを含め、コンピュータに実装された様々な動作を利用できることを理解されたい。これらの動作は、物理量の物理操作を必要とするものである。本実施形態の一部を形成する本明細書で説明した動作はいずれも、有用な機械動作である。本実施形態は、さらに、これらの動作を実行するためのデバイスまたは装置に関する。装置は、専用コンピュータなど、必要とされる目的向けに特別に構築されてよい。専用コンピュータとして規定された場合、コンピュータは、特殊目的に含まれない他の処理、プログラム実行、または、ルーチンも実行しつつ、特殊目的のために動作することができる。あるいは、動作は、コンピュータメモリ、キャッシュに格納されたまたはネットワークを介して取得された1または複数のコンピュータプログラムによって選択的にアクティベートまたは構成された汎用コンピュータで処理されてもよい。データがネットワークを介して取得されると、そのデータは、ネットワーク(例えば、コンピューティングリソースのクラウド)上の他のコンピュータによって処理されてもよい。
1または複数実施形態は、コンピュータ読み取り可能な媒体上にコンピュータ読み取り可能なコードとして製造されてもよい。コンピュータ読み取り可能な媒体は、コンピュータシステムによって読み出し可能なデータを格納できる任意のデータ格納装置である。コンピュータ読み取り可能な媒体の例としては、ハードドライブ、ネットワーク接続ストレージ(NAS)、読み出し専用メモリ、ランダムアクセスメモリ、CD−ROM、CD−R、CD−RW、磁気テープ、および、その他の光学および非光学式のデータ記憶装置が挙げられる。コンピュータ読み取り可能な媒体は、コンピュータ読み取り可能なコードが分散的に格納および実行されるように、ネットワーク接続されたコンピュータシステム上に分散されたコンピュータ読み取り可能なタンジブル媒体を含みうる。
方法の動作は特定の順番で記載されているが、オーバーレイ動作の処理が望ましく実行される限りは、他のハウスキーピング動作が動作の合間に実行されてもよいし、動作が若干異なる時間に実行されるように調整されてもよいし、処理に関連した様々な間隔で処理動作が起きることを許容するシステムに分散されてもよいことを理解されたい。
処理条件を監視するための方法および設定を調整するための方法に関するさらなる情報については、2016年8月3日出願の米国仮特許出願第62/370,658号「Methods and Systems for Monitoring Plasma Processing Systems and Advanced Process and Tool Control」、米国特許第6,622,286号「Integrated electronic hardware for wafer processing control and diagnostic」、米国特許第8,295,966号「Methods and apparatus to predict etch rate uniformity for qualification of a plasma chamber」、米国特許第8,983,631号「Arrangement for identifying uncontrolled events at the process module level and methods thereof」、米国特許第8,473,089号「Methods and apparatus for predictive preventive maintenance of processing chambers」、米国特許第8,271,121号「Methods and arrangements for in−situ process monitoring and control for plasma processing tools」、および、米国特許第8,538,572号「Methods for constructing an optimal endpoint algorithm」を参照することができ、これらはすべて、ラムリサーチ社すなわち本願の譲渡人に譲渡されており、これらの各々はすべての目的で本明細書に組み込まれる。
機械学習アルゴリズム、現象論的モデル、および、関連する処理に関するさらなる情報については、パドア大学情報工学部Bertorelle Nicolaによる2010年6月28日付けの論文「Virtual Metrology for Semiconductor Manufacturing Applications」;パドヴァ大学情報工学専攻Gian Antonio Sustoによる2013年1月付の論文「Statistical Methods for Semiconductor Manufacturing」;および、ロシア化学技術大学(7,F.Engels St.,15300 Ivanovo,Russia)電子デバイス/材料技術学部のA.Efremov,et al.による2007年1月12日付けの論文「Etching characteristics and mechanisms of the MgO thin films in the CF4/Ar inductively coupled plasma」を参照することが可能であり、それらの各々は、参照により本明細書に組み込まれる。
さらに、参照により組み込まれた上記の文献および出願に記載の実施形態および任意の具体的な特徴が、特定の実施形態を規定または可能にするために、本明細書に記載の1または複数の特徴と組み合わされてもよい。
理解を深めるために、本実施形態について、ある程度詳しく説明したが、添付の特許請求の範囲内でいくらかの変更および変形を行ってもよいことは明らかである。したがって、本実施形態は、例示的なものであって、限定的なものではないとみなされ、実施形態は、本明細書に示した詳細に限定されず、添付の特許請求の範囲および等価物の範囲内で変形されてよい。

Claims (23)

  1. スペクトルの時系列を用いて、エッチング処理のエンドポイントを特定するための方法であって、
    トレーニング動作中に収集された前記エッチング処理のためのスペクトルの時系列から生成された仮想カーペットにアクセスし、
    加工ウエハに加工エッチング処理を施す工程であって、前記加工エッチング処理が実行される間に、スペクトルの時系列から規定されたカーペットの複数部分が、前記加工エッチング処理に対して生成され、
    前記加工エッチング処理の前記カーペットの前記複数部分を前記仮想カーペットと比較し、
    前記比較が、前記加工ウエハにとって所望のメトリックに到達したことを示唆する時に、前記加工エッチング処理のエンドポインティングを処理すること、
    を備える、方法。
  2. 請求項1に記載の方法であって、前記所望のメトリックは、所定のエッチング深さ、所定のクリティカルディメンションの値、所定のウエハ反りの値、所定のライン幅の値、所定のフィーチャピッチの値、所定のフィーチャ間隔の値、または、所定の測定可能な値、の内の少なくとも1つに関連する、方法。
  3. 請求項1に記載の方法であって、前記カーペットの前記複数部分は、捕捉されたスペクトルの現在のフレームと、捕捉されたスペクトルの少なくとも1つの以前のフレームとを含み、前記カーペットの各部分は、少なくとも2つのフレームを含み、各部分は、前記カーペットのパッチである、方法。
  4. 請求項1に記載の方法であって、前記加工エッチング処理の前記カーペットの前記複数部分は、前記メトリックの予測値に相関された仮想フレーム番号を特定するために、前記仮想カーペットにフィッティングされる、方法。
  5. 請求項1に記載の方法であって、前記トレーニング動作は、前記エッチング処理を複数のウエハに実行し、各ウエハについて、個々にサンプリングされたフレーム時間にスペクトルの時系列を捕捉することを含み、前記個々にサンプリングされたフレーム時間に捕捉された前記スペクトルの時系列は、それぞれのカーペットを規定し、各それぞれのカーペットは、それぞれの係数を有する多項式によって特徴付けられ、前記仮想カーペットは、前記多項式から導出された係数と、前記トレーニング動作中に生成された前記カーペットのそれぞれの係数とを有する対応する多項式を有する、方法。
  6. 請求項5に記載の方法であって、トレーニング中に生成された各カーペットの前記それぞれの多項式の前記係数は、前記仮想カーペットを生成するために、次元削減アルゴリズムによって処理され、前記仮想カーペットは、前記トレーニング動作中に生成されたカーペットから取得されたすべての係数の上位集合であるそれぞれの係数を有する標準化された多項式によって規定される、方法。
  7. 請求項6に記載の方法であって、前記加工エッチング処理の前記カーペットの前記複数部分を前記仮想カーペットと比較することは、前記仮想カーペットから仮想フレーム番号を特定するために、前記カーペットの前記複数部分を前記仮想カーペットにフィッティングすることを含み、前記仮想フレーム番号は、前記メトリックの予測値に対応付けられ、所望のメトリックに実質的に適合した時の前記メトリックの前記予測値は、エッチングエンドポイントを示唆する、方法。
  8. 請求項1に記載の方法であって、前記トレーニング動作は、
    基板のエッチング中に生成されたサンプルスペクトルデータから複数のカーペットを生成し、各カーペットは、エッチングされている基板から生成され、
    前記エッチングされた基板の各々について得られたメトリックに関するデータを測定または受信し、
    前記複数のカーペットの各々から前記仮想カーペットを生成し、前記仮想カーペットは、複数の多項式を前記複数のカーペットの各々のそれぞれの係数とフィッティングすることによって生成された係数を有する多項式によって規定されること、
    を含み、
    前記仮想カーペットの前記係数の少なくとも一部は、浮動パラメータであり、他のパラメータは、前記トレーニング動作中に生成された前記複数のカーペットの各々の前記多項式の前記係数すべてが、前記仮想カーペットの前記係数の部分集合になるように、固定されるかまたは前記浮動パラメータに結合される、方法。
  9. 請求項8に記載の方法であって、前記仮想フレーム番号に加えて、前記浮動パラメータは、前記メトリックの予測値に対応付けるために用いられ、所望のメトリックに実質的に適合した時の前記メトリックの前記予測値は、エッチングエンドポイントを示唆する、方法。
  10. 請求項1に記載の方法であって、前記スペクトルの時系列は、広帯域その場反射率測定に関連する強度スペクトルデータ、発光分光分析(OES)に関連する強度スペクトルデータ、または、広帯域その場偏光解析に関連する偏光解析スペクトルデータであり、前記スペクトルデータは、フィーチャがウエハ上にエッチングされている時に、エッチングに用いられているチャンバから収集される、方法。
  11. チャンバ内でエッチング処理中に生成されたスペクトルの時系列からトレーニングデータを生成するための方法であって、
    1または複数のチャンバ内で複数の基板をエッチングし、前記エッチングが処理されている間に、スペクトルのフレームの複数のサンプルを捕捉し、捕捉されたスペクトルの各フレームは、波長の関数として前記スペクトルの強度を特定し、
    メトリックを測定し、前記エッチングの完了後に、前記測定されたメトリックを前記対応する基板のスペクトルの最後のフレームに関連付けることによって、各基板に関連するメトリックが関連付けられ、
    エッチングされる前記基板の各々に1つずつの複数のカーペットを生成し、前記カーペットの各々は、前記スペクトルの前記複数のフレームによって規定され、対応する係数を有する多項式が、前記各々のカーペットの特性を規定し、
    多項式フィッティングアルゴリズムを用いて前記複数のカーペットを処理することによって、仮想カーペットを生成し、前記仮想カーペットは、前記複数のカーペットのいずれもが、前記仮想カーペット内の仮想フレーム番号を決定するために、前記仮想カーペット上に射影されうるように、前記複数のカーペットの上位集合になっており、
    前記仮想カーペットの前記仮想フレーム番号を前記メトリックの予測値に相関させること、
    を備え、
    前記仮想カーペットは、前記仮想カーペットから得られた前記メトリックの現在の予測値が、リアルタイム処理中の前記エッチング処理のエンドポイントを示す前記メトリックの所定の値に対応する時点を決定するために、基板の前記リアルタイム処理中にコントローラによってアクセスされる、方法。
  12. 請求項11に記載の方法であって、前記エッチングに関連する前記メトリックは、エッチング深さ、クリティカルディメンションの値、ウエハ反りの値、ライン幅の値、フィーチャピッチの値、フィーチャ間隔の値、または、測定可能な値、の内の1つである、方法。
  13. 請求項12に記載の方法であって、前記コントローラは、前記基板の前記リアルタイム処理について前記トレーニングデータの生成中に生成された前記仮想カーペットにアクセスするために、データベースまたはレシピファイルに接続するよう構成されている、方法。
  14. 請求項12に記載の方法であって、
    前記リアルタイム処理中に、前記コントローラは、前記リアルタイム処理のためのカーペットを生成しているように構成され、
    前記カーペットが生成されている時に、前記メトリックの前記所定の値に到達したか否かを決定するために、前記カーペットの少なくとも一部またはパッチが、前記仮想カーペットとの比較に用いられる、方法。
  15. 請求項14に記載の方法であって、前記比較は、前記仮想カーペットのハイパーパラメータに対する前記カーペットの前記一部または前記パッチの多項式フィッティングを実行して、前記仮想カーペットおよび前記カーペットとの対応付けが前記リアルタイム処理中に生成されることを可能にすることを含み、前記仮想カーペットは、前記仮想フレーム番号を決定するために用いられ、前記メトリックは、前記トレーニングデータの生成中に実装されたレシピパラメータを用いて決定される、方法。
  16. 請求項15に記載の方法であって、前記カーペットの前記一部は、捕捉されたスペクトルの現在のフレームと、捕捉されたスペクトルの少なくとも1つの以前のフレームとを含み、前記仮想カーペットとの前記比較中に、捕捉されたスペクトルの前記少なくとも1つの以前のフレームを用いることで、前記基板の前記リアルタイム処理の現在の状態の正確な特定が可能になる、方法。
  17. 請求項15に記載の方法であって、前記メトリックは、エッチングの深さであり、前記カーペットの前記一部は、前記エッチング処理についてのエッチングの予測深さに相関された仮想フレーム番号を特定するために、前記仮想カーペットにフィッティングされる、方法。
  18. スペクトルの時系列を用いて、エッチング処理のエンドポイントを特定するためのプログラム命令を有するコンピュータ読み取り可能な媒体であって、
    トレーニング動作中に収集された前記エッチング処理のためのスペクトルの時系列から生成された仮想カーペットにアクセスするためのプログラム命令と、
    加工ウエハに加工エッチング処理を施すためのプログラム命令と、前記加工エッチング処理が実行される間に、スペクトルの時系列から規定されたカーペットの複数部分が、前記加工エッチング処理に対して生成され、
    前記加工エッチング処理の前記カーペットの前記複数部分を前記仮想カーペットと比較するためのプログラム命令と、
    前記比較が、前記加工ウエハにとって所望のメトリックに到達したことを示唆する時に、前記加工エッチング処理のエンドポインティングを処理するためのプログラム命令と、
    を備える、コンピュータ読み取り可能な媒体。
  19. 請求項18に記載のコンピュータ読み取り可能な媒体であって、前記カーペットの前記複数部分は、捕捉されたスペクトルの現在のフレームと、捕捉されたスペクトルの少なくとも1つの以前のフレームとを含み、前記カーペットの各部分は、少なくとも2つのフレームを含み、各部分は、前記カーペットのパッチである、コンピュータ読み取り可能な媒体。
  20. 請求項18に記載のコンピュータ読み取り可能な媒体であって、前記加工エッチング処理の前記カーペットの前記複数部分は、前記メトリックの予測値に相関された仮想フレーム番号を特定するために、前記仮想カーペットにフィッティングされる、コンピュータ読み取り可能な媒体。
  21. 請求項19に記載のコンピュータ読み取り可能な媒体であって、前記トレーニング動作は、前記エッチング処理を複数のウエハに実行し、各ウエハについて、個々にサンプリングされたフレーム時間にスペクトルの時系列を捕捉することと、を含み、前記個々にサンプリングされたフレーム時間に捕捉された前記スペクトルの時系列は、それぞれのカーペットを規定し、各それぞれのカーペットは、それぞれの係数を有する多項式によって特徴付けられ、前記仮想カーペットは、前記多項式から導出された係数と、前記トレーニング動作中に生成された前記カーペットのそれぞれの係数と、を有する対応する多項式を有する、コンピュータ読み取り可能な媒体。
  22. 請求項17に記載のコンピュータ読み取り可能な媒体であって、前記トレーニング動作は、
    基板のエッチング中に生成されたサンプルスペクトルデータから複数のカーペットを生成するためのプログラム命令であって、各カーペットは、エッチングされている基板から生成される、プログラム命令と、
    前記エッチングされる基板の各々について得られたメトリックに関するデータを測定または受信するためのプログラム命令と、
    前記複数のカーペットの各々から前記仮想カーペットを生成するためのプログラム命令であって、前記仮想カーペットは、複数の多項式を前記複数のカーペットの各々のそれぞれの係数とフィッティングすることによって生成された係数を有する多項式によって規定される、プログラム命令と、
    を含み、
    前記仮想カーペットの前記係数の少なくとも一部は、浮動パラメータであり、他のパラメータは、前記トレーニング動作中に生成された前記複数のカーペットの各々の前記多項式の前記係数すべてが、前記仮想カーペットの前記係数の部分集合になるように、固定されるかまたは前記浮動パラメータに結合される、コンピュータ読み取り可能な媒体。
  23. 請求項18に記載のコンピュータ読み取り可能な媒体であって、前記所望のメトリックは、所定のエッチング深さ、所定のクリティカルディメンションの値、所定のウエハ反りの値、所定のライン幅の値、所定のフィーチャピッチの値、所定のフィーチャ間隔の値、または、所定の測定可能な値、の内の少なくとも1つに関連する、コンピュータ読み取り可能な媒体。
JP2017240403A 2016-12-23 2017-12-15 処理のエンドポイントを制御するためのスペクトルの時系列からの特徴強要方法 Pending JP2018117116A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/389,451 2016-12-23
US15/389,451 US10262910B2 (en) 2016-12-23 2016-12-23 Method of feature exaction from time-series of spectra to control endpoint of process

Publications (1)

Publication Number Publication Date
JP2018117116A true JP2018117116A (ja) 2018-07-26

Family

ID=62630709

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2017240403A Pending JP2018117116A (ja) 2016-12-23 2017-12-15 処理のエンドポイントを制御するためのスペクトルの時系列からの特徴強要方法

Country Status (5)

Country Link
US (2) US10262910B2 (ja)
JP (1) JP2018117116A (ja)
KR (1) KR20180074574A (ja)
CN (1) CN108281346B (ja)
TW (1) TW201838053A (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020153001A1 (ja) * 2019-01-24 2020-07-30 株式会社荏原製作所 情報処理システム、情報処理方法、プログラム及び基板処理装置
KR20210146784A (ko) 2020-05-27 2021-12-06 가부시끼가이샤 히다치 세이사꾸쇼 처리 조건 결정 시스템 및 처리 조건 탐색 방법

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10386828B2 (en) 2015-12-17 2019-08-20 Lam Research Corporation Methods and apparatuses for etch profile matching by surface kinetic model optimization
US9792393B2 (en) 2016-02-08 2017-10-17 Lam Research Corporation Methods and apparatuses for etch profile optimization by reflectance spectra matching and surface kinetic model optimization
US10197908B2 (en) 2016-06-21 2019-02-05 Lam Research Corporation Photoresist design layout pattern proximity correction through fast edge placement error prediction via a physics-based etch profile modeling framework
US10254641B2 (en) 2016-12-01 2019-04-09 Lam Research Corporation Layout pattern proximity correction through fast edge placement error prediction
US11074376B2 (en) * 2017-04-26 2021-07-27 United Microelectronics Corp. Method for analyzing process output and method for creating equipment parameter model
US10534257B2 (en) 2017-05-01 2020-01-14 Lam Research Corporation Layout pattern proximity correction through edge placement error prediction
US10984334B2 (en) * 2017-05-04 2021-04-20 Viavi Solutions Inc. Endpoint detection in manufacturing process by near infrared spectroscopy and machine learning techniques
US10529633B2 (en) * 2017-12-06 2020-01-07 International Business Machines Corporation Method of integrated circuit (IC) chip fabrication
US10572697B2 (en) 2018-04-06 2020-02-25 Lam Research Corporation Method of etch model calibration using optical scatterometry
US11624981B2 (en) 2018-04-10 2023-04-11 Lam Research Corporation Resist and etch modeling
WO2019200015A1 (en) 2018-04-10 2019-10-17 Lam Research Corporation Optical metrology in machine learning to characterize features
US10705514B2 (en) * 2018-10-09 2020-07-07 Applied Materials, Inc. Adaptive chamber matching in advanced semiconductor process control
WO2020106297A1 (en) * 2018-11-21 2020-05-28 Lam Research Corporation Method for determining cleaning endpoint
US10977405B2 (en) 2019-01-29 2021-04-13 Lam Research Corporation Fill process optimization using feature scale modeling
JP7129356B2 (ja) * 2019-02-13 2022-09-01 キオクシア株式会社 測定方法
TWI704093B (zh) * 2019-05-09 2020-09-11 辛耘企業股份有限公司 處理液容置裝置
US11688616B2 (en) 2020-07-22 2023-06-27 Applied Materials, Inc. Integrated substrate measurement system to improve manufacturing process performance
US11709477B2 (en) 2021-01-06 2023-07-25 Applied Materials, Inc. Autonomous substrate processing system
US20220397515A1 (en) * 2021-06-10 2022-12-15 Applied Materials, Inc. Obtaining substrate metrology measurement values using machine learning
US11901203B2 (en) 2021-06-10 2024-02-13 Applied Materials, Inc. Substrate process endpoint detection using machine learning
US11965798B2 (en) 2021-06-10 2024-04-23 Applied Materials, Inc. Endpoint detection system for enhanced spectral data collection
JP2024524862A (ja) * 2021-06-10 2024-07-09 アプライド マテリアルズ インコーポレイテッド 機械学習を使用した基板処理の終点検出
US20240128100A1 (en) * 2022-10-14 2024-04-18 Applied Materials, Inc. Methods and systems for a spectral library at a manufacturing system

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5658423A (en) * 1995-11-27 1997-08-19 International Business Machines Corporation Monitoring and controlling plasma processes via optical emission using principal component analysis
US6582618B1 (en) * 1999-09-08 2003-06-24 Advanced Micro Devices, Inc. Method of determining etch endpoint using principal components analysis of optical emission spectra
US6903826B2 (en) * 2001-09-06 2005-06-07 Hitachi, Ltd. Method and apparatus for determining endpoint of semiconductor element fabricating process
TWI314762B (en) * 2002-08-13 2009-09-11 Lam Res Corp Method for controlling a recess etch process
US20130059448A1 (en) * 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US8445296B2 (en) * 2011-07-22 2013-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and methods for end point determination in reactive ion etching
CA3128629A1 (en) * 2015-06-05 2016-07-28 C3.Ai, Inc. Systems and methods for data processing and enterprise ai applications

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020153001A1 (ja) * 2019-01-24 2020-07-30 株式会社荏原製作所 情報処理システム、情報処理方法、プログラム及び基板処理装置
JP2020120004A (ja) * 2019-01-24 2020-08-06 株式会社荏原製作所 情報処理システム、情報処理方法、プログラム及び基板処理装置
JP7220573B2 (ja) 2019-01-24 2023-02-10 株式会社荏原製作所 情報処理システム、情報処理方法、プログラム及び基板処理装置
KR20210146784A (ko) 2020-05-27 2021-12-06 가부시끼가이샤 히다치 세이사꾸쇼 처리 조건 결정 시스템 및 처리 조건 탐색 방법
US11609188B2 (en) 2020-05-27 2023-03-21 Hitachi, Ltd. Processing condition determination system and processing condition searching method
JP7453853B2 (ja) 2020-05-27 2024-03-21 株式会社日立製作所 処理条件決定システムおよび処理条件探索方法

Also Published As

Publication number Publication date
CN108281346B (zh) 2023-08-11
TW201838053A (zh) 2018-10-16
US20190244870A1 (en) 2019-08-08
US10847430B2 (en) 2020-11-24
KR20180074574A (ko) 2018-07-03
CN108281346A (zh) 2018-07-13
US20180182632A1 (en) 2018-06-28
US10262910B2 (en) 2019-04-16

Similar Documents

Publication Publication Date Title
US10847430B2 (en) Method of feature exaction from time-series of spectra to control endpoint of process
JP7269296B2 (ja) 方法およびエッチングシステム
US10627788B2 (en) Retrieval apparatus and retrieval method for semiconductor device processing
KR101304835B1 (ko) 다변량 분석을 사용하는 반도체 처리 시스템으로부터의 메트롤로지 데이터를 변환시키는 방법과 시스템, 및 컴퓨터 판독 가능한 매체
TWI635269B (zh) 原位控制製程的方法及設備
US8193007B1 (en) Etch process control using optical metrology and sensor devices
US8173451B1 (en) Etch stage measurement system
US20240096713A1 (en) Machine-learning in multi-step semiconductor fabrication processes
KR102268290B1 (ko) 반도체 가공 장치를 진단하는 진단 시스템 및 그것의 제어 방법
US8423320B2 (en) Method and system for quantitative inline material characterization in semiconductor production processes based on structural measurements and related models
US8173450B1 (en) Method of designing an etch stage measurement system
CN116583938A (zh) 多步骤半导体制造工艺中的机器学习
US20230163002A1 (en) Accelerating preventative maintenance recovery and recipe optimizing using machine-learning based algorithm
WO2022256194A1 (en) In situ sensor and logic for process control