JP2014209404A - 高エネルギーイオンを使用する磁気薄膜のパターン化 - Google Patents

高エネルギーイオンを使用する磁気薄膜のパターン化 Download PDF

Info

Publication number
JP2014209404A
JP2014209404A JP2014114835A JP2014114835A JP2014209404A JP 2014209404 A JP2014209404 A JP 2014209404A JP 2014114835 A JP2014114835 A JP 2014114835A JP 2014114835 A JP2014114835 A JP 2014114835A JP 2014209404 A JP2014209404 A JP 2014209404A
Authority
JP
Japan
Prior art keywords
thin film
magnetic
magnetic thin
resist
pattern
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2014114835A
Other languages
English (en)
Other versions
JP5863882B2 (ja
Inventor
オムカラム ナラマス,
Nalamasu Omkaram
オムカラム ナラマス,
スティーヴン ヴァーハーヴァーベイク,
Verhaverbeke Steven
スティーヴン ヴァーハーヴァーベイク,
マジェード フォード,
Foad Majeed
マジェード フォード,
マハリンガム ヴェンカテサン,
Venkatesan Mahalingam
マハリンガム ヴェンカテサン,
エム. クリシュナ,ネティ
M Krishna Nety
ネティ エム. クリシュナ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US12/255,865 external-priority patent/US8551578B2/en
Priority claimed from US12/255,833 external-priority patent/US8535766B2/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2014209404A publication Critical patent/JP2014209404A/ja
Application granted granted Critical
Publication of JP5863882B2 publication Critical patent/JP5863882B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11BINFORMATION STORAGE BASED ON RELATIVE MOVEMENT BETWEEN RECORD CARRIER AND TRANSDUCER
    • G11B5/00Recording by magnetisation or demagnetisation of a record carrier; Reproducing by magnetic means; Record carriers therefor
    • G11B5/84Processes or apparatus specially adapted for manufacturing record carriers
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11BINFORMATION STORAGE BASED ON RELATIVE MOVEMENT BETWEEN RECORD CARRIER AND TRANSDUCER
    • G11B5/00Recording by magnetisation or demagnetisation of a record carrier; Reproducing by magnetic means; Record carriers therefor
    • G11B5/84Processes or apparatus specially adapted for manufacturing record carriers
    • G11B5/855Coating only part of a support with a magnetic layer
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • C23C14/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/48Ion implantation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • C23C14/5826Treatment with charged particles
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11BINFORMATION STORAGE BASED ON RELATIVE MOVEMENT BETWEEN RECORD CARRIER AND TRANSDUCER
    • G11B5/00Recording by magnetisation or demagnetisation of a record carrier; Reproducing by magnetic means; Record carriers therefor
    • G11B5/74Record carriers characterised by the form, e.g. sheet shaped to wrap around a drum
    • G11B5/743Patterned record carriers, wherein the magnetic recording layer is patterned into magnetic isolated data islands, e.g. discrete tracks
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11BINFORMATION STORAGE BASED ON RELATIVE MOVEMENT BETWEEN RECORD CARRIER AND TRANSDUCER
    • G11B5/00Recording by magnetisation or demagnetisation of a record carrier; Reproducing by magnetic means; Record carriers therefor
    • G11B5/74Record carriers characterised by the form, e.g. sheet shaped to wrap around a drum
    • G11B5/743Patterned record carriers, wherein the magnetic recording layer is patterned into magnetic isolated data islands, e.g. discrete tracks
    • G11B5/746Bit Patterned record carriers, wherein each magnetic isolated data island corresponds to a bit
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11BINFORMATION STORAGE BASED ON RELATIVE MOVEMENT BETWEEN RECORD CARRIER AND TRANSDUCER
    • G11B5/00Recording by magnetisation or demagnetisation of a record carrier; Reproducing by magnetic means; Record carriers therefor
    • G11B5/74Record carriers characterised by the form, e.g. sheet shaped to wrap around a drum
    • G11B5/82Disk carriers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Nanotechnology (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Mathematical Physics (AREA)
  • Manufacturing Of Magnetic Record Carriers (AREA)
  • Magnetic Record Carriers (AREA)
  • Thin Magnetic Films (AREA)
  • Magnetic Heads (AREA)

Abstract

【課題】基板上の磁気薄膜をパターン化する方法を提供する。
【解決手段】磁気薄膜の周りにパターンを設けるステップを含み、そのパターンの選択領域は、1つまたは複数の元素の高エネルギーイオンを通すことができる。高エネルギーイオンは、選択領域および選択領域に近接した磁気薄膜の一部に侵入するのに十分なエネルギーを持った状態で生成される。基板は、高エネルギーイオンを受け取るように配置される。磁気薄膜の部分は、熱励起することができる。磁気薄膜の部分は、選択された他の部分と異なる磁気特性を示す。
【選択図】図1

Description

本発明は、一般的に磁気薄膜のパターン化に関し、より詳細には、高エネルギーイオンを使用して磁気記録媒体の磁気薄膜をパターン化する方法に関する。
コンピュータ用のさらに高密度の情報記憶媒体に対する要求が常に存在している。今日、普及している記憶媒体は、ハードディスクドライブ(HDD)である。HDDは、磁気表面を持った高速回転ディスクにディジタル符号化データを格納する不揮発性記憶デバイスである。ディスクは円形で、中心穴がある。ディスクは、非磁気材料、普通はガラスまたはアルミニウムから作られ、コバルトをベースにした合金薄膜などの磁気薄膜が一方の面または両面にコーティングされている。HDDは、磁気膜の領域を2つの特定の向きのうちの1つで磁化することによってデータを記録して、薄膜を使った2進データ記憶を行えるようにする。格納されたデータは、膜の磁化領域の向きを検出することによって読み取られる。
典型的なHDD設計は、読取り/書込みヘッドが1つまたは複数のディスクの一方または両面にアクセスできるように十分に間隔を空けて配置された1つまたは複数のディスクを保持するスピンドルから成る。ディスクは、ディスクの中心穴に挿入されたクランプによってスピンドルに固定される。ディスクは、非常に高速でスピン回転される。情報は、ディスクが読取り/書込みヘッドのそばを通って回転するときにディスクに書き込まれ、またディスクから読み取られる。ヘッドは、磁気薄膜の表面に非常に密接して動く。読取り/書込みヘッドは、それの直ぐ真下の材料の磁化を検出および/または修正するために使用される。スピンドルの磁気ディスク表面ごとに1つのヘッドがある。アームが、スピン回転するディスクを横切ってヘッドを動かして、各ヘッドが対応するディスクのほとんど全表面にアクセスできるようにする。
従来の磁気媒体では、各ビットセルは、無秩序に分散された複数の磁気グレインを含んでいる。理想的には、この複数の磁気グレインは、改善された書込み能力、信号対雑音比(SNR)および熱安定性を実現するように互いに物理的に分離されている。
磁気記録媒体の面密度が増すにつれて、1平方インチ当たりのビットセルの数が増す。このことは、ビットセルのサイズを縮小する。遷移を効果的に測定するために、ビットセル中に最小数の磁気グレインが必要とされる。ビットセルのサイズが縮小するにつれて、磁気グレインサイズは、ビットセル中に最小数の磁気グレインを与えるように対応して縮小されなければならない。磁気グレインの分離および磁気グレインサイズの縮小が推進されて低雑音を保証すると、記録密度は、熱的擾乱のために制限されるだろう。
記録密度の改善のために、媒体上の記録セルサイズを縮小することが望ましいが、これは、媒体から発生される信号磁界強度の弱化を引き起こす。記録システムに要求されるSNRを満たすために、信号強度の弱化に対応して雑音が引き下げられなければならない。媒体雑音は、主に、磁化遷移の揺らぎによって生じ、この揺らぎは、磁気グレインで作られた磁化反転ユニットのサイズに比例している。したがって、媒体雑音を引き下げるために、磁気グレイン間の交換相互作用を分断することによって磁気グレインを分離することが必要とされる。
分離された単一磁気グレインの磁気エネルギーは、磁気異方性エネルギー密度とグレインの体積との積によって与えられる。磁化遷移幅を縮小するために、媒体厚さを縮小することが望ましい。低雑音に必要な条件を満たすために、グレインサイズを縮小することがまた望ましい。縮小された磁気グレインサイズは、磁気グレインの体積を相当に小さくし、さらにグレインの磁気エネルギーを相当に小さくする。磁気媒体中の或る特定の磁気グレインの磁気エネルギーが、動作温度(例えば、室温)で熱エネルギーの数百倍であれば、熱的擾乱に対する抵抗は、十分であると考えられる。しかし、磁気グレインの磁気エネルギーが熱エネルギーの百倍未満であれば、磁気グレインの磁化方向は、熱的擾乱によって反転されることがあり、ことによると記録情報の喪失につながる可能性がある。
熱的擾乱の問題を克服する様々な選択肢が提案されている。1つの選択肢は、高い磁気異方性を持った磁気材料を使用することである。この磁気材料では、磁気媒体に書き込むためにヘッドの記録飽和磁界がさらに高くなければならない。他の選択肢は、熱支援記録を使用することであり、この場合、高異方性磁気材料が使用され、記録部は、記録中、光照射によって加熱される。この熱が、磁気グレインの異方性および記録飽和磁界を小さくする。このことによって、従来の磁気ヘッドで磁気媒体に書き込むことが可能になる。
面密度が増すにつれて、依然として1ビットセル当たりに要求される最小数の磁気グレインがあり、さらに、どんなに小さな磁気グレインが実際に達成できるかということに限界がある。
調査されている代替え磁気媒体は、パターン化された媒体であり、この場合、磁気部は非磁気部と交互になっている。例えば、ビットパターン媒体は、非磁気部によって囲繞されたアイランドとして磁気ドメインを画定する磁気部を有することがある。トラックパターン媒体は、例えば、非磁気部で隔てられた磁気部の同心トラックを有することがある。
様々な選択肢が、これらの媒体を製造するために提案されているが、依然として、費用対効果が高くかつ大量製造に適合した方法を考え出す必要がある。この開示の実施形態が生まれたのは、この背景においてである。
この開示の概念および方法は、磁気薄膜のいくつかの部分が磁気薄膜の他の部分と異なる磁気特性を示すようになっている磁気媒体の大量製造を見込んでいる。
一態様では、本開示は、基板上の磁気薄膜をパターン化する方法である。本方法は、磁気薄膜の周りにパターンを設けるステップを含み、そのパターンの選択領域は、1つまたは複数の元素の高エネルギーイオンが貫通して磁気薄膜の部分に衝突することを可能にしている。1つまたは複数の元素の高エネルギーイオンは、パターンの選択領域および選択領域に近接した磁気薄膜の一部に侵入するのに十分なエネルギーを持った状態で生成される。基板は高エネルギーイオンを受け取るために配置される。選択領域に近接した磁気薄膜の部分は、磁気薄膜の選択された他の部分と異なる磁気特性を示す。
他の態様では、本開示は、両面に磁気薄膜の付いた、2つの面を有する磁気媒体をパターン化する方法である。本方法は、磁気媒体の両面の磁気薄膜の周りにパターンを設けるステップを含み、そのパターンの選択領域は、1つまたは複数の元素の高エネルギーイオンが貫通して磁気薄膜の部分に衝突することを可能にしている。1つまたは複数の元素の高エネルギーイオンは、磁気媒体の両面のパターンの選択領域および選択領域に近接した磁気薄膜の一部に侵入するのに十分なエネルギーを持った状態で生成される。磁気媒体は、高エネルギーイオンを受け取るように配置される。磁気媒体の両面の選択領域に近接した磁気薄膜の部分は、磁気薄膜の選択された他の部分と異なる磁気特性を示す。
本発明のこれらおよび他の態様および特徴は、本発明の特定の実施形態についての次の説明を、添付の図に関連して精査すると直ぐに当業者には明らかになるだろう。
この開示の代表的な方法を示すプロセス流れ図である。 磁気薄膜の周りでパターンとして使用するための代表的なマスクを示す部分平面図である。 磁気薄膜の周りに配置された、パターンの付いた代表的なレジストを示す図である。 この開示で使用するためのプロセスチャンバを示す概略図であり、この開示の第1のディスクホルダ装置を示している。 磁気薄膜の周りのパターンを示す断面図である。 イオン侵入後の磁気薄膜を示す断面図である。 レジストおよび磁気薄膜にわたってヘリウムイオン侵入分布を示す図である。 レジストおよび磁気薄膜にわたってヘリウムイオン侵入分布を示す図である。 ヘリウムイオン注入に供されなかった磁気膜の部分の磁化曲線を示す図である。 ヘリウムイオン注入に供された磁気膜の部分の磁化曲線を示す図である。 レジストおよび磁気薄膜にわたってホウ素イオン侵入分布を示す図である。 レジストおよび磁気薄膜にわたってホウ素イオン侵入分布を示す図である。 ホウ素イオン注入後の磁気薄膜中のホウ素イオンおよびコバルトイオンの濃度を示す図である。 ホウ素イオン注入に供されなかった磁気膜の部分の磁化曲線を示す図である。 ホウ素イオン注入に供された磁気膜の部分の磁化曲線を示す図である。 磁気薄膜にわたって珪素イオン侵入分布を示す図である。 珪素イオン注入後の磁気薄膜中の珪素イオンの深さ分布を示す図である。
本開示は、これから図面に関連して詳細に説明され、図面は、当業者が本開示を実施できるようにするために、本開示の例示の実施例として提供される。注目に値することに、以下の図および実施例は、本開示の範囲を単一の実施形態に限定する意図でなく、説明されたまたは例示された要素のいくつかまたは全てを入れ替えることにより他の実施形態が可能である。さらに、本開示の或る要素が、知られている構成要素を使用して部分的にまたは完全に実現可能である場合、そのような知られた構成要素のうちの、本開示を理解するために必要な部分だけが説明され、そのような知られた構成要素の他の部分の詳細な説明は、本開示を曖昧にしないために省略される。本明細書では、特異な構成要素を示す実施形態は、限定的なものと考えられるべきでない。それどころか、本開示は、本明細書で違った風に明示的に述べられない限り、複数の同じ構成要素を含む他の実施形態を網羅する意図であり、逆の場合も同じである。さらに、出願者は、明示的にそのようなものとして述べない限り、明細書および特許請求の範囲のどんな用語も珍しいまたは特別な意味のものとみなすつもりでない。さらに、本開示は、例示として本明細書で言及される知られた構成要素の現在および将来知られている同等物を網羅する。
一般に、本開示は、1つまたは複数の元素のイオンが貫通して磁気薄膜の部分に衝突することを可能にする選択領域を持ったパターンを設けることを考えている。1つまたは複数の元素の高エネルギーイオンは、パターンの選択領域およびこの選択領域に近接した磁気薄膜の一部に侵入するのに十分なエネルギーを持った状態で生成される。基板は、高エネルギーイオンを受け取るように配置される。選択領域に近接した磁気薄膜の部分は、磁気薄膜の他の部分と異なる磁気特性を示す。この方法は、ハードディスクドライブの製作に応用可能であり、非常に高い面密度の情報記憶を行えるようにする。
この開示の代表的な方法が図1に示されている。基板上の磁気薄膜をパターン化する方法は、次のステップを含む。すなわち、(1)1つまたは複数の元素の高エネルギーイオンの貫通を可能にする選択領域を持ったパターンを、磁気薄膜の周りに設けるステップと、(2)パターンの選択領域およびこの選択領域に近接した磁気薄膜の一部に侵入するのに十分なエネルギーを持った、1つまたは複数の元素の高エネルギーイオンを発生させるステップと、(3)高エネルギーイオンを受け取るように基板を配置するステップと、(4)選択領域に近接した磁気薄膜の部分が磁気薄膜の選択された他の部分と異なる磁気特性を示すようにするステップと、を含む。
一実施形態では、イオンの貫通を可能にする選択領域を持った、高エネルギーイオンの貫通に貢献しないマスクがパターンとして使用可能である。図2は、磁気薄膜の周りでパターンとして使用するための代表的なマスク200の部分平面図を示す。例えば、マスク200は、高エネルギーイオンの貫通に貢献しない部分202と高エネルギーイオンの貫通に貢献する選択領域204とを持った重合体材料、例えばポリビニルアルコール(PVA)材料から作られることがある。PVAテンプレートを作る代表的な方法は、米国特許第6,849,558号にSchaperによって説明されており、この特許は、参照して本明細書に組み込まれる。Schaperの教示は、高エネルギーイオンの貫通に貢献しない部分202と高エネルギーイオンの貫通に貢献する選択領域204とを持ったマスク200を作るのに適応されることがある。例えば、部分202の厚さは、高エネルギーイオンが部分202を完全には貫通しないように選ばれる可能性がある。部分202は丸であるように示されているが、当業者は理解するように、部分202の形および位置は有益なように選ばれる可能性がある。例えば、部分202の形は、卵形、正方形、長方形、または応用の必要に依存して任意の他の形である可能性がある。
さらに他の実施形態では、レジストが、磁気薄膜の上にコーティングされ、例えばナノインプリントリソグラフィを使用してパターンがそのレジスト中に作られることがある。本開示に応用することができるよく知られた2つのタイプのナノインプリントリソグラフィがある。第1のものは、熱可塑ナノインプリントリソグラフィ(T‐NIL)であり、これは次のステップを含んでいる。すなわち、(1)基板に熱可塑性重合体レジストをコーティングするステップと、(2)所望の三次元パターンを持った型をレジストと接触させ、さらに所定の圧力を加えるステップと、(3)レジストをガラス遷移温度よりも高く加熱するステップと、(4)レジストがガラス遷移温度よりも高くなったとき、型をレジストに押し込むステップと、(5)レジストを冷却し、型をレジストから分離して、所望の三次元パターンをレジスト中に残すステップと、である。
ナノインプリントリソグラフィの第2のタイプは、光ナノインプリントリソグラフィ(P‐NIL)であり、これは、次のステップを含む。すなわち、(1)光硬化性の液体レジストが基板に塗布されるステップと、(2)所望の三次元パターンを持った透明な型が、その型が基板に接触するまで液体レジストに押し込まれるステップと、(3)液体レジストが紫外光で硬化されて、液体レジストを固体に変えるステップと、(4)型がレジストから分離されて、所望の三次元パターンをレジスト中に残すステップと、である。P‐NILでは、型は、溶融石英のような透明材料から作られる。
図3は、ナノインプリントリソグラフィ後の代表的なパターン300の断面図を示す。基板330に付いた磁気薄膜320上のパターン化されたレジスト310は、レジストが実質的に押し出された選択領域350の窪み340のある状態で示されている。しかし、選択領域350には、磁気薄膜320の表面を覆って少量のレジストが残っている。このことは、ナノインプリントプロセスで一般的なことである。レジストパターンをイオン注入用のマスクとして使用するとき、打込み種が打ち込まれる場所のレジスト層全部が除去される必要はない。しかし、残りの層は、打込み種が貫通すべき実質的な障壁の原因とならないように十分に薄くなければならない。さらに、厚いレジストの場所と薄い残りレジストの場所との間の差異は、厚いレジストを有する場所のレジストが、イオン種が磁気薄膜に達する前にイオン種を止めることができるように、十分に厚くなければならない。代わりに、選択領域350の薄い残りレジストは、デスカムプロセスまたは微弱アッシュプロセスまたは任意の他の適切な技術のような等方性レジスト除去プロセスで除去可能である。
ナノインプリントリソグラフィでは、インプリントプロセスはレジストを押し出して選択領域350を形成するので、窪み340に対応する複数の突起を有する型がレジストと接触させられ圧力が加えられるとき押し出されるレジストの量を制御する必要がある。一般には、スタンピングプロセス中に押し出されるレジストの量を制御するために、窪み340の幅wは、窪み340の深さdとほとんど同じ寸法であることがあり、さらに、レジストの高さhは窪み340の深さdと少なくともほぼ同じである。窪み340の深さdが窪み340の幅wよりも実質的に大きい場合には、押し出されるレジストの量が非常に高くなる可能性があるので、パターンを型からレジスト310に正確に移すことが実際的でないことがある。
ナノインプリントリソグラフィプロセスは、全ディスクナノインプリント方式を使用して実現されることがあり、この場合、型は、1つの表面全体にインプリントできるだけ十分に大きい。代わりに、ステップアンドリピートインプリントプロセスが使用可能である。好ましい実施形態では、全ディスク方式が使用される。ナノインプリントプロセスは、また、一度に両面に行われることがある。例えば、ディスクは、最初に、両面にレジスト層をコーティングされることがある。次に、ディスクはプレスに入り、型がディスクの両面に押し付けられて所望のパターンをディスクの両面に同時にインプリントする。
従来のフォトリソグラフィプロセスが、また、使用されることがあり、この場合には、フォトレジストがディスク上でスピン回転され、その後にマスクを通したレジストの露光および露光されたレジストの現像が続く。
パターン化後、ディスクにはレジストのパターンがあり、そのパターンの選択領域350は、高エネルギーイオンが貫通して選択領域350に近接した磁気薄膜320の部分に衝突することを可能にしている。選択領域350以外のレジストの部分、例えば部分360は、高エネルギーイオンの貫通を防ぐのに十分な厚さを有し、それによって、高エネルギーイオンが磁気薄膜に衝突するのを防いでいる。
マスク200が代わりに使用される場合には、マスク200は磁気薄膜に近接して配置され、マスク200の選択領域204は、高エネルギーイオンがマスクを貫通して選択領域204に近接した磁気薄膜の部分に衝突することを可能にしている。一実施形態では、マスク200は、磁気薄膜に近接して位置決めされる。他の実施形態では、マスク200は、磁気薄膜またはコーティングで覆われた磁気薄膜と接触して位置決めされる。コーティングは、マスクの付着に貢献することがある。コーティングは、また、磁気薄膜を覆う保護コーティングとして作用することがある。コーティングは、磁気薄膜を覆う保護コーティングとして作用する炭素層であることがある。
ここで再び図1を参照すると、ステップ104で、1つまたは複数の元素の高エネルギーイオンが、パターンの選択領域を貫通して選択領域に近接した磁気薄膜の部分に衝突するのに十分なエネルギーを持った状態で生成される。一実施形態では、真空チャンバが設けられ、1つまたは複数の元素の化合物を含有する1つまたは複数のガスが送り込まれる。高電圧を使用することによってプラズマが発火され、1つまたは複数の元素の高エネルギーイオンが解放される。
ステップ106で、基板は、高エネルギーイオンを受け取るように配置される。一実施形態では、基板は、1つまたは複数の元素の高エネルギーイオンが発生される真空チャンバ中に配置される。一実施形態では、基板は、1つまたは複数の高エネルギーイオンを含有するプラズマの中に配置される。一実施形態では、基板に、高エネルギーイオンを引き寄せるようにバイアスをかける。マスク200が使用される場合には、高エネルギーイオンは、マスク200の選択領域204を通過して選択領域204に近接した磁気薄膜の部分に衝突する。レジスト310がパターンとして使用される場合には、高エネルギーイオンは、選択領域350を通過して選択領域350に近接した磁気薄膜の部分に衝突する。一実施形態では、高エネルギーイオンは、選択領域350に近接した磁気薄膜の部分に侵入する。一実施形態では、高エネルギーイオンは、選択領域350に近接した磁気薄膜の部分に部分的に侵入する。一実施形態では、高エネルギーイオンは、選択領域350に近接した磁気薄膜の部分に実質的に侵入する。
一実施形態では、低エネルギーで高打込みドーズ量を実現するために、プラズマイオン浸漬注入が使用されることがある。スパッタされた磁気薄膜は、一般に、厚さがほんの数十ナノメートルであるので、低イオンエネルギーが効果的であり、高ドーズ量は、高処理量を実現する。さらに、図4から明らかなように、ディスクの両面のプラズマイオン注入が、同時に行われることがある。両面プラズマイオン打込みが好ましいが、この開示の精神から逸脱することなしに片面プラズマイオン打込みが使用されることがある。片面プラズマイオン打込みでは、第1の面が打ち込まれ、それからディスクがひっくり返されて第2の面が打ち込まれる。
ディスク、例えば磁気薄膜の付いた基板を処理するように構成されたプラズマイオン注入ツール400が図4に示され、その磁気薄膜の周りにパターンがあり、そのパターンの選択領域は、1つまたは複数の元素の高エネルギーイオンが貫通して磁気薄膜の部分に衝突することを可能にしている。
図4を参照すると、チャンバ410は、真空ポンプ420によって真空の状態に維持される。ガス供給430が、パイプ432およびバルブ435によってチャンバ410に接続されている。2以上のガスがバルブ435を通して供給されることがあり、複数のガス供給およびバルブが使用されることがある。例えば、1つまたは複数の種元素を含有するドーパントガスが、チャンバ410に供給されることがある。ロッド440が、ディスク450を保持している。無線周波(RF)電源460が、ロッド440とチャンバ410の壁との間に接続されている。チャンバ410の壁は、電気的アースに接続されている。RF電源の外に、インピーダンス整合デバイスおよび直流(DC)バイアスを加えるための電源が含まれることがある。ロッド440は、グラファイトまたはシリコンでコーティングされてプラズマから保護されることがある。さらに、ロッドおよびそれの表面は、ロッドとディスクの間の優れた電気的接触を容易にするように高導電性である。ディスク450は、クランプ455または他の手段を使用して所定の位置に固定されることがある。クランプ455は、ディスク450を所定の位置に固定するだけでなく、ディスク450とロッド440の間の優れた電気的接続も保証している。ロッドは、多くのディスクを支えるように構成されている(例示を容易にするために、ただ3個のディスク450だけが示されている)。さらに、チャンバ410は、同時プラズマイオン注入用のディスクが載置された多くのロッドを保持するように構成されることがある。ロッド440は、チャンバ410に出し入れして容易に動かされる。
プラズマイオン注入ツール400でのディスクの処理は、次のように進む。ディスク450の1つまたは複数がロッド440に載置される。ロッド440が、チャンバ410中に装填される。真空ポンプ420が動作して所望のチャンバ圧力を達成する。打込み種を含有する所望のガスは、所望の動作圧力が達成されるまで、ガス供給430からバルブ435を通してチャンバの中へ漏らされる。RF電源460がディスク450の1つまたは複数の表面を取り囲むプラズマを発火させるように動作する。DC電源が、使用されて、磁気薄膜に打ち込まれるイオンのエネルギーを制御することがある。RFバイアスも使用されることがある。
プラズマから容易に打ち込み可能で、かつCo‐PtおよびCo‐Pdなどの一般的なスパッタ磁気薄膜の磁気特性を修正するのに効果的なイオンは、水素、ヘリウム、ホウ素、硫黄、アルミニウム、リチウム、ネオンおよびゲルマニウム、およびこれらの元素の組合せである。このリストは、網羅的である意図でない。プラズマ中で容易に形成され、かつ磁気薄膜の磁気特性を修正するのに効果的などんなイオンでも十分である。理想的には、磁気薄膜の磁気特性を最小ドーズ量で熱的に安定なより磁気の弱い場所またはより磁気の強い場所に変えることができるイオンが好ましい。
プラズマイオン注入チャンバおよび処理方法のさらなる詳細は、参照して本明細書に組み込まれる、Collins等の米国特許第7,288,491号および第7,291,545号で得られる。本開示のチャンバとCollins等のチャンバの主な差は、基板を保持するための異なる構成である。本開示のディスクホルダは、一度に両面の注入を行えるようにするが、Collins等では基板は、処理の間中ウェーハチャック上に置かれている。当業者は、Collins等のプラズマイオン注入ツールおよび方法が本開示でどのように利用できるかを理解するだろう。
ステップ106で高エネルギーイオンを受け取るように基板を配置した後で、選択領域に近接した磁気薄膜の部分は、ステップ108で熱励起に供されることがある。一実施形態では、無線周波またはマイクロ波エネルギーが、選択領域を加熱するために使用されることがある。さらに他の実施形態では、基板が加熱されることがある。さらに他の実施形態では、レーザまたはフラッシュアニールが行われることがある。いくつかの実施形態では、高速熱アニールまたは炉が使用されることがある。
当業者は理解するように、熱励起ステップ108は、レジスト層が依然として磁気薄膜上に存在している状態で行われることがある。いくつかの実施形態では、レジスト層が除去されることがあり、それから磁気薄膜が熱励起に供される。この実施形態では、イオン注入に供された部分とイオン注入に供されなかった部分の両方のある磁気薄膜が、熱励起に供される。この手法は、熱励起から恩恵を受ける可能性のある或るタイプの磁気薄膜で、例えばイオン注入に供されなかった磁気薄膜の部分に対して、有利に使用されることがある。
マスク200、例えばPVAマスクが使用される場合には、プロセスは、マスク200の除去を追加的に含むことがある。一実施形態では、PVAマスクは、PVAマスク200を溶かすプロセスを使用して、例えば水溶液を使用して除去されることがある。いくつかの実施形態では、非水溶液が使用されることがある。いくつかの実施形態では、マスク200が除去され、それから磁気薄膜が、熱励起に供される。この実施形態では、イオン注入に供された部分とイオン注入に供されなかった部分の両方のある磁気薄膜が、熱励起に供される。この手法は、熱励起から恩恵を受ける可能性のある或るタイプの磁気薄膜で、例えばイオン注入に供されなかった磁気薄膜の部分に対して、有利に使用されることがある。いくつかの実施形態では、熱励起ステップ108は、マスク200が依然として存在している状態で行われることがある。
いくつかの実施形態では、イオン注入ツール400のチャンバ410の中に適切な熱源を組み込み、イオン注入後に熱源を選択的にオンにすることによって、磁気薄膜は、このチャンバ410中で熱励起に供されることがある。
高エネルギーイオンステップ106および/またはステップ108の熱励起の後で、選択領域に近接した磁気薄膜の部分は、ステップ110で例示されるように、選択された他の領域と異なる磁気特性を示す。一実施形態では、選択領域350に近接した磁気薄膜の部分に侵入する高エネルギーイオンは、選択領域に近接した磁気薄膜のその部分が選択された他の領域と異なる磁気特性を示すようにする。レジストがパターンとして使用される場合には、このプロセスは、レジスト剥離ステップを追加的に含むことがある。レジスト剥離ステップは、ディスクを取り出すより前の、プラズマイオン注入チャンバ内での従来のデスカムおよびアッシュ作業によって容易にされることがある。レジスト剥離ステップは、当技術分野でよく知られている湿式化学プロセスであることがある。いくつかの実施形態では、レジスト剥離ステップは、上で述べられたように、熱励起ステップ108の前に行われることがある。
プラズマ注入プロセスから得られるイオンのエネルギーは、約100eVから約15kevの範囲である。しかし、厚さ数十ナノメートルの磁気薄膜に打ち込むために、望ましいエネルギーの範囲は、約1kevから約11kevの範囲である。選ばれるエネルギー範囲は、選ばれる元素、レジスト厚さ、レジストのイオン停止能力、および所望の磁気特性に基づいている。例えば、約1kVから11kVのバイアス電圧が、望ましいエネルギー範囲を発生させるために使用されることがある。
図5は、磁気薄膜520の周りに配置されたパターン510の断面図であり、矢印530は、高エネルギーイオンの大体のボンバード方向を表している。高エネルギーイオンは、レジスト510の選択領域540を貫通し、選択領域540に近接した磁気薄膜520の一部550に侵入する。
図6は、イオン注入後の磁気薄膜520の断面図であり、部分550はイオン注入に供されている。磁気薄膜520の部分550は、磁気薄膜520の選択された他の的部分560と異なる磁気特性を示す。
次の実施例は、所望の磁気特性を達成するためのイオン注入の様々な応用を例示するために提供される。
或る特定のバイアス電圧においてヘリウムイオンおよびホウ素イオンに対するレジストのイオン停止特性を決定する実験が行われた。
ヘリウムイオン打込み:バイアス電圧7kVおよび2kVでのヘリウムイオン注入について実験が行われた。7kVでは、ヘリウムイオンのレジスト層の貫通を阻止するために必要なレジスト厚さは約120nmであった。パターンの選択領域のレジスト厚さは、45nmとすることができ、パターンの選択領域に近接した厚さ20nmのCoベースの磁気薄膜に依然としてヘリウムイオンを貫通させうる。2kVでは、ヘリウムイオンのレジスト層の貫通を阻止するのに必要なレジスト厚さは約85nmであった。パターンの選択領域でのレジスト厚さは、10nmとすることができ、パターンの選択領域に近接した厚さ20nmのCoベースの磁気薄膜に依然としてヘリウムイオンを貫通させうる。
ホウ素イオン打込み:バイアス電圧9kVでのホウ素イオン注入について実験が行われた。9kVでは、レジスト層をホウ素イオンが貫通することを阻止するために必要なレジスト厚さは約65nmであった。パターンの選択領域のレジスト厚さは、10nmとすることができ、パターンの選択領域に近接した厚さ20nmのCoベースの磁気薄膜に依然としてホウ素イオンを貫通させうる。
磁気特性
実施例1a:
約100nmのFeNi合金軟質下層をスパッタされたガラス基板が使用された。約20nmのCoCrPt合金磁気薄膜層が、FeNi合金軟質下層上にスパッタされた。上で説明されたように準備されたサンプルは、ドーパントガスのヘリウムをプロセスチャンバの中へ送り込むことによって、ヘリウムイオンを含有するプラズマに供された。プロセスチャンバの圧力は、約15ミリトルであり、RFバイアス電圧は約2kVであり、電源電力は約500ワットであり、ドーパントガスのヘリウムは約300sccmの流量で送り込まれ、打込み時間は約25秒であった。随意に、プラズマの生成を支援するために不活性ガスも送り込まれることがある。例えば、約16sccmの流量のアルゴンも送り込まれることがある。
サンプル中へのHeイオンの侵入の分布が、上で説明されたプロセスパラメータでシミュレーションプログラムを使用して調べられた。TRIMとして知られているシミュレーションプログラムが、シミュレーションを行うために使用可能である。TRIMプログラムは、www.srim.orgから、SRIMとして知られているプログラムのグループの一部として入手可能である。図7Aおよび7Bは、シミュレーションの結果を示す。ここで図7Aを参照すると、厚さ約85nmのレジストは、高エネルギーHeイオンがCoCrPt磁気薄膜層の中へ侵入するのを阻止するのに十分であることが明らかである。ここで図7Bを参照すると、約10nmのレジスト層と約28オングストロームの炭素層が高エネルギーイオンによってうまく貫通され、さらに高エネルギーイオンは、約20nmのCoCrPt磁気薄膜層の実質的に全体にわたって侵入していることが明らかである。
Heイオン注入に供されなかったサンプルについて、磁気膜の磁気特性が、物理特性測定システムを使用して測定されて、ベースラインが設定された。サンプルをHeイオン注入に供した後で、Heイオン注入に供された磁気膜の部分の磁気特性が、物理特性測定システムを使用して測定された。図7Cは、Heイオン注入に供されなかった磁気膜の磁化曲線を示す。飽和磁気(Ms)が約1.36テスラであることが、図7Cから明らかである。図7Dは、Heイオン注入に供された磁気膜の部分の磁化曲線を示す。Heイオン注入に供された磁気膜の部分の飽和磁気(Ms)は、Heイオン注入に供されなかったベースライン磁気薄膜に比べて、約0.1テスラまで落ちている。したがって、磁気薄膜は、適切なプロセス条件の下でHeイオン注入に供されて、選択部分が相当に異なる磁気特性を示す状態に磁気特性を実質的に変えることがある。
実施例1b:
サンプルが熱アニールに供されたことを除いて、実施例1aで使用されたのと同様なサンプルが、実施例1bで使用された。熱アニールは、摂氏約100度と摂氏約200度の両方で、約10トルから約5トルの圧力の真空中で約1時間行われた。
サンプルを熱アニールに供した後で、Heイオン注入と熱アニールの両方に供された磁気膜の部分の磁気特性が、物理特性測定システムを使用して測定された。Heイオン注入に供されなかった磁気膜のベースライン磁気曲線は、約1.36テスラの飽和磁気(Ms)を示す。Heイオン注入と摂氏100度での熱アニールの両方に供された磁気膜の部分の磁化曲線は、約0.01テスラの飽和磁気(Ms)を示した。Heイオン注入と摂氏200度での熱アニールの両方に供された磁気膜の部分の磁化曲線は、約0.03テスラの飽和磁気(Ms)を示した。実施例1aおよび1bのサンプルの結果に基づいて、サンプルを熱アニールすることで、アニールに供された磁気薄膜の部分の飽和磁気(Ms)はさらに下がったことが明らかである。したがって、磁気薄膜は、適切なプロセス条件の下でHeイオン注入と熱アニールの両方に供されて、選択的部分が相当に異なる磁気特性を示す状態に磁気特性を実質的に変えることがある。実験は、約2kVのバイアス電圧で行われたが、バイアス電圧は、1kVから11kVの範囲、好ましくは1kVから3kVの範囲である可能性がある。
実施例2:
実施例1aで使用されたのと同様なサンプルが、ホウ素イオンの侵入について使用された。上で説明されたように準備されたサンプルは、ドーパントガスBF3をプロセスチャンバの中へ送り込むことによって、ホウ素イオンを含有するプラズマに供された。プロセスチャンバの圧力は約15ミリトルに維持され、RFバイアス電圧は約9kVであり、電源電力は約500ワットであり、ドーパントガスBF3は約300sccmの流量で送り込まれ、さらに打込み時間は約20秒であった。随意に、プラズマの生成を支援するために、不活性ガスも送り込まれることがある。例えば、約16sccmの流量のアルゴンも送り込まれることがある。
サンプル中へのホウ素イオンの侵入の分布が、上で説明されたプロセスパラメータでシミュレーションプログラムを使用して調べられた。図8Aおよび8Bは、シミュレーションの結果を示す。ここで図8Aを参照すると、厚さ約65nmのレジストは、高エネルギーホウ素イオンがCoCrPt磁気薄膜層の中へ侵入するのを阻止するのに十分であることが明らかである。図8Aから明らかなことであるが、約10nmのレジスト層および約28オングストロームの炭素層が高エネルギーイオンによってうまく貫通されることがある。高エネルギーイオンは、さらに、約20nmのCoCrPt磁気薄膜層の実質的に全体にわたって侵入することができる。
図8Cを参照すると、ホウ素原子およびCo原子の濃度は、二次イオン質量分光器(SIMS)を使用して決定された。図8Cから、Co濃度は実質的にもとのままであったことが明らかである。また、明らかなことであるが、ホウ素濃度は、深さ約10nmにわたって一定のままであり、その後で徐々に減少した。
ホウ素イオン注入に供されなかったサンプルについて、磁気膜の磁気特性が、物理特性測定システムを使用して測定されて、ベースラインが設定された。サンプルをホウ素イオン注入に供した後で、ホウ素イオン注入に供された磁気膜が、物理特性測定システムを使用して測定された。図8Dは、ホウ素イオン注入に供されなかった磁気膜の磁化曲線を示す。図8Dから明らかなように、飽和磁気(Ms)は約1.36テスラである。図8Eは、ホウ素イオン注入に供された磁気膜の部分の磁化曲線を示す。図8Eから明らかなように、ホウ素イオン注入に供された磁気膜の部分の飽和磁気(Ms)は、ホウ素イオン注入に供されなかった磁気薄膜に比べて、約0.5テスラまで落ちている。これらの実験条件の下でホウ素イオン注入は、磁化を約50%だけ引き下げた。
したがって、磁気薄膜は、或るプロセス条件の下でホウ素イオン注入に供されて、異なる磁気特性を示すように選択部分の磁気特性を変えることがある。例えば、選択部分の磁気特性は、ホウ素イオン注入に供されなかった部分よりも弱い磁気特性を示すように変えられることがある。実験は、約9kVのバイアス電圧で行われたが、バイアス電圧は1kVから11kVの範囲、好ましくは7kVから11kVの範囲であることがある。
実施例3
約20nmのCo合金層をスパッタされたシリコン基板が、この実施例のサンプルとして準備された。準備されたサンプルは、ドーパントガスSiH4をプロセスチャンバの中へ送り込むことによって、珪素イオンを含有するプラズマに供された。プロセスチャンバの圧力は約30ミリトルであり、RFバイアス電圧は約9kVであり、電源電力は約500ワットであり、ドーパントガスSiH4は約75sccmの流量で送り込まれ、打込み時間は約20秒であった。
サンプルの中への珪素イオンの侵入の分布が、上で説明されたようなプロセスパラメータでシミュレーションプログラムを使用して調べられた。図9Aは、シミュレーションの結果を示す。ここで図9Aを参照すると、Siは約5〜6nmの深さに侵入し、いくらかの裾が深さ10nmまであることが明らかである。
サンプルを珪素イオン注入に供した後で、20nmのCo膜中のSi打込みの深さ分布がSIMSを使用して測定された。図9Bは、Si打込みの深さ分布を示す。Siイオンが深さ約5〜6nmまで侵入したことが、図9Bから明らかである。シミュレーションプログラムを使用して調べられたSiイオン侵入深さの分布が、Si侵入深さの実際の測定と良好な相関関係にあることは注目に値する。
いくつかの実施形態では、イオン注入後に、磁気薄膜は、例えば熱アニールによって、熱励起に供されることがある。熱アニールは、実施例1bから明らかなように、熱励起に供された磁気薄膜の部分の飽和磁気(Ms)をさらに引き下げるかもしれないことは予想される。
上の実施例から明らかなことであるが、高エネルギーイオンがレジスト層を貫通して磁気薄膜に衝突するのを阻止するために必要なレジスト厚さは、使用される元素種と、プロセスパラメータと、帯電イオンの貫通を可能にする、レジスト層の選択領域に近接した磁気薄膜中へのイオンの所望の侵入深さと、に依存している。帯電イオンの貫通を可能にする、レジスト層の選択領域の寸法が小さくなるにつれて、パターン生成中に効果的なナノリソグラフィプロセスを可能にするようにレジスト厚さを減らす必要がある。レジスト厚さが減少するときに、レジスト層は、選択領域以外の領域で高エネルギーイオンの貫通をもはや阻止することができない可能性がある。
この問題を克服する1つのやり方は、帯電イオンの貫通に対する抵抗を大きくするドーパントをレジストに加えることである。例えば、帯電イオンのレジストの貫通に対して抵抗を大きくする珪素含有化合物が、レジストにドープされることがある。帯電イオンの貫通に対して抵抗を大きくするために使用可能な他のドーパントには、硫黄および燐を含む化合物がある。一実施形態では、帯電イオンの貫通に対する抵抗を調節するために添加物としてナノ粒子が加えられることがある。例えば、酸化アルミニウム(Al2O3)、二酸化珪素(SiO2)、セリア(CeO2)、および二酸化チタン(TiO2)のナノ粒子が、帯電イオンの貫通に対する抵抗を調節するために使用されることがある。
上の実施例から明らかなことであるが、異なる元素種は、プロセスパラメータと、磁気薄膜中へのイオンの所望の侵入深さとに基づいて、磁気特性に対して異なる効果を持っている。例えば、1つまたは複数の元素は、磁気膜の磁気特性を修正するように有利に使用されることがある。例として、ヘリウムとホウ素の組合せは、追加の利益を実現することがある。例えば、分子量のより小さなヘリウムは、より小さなバイアス電圧を使用して磁気薄膜の中へより深く侵入し磁気特性を変えることができる。より大きな分子量のホウ素は、ヘリウムの侵入の前か後かのどちらかで使用されて、磁気薄膜の磁気特性にさらに影響を及ぼし、また時間の経過につれて磁気薄膜からヘリウムイオンが逃げ出すのを防ぐ障壁として作用することがある。
ヘリウムとホウ素の組合せが説明されたが、当業者は理解することだが、磁気特性の修正の維持および強化に好都合な磁気特性および他の特性を引き出すように、元素の様々な他の置換および組合せが連続してまたは一緒に使用されることがある。
また、上の実施例から明らかなことであるが、異なる元素種が、磁気薄膜の磁気特性を修正するために使用されることがある。例えば、イオン注入で薄膜の磁気特性を高める元素を含有する化合物が使用されることがある。例えば、白金イオン注入は、磁気薄膜の磁気特性を高めることがある。
本開示は、様々なタイプの磁気記録媒体に使用可能である。例えば、本開示の教示は、粒状磁気構造を有する記録媒体で使用されることがある。本開示は、また、多層の磁気薄膜にも使用されることがある。磁気薄膜は、また、連続した磁気膜であることがあり、またパターン化された媒体で使用されることがある。パターン媒体は、ビットパターン媒体またはトラックパターン媒体であることがある。一実施形態では、磁気薄膜は、熱支援磁気記録に適した高異方性磁気材料から作られることがある。
本開示は、非常に短いプロセス時間を見込んでいる。例えば、ディスクに打ち込むのに約10秒かかることがある。入力および出力真空ロードロックによって、チャンバに出し入れするディスクの高速移送ができるようになり、ポンプ故障の喪失時間が無くなり、したがって、非常に高い処理量が見込まれる。当業者は、自動移送システム、ロボットおよびロードロックシステムがどのようにして本開示のプラズマイオン注入装置と一体化できるかを理解するだろう。
或る実施形態では、本開示は、磁気媒体の磁気薄膜の部分の磁気特性を選択的に修正する方法を提供する。選択的修正は、磁気媒体の面密度、書込み能力、SNRおよび熱安定性のような望ましい特性の1つまたは複数を高めるために有利に使用可能である。
本開示は、特に、好ましい実施形態に関連して説明されたが、本開示の精神および範囲から逸脱することなく形状および細部の変更および修正が行われる可能性があることは、当業者には容易に明らかなはずである。添付の特許請求の範囲はそのような変更および修正を網羅する意図である。

Claims (25)

  1. 基板上の薄膜をパターン化する方法であって、
    前記基板上に磁気薄膜を配置するステップと、
    高エネルギーイオンの貫通を可能にする選択領域を有するパターンを前記磁気薄膜の上に配置するステップと、
    1つまたは複数の元素の高エネルギーイオンを発生させるステップと、
    前記磁気薄膜の少なくとも一部を前記1つまたは複数の元素の前記高エネルギーイオンにさらすステップであって、前記基板に約1kVから約11kVの範囲内のバイアスをかけるステップと、
    前記選択領域に近接した前記磁気薄膜の磁気特性を第1の値から第2の値に変えるステップであって、前記第2の値がゼロよりも大きいステップと
    を含む方法。
  2. 前記パターンを設けることが、前記磁気薄膜に近接してマスクを位置決めするステップを含む、請求項1に記載の方法。
  3. 前記マスクがポリビニルアルコールを含む、請求項2に記載の方法。
  4. 前記パターンを配置するステップが、
    前記磁気薄膜の表面にレジストを堆積させるステップと、
    三次元パターンを有する型と前記レジストを接触させて前記レジスト中に窪みを作るステップであって、前記窪みが薄いレジストの場所と厚いレジストの場所を作り、前記薄いレジストが、高エネルギーイオンの貫通を可能にする前記選択領域に対応しているステップと、
    前記レジストを硬化するステップと
    を含む、請求項1に記載の方法。
  5. 前記磁気薄膜の少なくとも一部を高エネルギーイオンにさらす前記ステップが、前記磁気薄膜をプラズマにさらすステップを含み、前記高エネルギーイオンが、前記薄いレジストを貫通して前記磁気薄膜に接触するのに十分なエネルギーを有する、請求項4に記載の方法。
  6. 前記レジストを除去するステップをさらに含む、請求項5に記載の方法。
  7. 熱可塑ナノインプリントリソグラフィまたは光ナノインプリントリソグラフィを使用して、前記磁気膜の表面上に前記レジストを堆積して硬化させる、請求項5に記載の方法。
  8. 前記1つまたは複数の元素が、水素、ヘリウム、ホウ素、硫黄、アルミニウム、リチウム、ネオン、ゲルマニウム、およびこれらの組合せから成るグループから選ばれる、請求項5に記載の方法。
  9. 前記基板に、約1kVから約3kVの範囲内のバイアスをかけ、前記プラズマがヘリウムを含み、かつ前記薄いレジスト層が約10nmの厚さを有する、請求項8に記載の方法。
  10. 前記磁気薄膜の上にパターンを配置する前記ステップが、前記基板の両面にパターンを配置するステップを含む、請求項5に記載の方法。
  11. 基板上の磁気薄膜をパターン化する方法であって、
    前記磁気薄膜の周りにパターンを設けるステップであって、前記パターンの選択領域では、1つまたは複数の元素の高エネルギーイオンが前記磁気薄膜の部分に接触することができるステップと、
    前記パターンの選択領域および前記選択領域に近接した前記磁気薄膜の一部に侵入するのに十分なエネルギーを持った、1つまたは複数の元素の高エネルギーイオンを発生させるステップと、
    前記高エネルギーイオンが前記磁気薄膜に接触するように、前記基板を前記高エネルギーイオンにさらすステップと、
    前記選択領域に近接した前記磁気薄膜の前記一部を熱励起に供するステップと、
    前記選択領域に近接した前記磁気薄膜の磁気特性を第1の値から第2の値に変えるステップであって、前記第2の値がゼロよりも大きいステップと
    を含む方法。
  12. 前記選択領域に近接した前記磁気薄膜の部分が、イオンの前記侵入の前と異なる磁気特性を示す、請求項11に記載の方法。
  13. 前記選択領域に近接した前記磁気薄膜の前記一部を熱励起に供する前記ステップが、前記磁気薄膜の選択された他の部分を熱励起に供するステップをさらに含む、請求項11に記載の方法。
  14. 前記磁気薄膜の前記選択された他の部分を熱励起に供する前に、前記選択された他の部分の周りの前記パターンを除去するステップをさらに含む、請求項13に記載の方法。
  15. 前記パターンを設ける前記ステップが、前記磁気薄膜の上にレジストをコーティングして前記パターンの前記選択領域に対応する複数の突起を有する型をインプリントするステップを含み、前記インプリントするステップが前記レジスト中に窪みを作り、前記窪みが幅および深さを有し、前記窪みを取り囲むレジストが前記窪みの深さと少なくともほぼ同じくらいのレジスト厚さを有し、かつ前記窪みを取り囲む前記レジスト厚さが、前記窪みを取り囲む前記レジストを高エネルギーイオンが貫通することを実質的に防ぐのに十分である、請求項11に記載の方法。
  16. 前記選択領域に近接した前記磁気薄膜の前記一部を熱励起に供する前記ステップが、レーザアニール、フラッシュアニール、高速熱アニール、またはマイクロ波エネルギーの印加によって前記磁気薄膜を加熱するステップを含む、請求項15に記載の方法。
  17. 前記磁気薄膜の周りにパターンを設ける前記ステップが、前記基板の両面にパターンを設けるステップを含む、請求項11に記載の方法。
  18. 前記パターンを設ける前記ステップが、前記磁気薄膜の上にレジストをコーティングし、前記パターンの前記選択領域に対応する複数の突起を有する型をインプリントするステップを含む、請求項17に記載の方法。
  19. 1つまたは複数の元素の高エネルギーイオンを発生させる前記ステップが、真空チャンバを設けるステップと、1つまたは複数の元素の化合物を含有する1つまたは複数のガスを注入するステップと、高電圧を使用することによってプラズマを発火させるステップと、1つまたは複数の元素の高エネルギーイオンを解放するステップとを含み、前記基板をさらす前記ステップが、前記基板を前記真空チャンバ中に配置するステップと、前記高エネルギーイオンを引き寄せるように前記基板にバイアスをかけるステップとを含む、請求項18に記載の方法。
  20. 記録媒体を処理するための装置であって、
    プロセスチャンバと、
    前記プロセスチャンバ内に配置された基板支持具であって、その上に複数の基板支持箇所のある表面を有し、かつ複数の磁気記録媒体を支持するように構成された基板支持具と、
    前記プロセスチャンバに結合されて、プラズマを発生させるように構成された電源と、
    前記プロセスチャンバに結合されて、前記プロセスチャンバの内部にドーピングガスを供給するように構成されたドーピングガス供給と
    を備える装置。
  21. 前記基板支持具が伝導性表面を備える、請求項20に記載の装置。
  22. 前記基板支持具がプラズマ保護コーティングを備える、請求項20に記載の装置。
  23. プラズマをドープされた磁気薄膜がその上に配置されている基板を備える磁気記録媒体であって、前記磁気薄膜がコバルト合金層を備え、前記コバルト合金層が、ドープされたイオンの濃度を有する第1の領域のパターンを有し、ドープされたイオンの濃度を有する前記第1の領域が、前記第1の領域に近接した領域とは異なる磁気特性を示し、かつ前記第1の領域の磁気特性の値および前記第1の領域に近接した前記領域の磁気特性の値がゼロよりも大きい磁気記録媒体。
  24. 前記ドープされたイオンがヘリウムであり、前記ドープされたイオンの濃度が、約10nmの深さにわたって実質的に一定のままである、請求項23に記載の磁気記録媒体。
  25. 前記ドープされたイオンが、水素、ヘリウム、ホウ素、硫黄、アルミニウム、リチウム、ネオン、ゲルマニウム、およびこれらの組合せから成るグループから選ばれている、請求項23に記載の磁気記録媒体。
JP2014114835A 2008-10-22 2014-06-03 高エネルギーイオンを使用する磁気薄膜のパターン化 Expired - Fee Related JP5863882B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US12/255,833 2008-10-22
US12/255,865 US8551578B2 (en) 2008-02-12 2008-10-22 Patterning of magnetic thin film using energized ions and thermal excitation
US12/255,833 US8535766B2 (en) 2008-10-22 2008-10-22 Patterning of magnetic thin film using energized ions
US12/255,865 2008-10-22

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2011533240A Division JP5640011B2 (ja) 2008-10-22 2009-10-15 高エネルギーイオンを使用する磁気薄膜のパターン化

Publications (2)

Publication Number Publication Date
JP2014209404A true JP2014209404A (ja) 2014-11-06
JP5863882B2 JP5863882B2 (ja) 2016-02-17

Family

ID=42119905

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2011533240A Expired - Fee Related JP5640011B2 (ja) 2008-10-22 2009-10-15 高エネルギーイオンを使用する磁気薄膜のパターン化
JP2014114835A Expired - Fee Related JP5863882B2 (ja) 2008-10-22 2014-06-03 高エネルギーイオンを使用する磁気薄膜のパターン化

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2011533240A Expired - Fee Related JP5640011B2 (ja) 2008-10-22 2009-10-15 高エネルギーイオンを使用する磁気薄膜のパターン化

Country Status (5)

Country Link
JP (2) JP5640011B2 (ja)
KR (1) KR101622568B1 (ja)
CN (2) CN102197426B (ja)
TW (1) TWI478159B (ja)
WO (1) WO2010048030A2 (ja)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5238781B2 (ja) * 2010-09-17 2013-07-17 株式会社東芝 磁気記録媒体の製造方法
JP5238780B2 (ja) * 2010-09-17 2013-07-17 株式会社東芝 磁気記録媒体とその製造方法及び磁気記録装置
US8679356B2 (en) 2011-05-19 2014-03-25 Varian Semiconductor Equipment Associates, Inc. Mask system and method of patterning magnetic media
FR2991096B1 (fr) * 2012-05-22 2014-06-20 Centre Nat Rech Scient Procede de fabrication d'un film comprenant des microstructures magnetiques tridimensionnelles
US9384773B2 (en) * 2013-03-15 2016-07-05 HGST Netherlands, B.V. Annealing treatment for ion-implanted patterned media
KR102260263B1 (ko) 2014-10-14 2021-06-02 엘지디스플레이 주식회사 터치 패널 및 터치 패널 일체형 유기 발광 표시 장치
KR102299875B1 (ko) 2014-11-07 2021-09-07 엘지디스플레이 주식회사 터치 패널, 이의 제조 방법 및 터치 패널 일체형 유기 발광 표시 장치
KR20170012798A (ko) * 2015-07-24 2017-02-03 에스케이하이닉스 주식회사 전자 장치 및 그 제조 방법

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0356668A (ja) * 1989-07-24 1991-03-12 Ricoh Co Ltd スパッター装置
JPH0636362A (ja) * 1992-07-14 1994-02-10 Kuraray Co Ltd 光情報記録媒体の製造方法
JP2000298825A (ja) * 1999-04-12 2000-10-24 Sony Corp 磁気記録媒体およびその製造方法
JP2005147661A (ja) * 2005-01-13 2005-06-09 Koyo Thermo System Kk 熱処理装置
JP2005158095A (ja) * 2003-11-20 2005-06-16 Matsushita Electric Ind Co Ltd マスター情報担体の製造方法
JP2007220203A (ja) * 2006-02-16 2007-08-30 Toshiba Corp 磁気記録媒体の製造方法
JP2007531269A (ja) * 2004-03-25 2007-11-01 東京エレクトロン株式会社 装置の構成要素のプラズマエンハンスクリーニングの方法及びその処理装置
JP2008077756A (ja) * 2006-09-21 2008-04-03 Showa Denko Kk 磁気記録媒体及びその製造方法、並びに磁気記録再生装置
JP2008183681A (ja) * 2007-01-31 2008-08-14 Hitachi High-Technologies Corp ディスクチャック機構およびディスクハンドリングロボット
JP2008226428A (ja) * 2007-02-13 2008-09-25 Hoya Corp 磁気記録媒体の製造方法、及び磁気記録媒体

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB9216074D0 (en) * 1992-07-28 1992-09-09 Johnson Matthey Plc Magneto-optical recording materials system
JPH06104172A (ja) * 1992-09-18 1994-04-15 Fujitsu Ltd 薄膜パターンの形成方法
TW275123B (ja) * 1994-01-31 1996-05-01 Tera Store Inc
US5858474A (en) * 1996-02-20 1999-01-12 Seagate Technology, Inc. Method of forming a magnetic media
US6168845B1 (en) * 1999-01-19 2001-01-02 International Business Machines Corporation Patterned magnetic media and method of making the same using selective oxidation
CN1275790A (zh) * 1999-05-27 2000-12-06 索尼株式会社 表面处理方法及设备
JP4560693B2 (ja) * 1999-05-27 2010-10-13 ソニー株式会社 表面処理装置および表面処理方法
JP2001043530A (ja) * 1999-07-28 2001-02-16 Anelva Corp 情報記録ディスク用保護膜作成方法及び情報記録ディスク用薄膜作成装置
JP2001250217A (ja) * 2000-03-07 2001-09-14 Hitachi Maxell Ltd 情報記録媒体及びその製造方法
JP2002288813A (ja) * 2001-03-26 2002-10-04 Fuji Electric Co Ltd 磁気記録媒体およびその製造方法
JP3886802B2 (ja) * 2001-03-30 2007-02-28 株式会社東芝 磁性体のパターニング方法、磁気記録媒体、磁気ランダムアクセスメモリ
SG122746A1 (en) * 2001-10-01 2006-06-29 Inst Data Storage Method of magnetically patterning a thin film by mask-controlled local phase transition
US6849349B2 (en) * 2001-10-22 2005-02-01 Carnegie Mellon University Magnetic films having magnetic and non-magnetic regions and method of producing such films by ion irradiation
US6849558B2 (en) * 2002-05-22 2005-02-01 The Board Of Trustees Of The Leland Stanford Junior University Replication and transfer of microstructures and nanostructures
US7611911B2 (en) * 2003-10-08 2009-11-03 International Business Machines Corporation Method and system for patterning of magnetic thin films using gaseous transformation to transform a magnetic portion to a non-magnetic portion
JP2006286159A (ja) * 2005-04-05 2006-10-19 Canon Inc 磁気記録媒体及びその製造方法
JP2006309841A (ja) * 2005-04-27 2006-11-09 Tdk Corp 磁性パターン形成方法、磁気記録媒体、磁気記録再生装置
US7323401B2 (en) * 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
JP2007115323A (ja) * 2005-10-19 2007-05-10 Sony Corp 磁気ディスクの製造方法
JP2008052860A (ja) * 2006-08-28 2008-03-06 Showa Denko Kk 磁気記録媒体の製造方法、及び磁気記録再生装置
KR100790474B1 (ko) * 2006-10-26 2008-01-02 연세대학교 산학협력단 패턴 형성방법, 패턴 형성방법을 이용한 자기저항 효과막제조 방법 및 이에 의해 제조된 자기저항 효과막과 자기응용 소자
JP5091258B2 (ja) * 2007-02-26 2012-12-05 ビーコ・インスツルメンツ・インコーポレーテッド イオン源およびイオン源の電磁石を動作させる方法
US20090201722A1 (en) * 2008-02-12 2009-08-13 Kamesh Giridhar Method including magnetic domain patterning using plasma ion implantation for mram fabrication
JP5276337B2 (ja) * 2008-02-22 2013-08-28 エイチジーエスティーネザーランドビーブイ 磁気記録媒体の製造方法

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0356668A (ja) * 1989-07-24 1991-03-12 Ricoh Co Ltd スパッター装置
JPH0636362A (ja) * 1992-07-14 1994-02-10 Kuraray Co Ltd 光情報記録媒体の製造方法
JP2000298825A (ja) * 1999-04-12 2000-10-24 Sony Corp 磁気記録媒体およびその製造方法
JP2005158095A (ja) * 2003-11-20 2005-06-16 Matsushita Electric Ind Co Ltd マスター情報担体の製造方法
JP2007531269A (ja) * 2004-03-25 2007-11-01 東京エレクトロン株式会社 装置の構成要素のプラズマエンハンスクリーニングの方法及びその処理装置
JP2005147661A (ja) * 2005-01-13 2005-06-09 Koyo Thermo System Kk 熱処理装置
JP2007220203A (ja) * 2006-02-16 2007-08-30 Toshiba Corp 磁気記録媒体の製造方法
JP2008077756A (ja) * 2006-09-21 2008-04-03 Showa Denko Kk 磁気記録媒体及びその製造方法、並びに磁気記録再生装置
JP2008183681A (ja) * 2007-01-31 2008-08-14 Hitachi High-Technologies Corp ディスクチャック機構およびディスクハンドリングロボット
JP2008226428A (ja) * 2007-02-13 2008-09-25 Hoya Corp 磁気記録媒体の製造方法、及び磁気記録媒体

Also Published As

Publication number Publication date
CN102197426A (zh) 2011-09-21
CN102197426B (zh) 2014-11-05
JP5863882B2 (ja) 2016-02-17
WO2010048030A4 (en) 2010-09-02
TW201029003A (en) 2010-08-01
WO2010048030A2 (en) 2010-04-29
TWI478159B (zh) 2015-03-21
KR101622568B1 (ko) 2016-05-19
KR20110090943A (ko) 2011-08-10
WO2010048030A3 (en) 2010-07-22
JP5640011B2 (ja) 2014-12-10
JP2012506601A (ja) 2012-03-15
CN103996404B (zh) 2017-08-04
CN103996404A (zh) 2014-08-20

Similar Documents

Publication Publication Date Title
US9263078B2 (en) Patterning of magnetic thin film using energized ions
US8551578B2 (en) Patterning of magnetic thin film using energized ions and thermal excitation
JP5863882B2 (ja) 高エネルギーイオンを使用する磁気薄膜のパターン化
JP5752939B2 (ja) プラズマイオン注入を用いた磁区パターン形成
CN103824569B (zh) Hdd图案布植***
US7686972B2 (en) Method for manufacturing magnetic recording medium
JP2002288813A (ja) 磁気記録媒体およびその製造方法
US8673162B2 (en) Methods for substrate surface planarization during magnetic patterning by plasma immersion ion implantation
JPH10275369A (ja) 情報記録媒体の製造方法および該方法による情報記録媒体
US20100258431A1 (en) Use special ion source apparatus and implant with molecular ions to process hdd (high density magnetic disks) with patterned magnetic domains
US20090199768A1 (en) Magnetic domain patterning using plasma ion implantation
US10233538B2 (en) Demagnetization of magnetic media by C doping for HDD patterned media application
KR101570893B1 (ko) 자기 기록 매체 제조 방법, 자기 기록 매체, 및 정보 기억 장치
US9384773B2 (en) Annealing treatment for ion-implanted patterned media
US8771850B2 (en) Carbon-deuterium protective overcoat layer
JP2006528401A (ja) ナノ粒子媒体の製造方法

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20150225

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150310

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20150609

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150709

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20151124

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20151222

R150 Certificate of patent or registration of utility model

Ref document number: 5863882

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees