JP2013012673A - Laminate for fine mask formation - Google Patents

Laminate for fine mask formation Download PDF

Info

Publication number
JP2013012673A
JP2013012673A JP2011145803A JP2011145803A JP2013012673A JP 2013012673 A JP2013012673 A JP 2013012673A JP 2011145803 A JP2011145803 A JP 2011145803A JP 2011145803 A JP2011145803 A JP 2011145803A JP 2013012673 A JP2013012673 A JP 2013012673A
Authority
JP
Japan
Prior art keywords
mask
fine
resin
acrylate
laminate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2011145803A
Other languages
Japanese (ja)
Other versions
JP5820639B2 (en
Inventor
Jun Furuike
潤 古池
Fujito Yamaguchi
布士人 山口
Masatoshi Maeda
雅俊 前田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Asahi Kasei Corp
Original Assignee
Asahi Kasei Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asahi Kasei Corp filed Critical Asahi Kasei Corp
Priority to JP2011145803A priority Critical patent/JP5820639B2/en
Publication of JP2013012673A publication Critical patent/JP2013012673A/en
Application granted granted Critical
Publication of JP5820639B2 publication Critical patent/JP5820639B2/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Abstract

PROBLEM TO BE SOLVED: To provide a laminate for fine mask formation capable of forming a fine mask pattern having a thin residual film on a surface of a base material as an object to be worked with accuracy and ease.SOLUTION: A laminate for fine mask formation includes: a resin layer (11) which is provided on one main surface of a base material (10) and has an uneven structure on the surface; and a mask layer (12) provided so as to cover the resin layer (11). A distance (lrl) between a top part position (S) of protrusions in the uneven structure in a cross-sectional view along the thickness direction and a surface position (Srl) having the mask layer (12) exposed therefrom satisfies 0<lrl≤0.1h (where height (depth) of the uneven structure expressed by the distance between the position (S) and the position of a recess bottom part is h).

Description

本発明は、加工対象である基材に微細マスクパターンを形成するための微細マスク形成用積層体に関する。   The present invention relates to a laminate for forming a fine mask for forming a fine mask pattern on a substrate to be processed.

従来、LSI製造における微細パターン加工技術として、フォトリソグラフィ技術が多く用いられてきた。しかし、フォトリソグラフィ技術では、露光に用いる光の波長よりも小さなサイズのパターンとする加工が困難という問題がある。また、他の微細パターン加工技術としては、電子線描画装置によるマスクパターン描画技術(EB法)がある。しかし、EB法では、電子線により直接マスクパターンを描画するため、描画パターンが多いほど描画時間が増加し、パターン形成までのスループットが大幅に低下するという問題がある。また、フォトリソグラフィ用露光装置におけるマスク位置の高精度制御や、EB法用露光装置における電子線描画装置の大型化などにより、これらの方法では、装置コストが高くなるという問題もあった。   Conventionally, a photolithography technique has been often used as a fine pattern processing technique in LSI manufacturing. However, the photolithography technique has a problem that it is difficult to process a pattern having a size smaller than the wavelength of light used for exposure. Another fine pattern processing technique is a mask pattern drawing technique (EB method) using an electron beam drawing apparatus. However, in the EB method, since the mask pattern is directly drawn by the electron beam, there is a problem that the drawing time increases as the number of drawing patterns increases, and the throughput until pattern formation decreases significantly. In addition, these methods also have a problem that the apparatus cost increases due to high-precision control of the mask position in the exposure apparatus for photolithography and the enlargement of the electron beam drawing apparatus in the exposure apparatus for the EB method.

これらの問題点を解消し得る微細パターン加工技術として、ナノインプリント技術が知られている。ナノインプリント技術では、ナノスケールの微細パターンが形成されたモールドを、被転写基板表面に形成されたレジスト膜に押圧することで、モールドの微細パターンをレジスト膜に転写する。   A nanoimprint technique is known as a fine pattern processing technique capable of solving these problems. In the nanoimprint technique, a mold on which a nanoscale fine pattern is formed is pressed against a resist film formed on the surface of a transfer substrate, thereby transferring the mold fine pattern onto the resist film.

図1は、ナノインプリント法の一例を示す説明図である。図1Aにおいては、加工対象である無機基材53表面に、モールド51に形成された微細パターン52を押圧して転写している。その後、モールド51を除去することで、図1Bに示すように、無機基材53表面に転写された微細パターン52を得られる。その後、微細パターン52を微細マスクパターンとして無機基材53を加工することにより、図1Cに示すように無機基材53表面に微細凹凸構造を形成することができる。   FIG. 1 is an explanatory diagram showing an example of the nanoimprint method. In FIG. 1A, the fine pattern 52 formed on the mold 51 is pressed and transferred to the surface of the inorganic base material 53 to be processed. Thereafter, by removing the mold 51, as shown in FIG. 1B, a fine pattern 52 transferred to the surface of the inorganic base material 53 can be obtained. Thereafter, by processing the inorganic substrate 53 using the fine pattern 52 as a fine mask pattern, a fine concavo-convex structure can be formed on the surface of the inorganic substrate 53 as shown in FIG. 1C.

無機基材53表面に微細パターン52を転写する際は、微細マスクパターンの幅に影響を与える残膜処理過程を容易にし、精度の高い微細マスクパターンを形成するため、微細パターン52の残膜を薄くする必要がある。微細パターン52の残膜を薄くするためには、微細パターン52を構成する転写材の塗工膜厚を薄くし、かつ、大きな圧力で長時間押圧する必要がある。しかし、転写材の塗工膜厚を薄くすると、無機基材53表面に存在する凹凸やゴミの影響を受けやすくなるばかりでなく、モールド51の微細凹凸構造への転写材の充填不良や、気泡の混入といった問題が生じる。また、長時間押圧すると、スループット性が低下する。さらに、薄い残膜を均質に形成するためには、押圧分布の小さな特殊な装置を使用する必要がある。特に、大面積で均質な薄い残膜を形成することは、非常に困難であることが知られている。このように課題が山積するため、産業上優位とされるナノインプリント法の大面積の転写、簡便さやスループット性というメリットを活かしきれていない。   When the fine pattern 52 is transferred to the surface of the inorganic base material 53, the remaining film of the fine pattern 52 is removed in order to facilitate the remaining film processing process that affects the width of the fine mask pattern and to form a highly accurate fine mask pattern. It needs to be thin. In order to thin the remaining film of the fine pattern 52, it is necessary to reduce the coating film thickness of the transfer material constituting the fine pattern 52 and to press with a large pressure for a long time. However, if the coating film thickness of the transfer material is reduced, it is not only easily affected by unevenness and dust existing on the surface of the inorganic base material 53, but also the transfer material is poorly filled into the fine uneven structure of the mold 51, and air bubbles are generated. The problem of mixing in occurs. Moreover, when it presses for a long time, throughput property will fall. Furthermore, in order to form a thin residual film uniformly, it is necessary to use a special apparatus with a small pressure distribution. In particular, it is known that it is very difficult to form a uniform thin residual film with a large area. Since there are a lot of problems in this way, it has not been able to take advantage of the advantages of nanoimprinting, which is considered to be industrially superior, such as large area transfer, simplicity and throughput.

このような中で、残膜が薄いあるいは残膜が無い微細マスクパターンの形成方法が提案されている(例えば、特許文献1参照)。特許文献1においては、まず、微細凹凸構造を表面に具備した型の微細凹凸構造上にマスク材料の膜を直接製膜する。続いて、マスク材料膜に対し、エッチバックをかけ、残膜を薄くあるいはゼロにする(型の微細凹凸構造上に配置されたマスク材料膜の膜厚を薄くする)。その後、マスク材料上に基材を貼り合わせ、最後に、型側をアッシング処理することで、型の微細構造を排除し、残膜の無い微細マスクパターンを得ている。   Under such circumstances, a method of forming a fine mask pattern with a thin residual film or no residual film has been proposed (for example, see Patent Document 1). In Patent Document 1, first, a film of a mask material is directly formed on a fine concavo-convex structure of a type having a fine concavo-convex structure on the surface. Subsequently, the mask material film is etched back to make the remaining film thin or zero (to reduce the thickness of the mask material film disposed on the fine concavo-convex structure of the mold). Thereafter, a base material is bonded onto the mask material, and finally, the mold side is subjected to an ashing process, thereby eliminating the fine structure of the mold and obtaining a fine mask pattern having no residual film.

特開2011−66273号公報JP 2011-66273 A

しかしながら、特許文献1に記載の微細マスク形成方法においては、残膜の薄い微細マスクパターンを得るまでの総工程数が多く、複雑である。したがって、加工対象となる無機基材表面に、残膜の薄いマスク層を形成するまでのスループット性が良好ではない。また、マスク材料膜全体をエッチバックする必要があるため大面積でのマスク形成が困難である。   However, the fine mask forming method described in Patent Document 1 is complicated because the total number of steps required to obtain a fine mask pattern with a thin remaining film is large. Therefore, the throughput until the thin mask layer of the remaining film is formed on the surface of the inorganic base material to be processed is not good. Further, since it is necessary to etch back the entire mask material film, it is difficult to form a mask with a large area.

本発明は、かかる点に鑑みてなされたものであり、加工対象である基材表面に残膜の薄い微細マスクパターンを精度よく、かつ、容易に形成することができる微細マスク形成用積層体を提供することを目的とする。   The present invention has been made in view of the above points, and a laminated body for forming a fine mask capable of accurately and easily forming a fine mask pattern having a thin residual film on the surface of a base material to be processed. The purpose is to provide.

本発明の微細マスク形成用積層体は、基材と、前記基材の一主面上に設けられ、表面に凹凸構造を有する樹脂層と、前記樹脂層を覆うように設けられたマスク層と、を具備し、厚み方向に沿った断面視における前記凹凸構造の凸部の頂部位置(S)と、前記マスク層の露出する表面位置(Srl)との距離(lrl)が、下記式(1)を満たすことを特徴とする。
0<lrl≦0.1h (1)
(ただし、前記位置(S)と前記凹部底部位置との距離で表される、前記凹凸構造の高さ(深さ)をhとする。)
The laminate for forming a fine mask according to the present invention includes a base material, a resin layer provided on one main surface of the base material and having a concavo-convex structure on the surface, and a mask layer provided to cover the resin layer. The distance (lrl) between the top position (S) of the convex part of the concavo-convex structure in the cross-sectional view along the thickness direction and the surface position (Srl) where the mask layer is exposed is expressed by the following formula (1) ) Is satisfied.
0 <lrl ≦ 0.1h (1)
(However, the height (depth) of the concavo-convex structure represented by the distance between the position (S) and the recess bottom position is assumed to be h.)

この構成によれば、凹凸構造の凹部内部を埋めるようにマスク層が配置され、凹凸構造の凸部上部には非常に薄いマスク層が配置される構成であるため、加工対象である基材に微細マスク形成用積層体を貼り合わせて基材上に微細マスクパターンを転写したときに、残膜が薄い状態にすることができる。したがって、残膜の薄い微細マスクパターンを容易に形成することが可能となる。   According to this configuration, the mask layer is disposed so as to fill the concave portion of the concavo-convex structure, and the very thin mask layer is disposed on the convex portion of the concavo-convex structure. When the laminate for forming a fine mask is bonded and the fine mask pattern is transferred onto the substrate, the remaining film can be made thin. Therefore, a fine mask pattern with a thin residual film can be easily formed.

また、上記微細マスク形成用積層体において、下記式(2)を満たすことが好ましい。
0<lrl≦0.05h (2)
Moreover, in the laminate for forming a fine mask, it is preferable that the following formula (2) is satisfied.
0 <lrl ≦ 0.05h (2)

さらに、上記微細マスク形成用積層体において、前記凹凸構造はピラー形状で構成され、前記凹凸構造の1つの凸部の頂部を形成する面における最長の線分の長さがサブミクロンスケールであってもよい。   Further, in the laminate for forming a fine mask, the concavo-convex structure is formed in a pillar shape, and the length of the longest line segment on the surface forming the top of one convex portion of the concavo-convex structure is a submicron scale. Also good.

さらに、上記微細マスク形成用積層体において、前記凹凸構造の凸部は、凸部頂部の方が凸部底部より面積が小さく、外側面が傾斜する構造であってもよい。   Furthermore, in the laminate for forming a fine mask, the convex portion of the concavo-convex structure may have a structure in which the top portion of the convex portion has a smaller area than the bottom portion of the convex portion and the outer surface is inclined.

さらに、上記微細マスク形成用積層体において、前記凹凸構造はホール形状で構成され、1つのホールの開口淵部と、前記ホールに最近接するホールの開口淵部とをつなぐ最短の線分の長さがサブミクロンスケールであってもよい。   Furthermore, in the laminate for forming a fine mask, the concavo-convex structure is formed in a hole shape, and the length of the shortest line segment connecting the opening flange of one hole and the opening flange of the hole closest to the hole. May be on a submicron scale.

さらに、上記微細マスク形成用積層体において、前記凹凸構造の凹部は、凹部開口部の方が凹部底部より面積が大きく、内側面が傾斜する構造であってもよい。   Furthermore, in the laminate for forming a fine mask, the concave portion of the concavo-convex structure may have a structure in which the concave opening has a larger area than the concave bottom and the inner surface is inclined.

さらに、上記微細マスク形成用積層体において、前記樹脂層が、フッ素含有樹脂で構成されていてもよい。   Furthermore, in the laminate for forming a fine mask, the resin layer may be made of a fluorine-containing resin.

さらに、上記微細マスク形成用積層体において、前記樹脂層の前記位置(S)側領域中の表面フッ素元素濃度(Es)と、前記樹脂層中の平均フッ素濃度(Eb)との比が、下記式(3)を満たしていてもよい。
1<Es/Eb≦30000 (3)
Further, in the laminate for forming a fine mask, the ratio between the surface fluorine element concentration (Es) in the position (S) side region of the resin layer and the average fluorine concentration (Eb) in the resin layer is as follows. Formula (3) may be satisfied.
1 <Es / Eb ≦ 30000 (3)

さらに、上記微細マスク形成用積層体において、前記マスク層が、金属元素を含んでいてもよい。   Furthermore, in the laminate for forming a fine mask, the mask layer may contain a metal element.

さらに、上記微細マスク形成用積層体において、前記マスク層が、ゾルゲル材料を含んでいてもよい。   Furthermore, in the laminate for forming a fine mask, the mask layer may contain a sol-gel material.

本発明によれば、加工対象である基材表面に残膜の薄い微細マスクパターンを精度よく、かつ、容易に形成することができる。   According to the present invention, a fine mask pattern having a thin residual film can be accurately and easily formed on the surface of a base material to be processed.

ナノインプリント法の一例を示す説明図である。It is explanatory drawing which shows an example of the nanoimprint method. 本発明の一実施の形態に係る微細マスク形成用積層体を示す断面模式図である。It is a cross-sectional schematic diagram which shows the laminated body for fine mask formation which concerns on one embodiment of this invention. 微細凹凸構造の凸部または凹部の配列について示す模式図である。It is a schematic diagram shown about the arrangement | sequence of the convex part or recessed part of a fine concavo-convex structure. 微細マスク形成用積層体の作成工程を示す説明図である。It is explanatory drawing which shows the creation process of the laminated body for fine mask formation. 微細マスク形成用積層体の作成工程を示す説明図である。It is explanatory drawing which shows the creation process of the laminated body for fine mask formation. ピラー形状の微細凹凸構造を示す断面模式図である。It is a cross-sectional schematic diagram which shows a pillar-shaped fine uneven structure. ホール形状の微細凹凸構造を示す上面図である。It is a top view which shows a hole-shaped fine uneven structure. 微細マスク形成用積層体を使用した基材の加工工程を示す説明図である。It is explanatory drawing which shows the process process of the base material which uses the laminated body for fine mask formation. 微細マスク形成用積層体を使用した基材の加工工程を示す説明図である。It is explanatory drawing which shows the process process of the base material which uses the laminated body for fine mask formation. 微細マスク形成用積層体を使用した基材の加工工程を示す説明図である。It is explanatory drawing which shows the process process of the base material which uses the laminated body for fine mask formation.

本発明の実施の形態について、以下具体的に説明する。
図2は、本発明の一実施の形態に係る微細マスク形成用積層体1を示す断面模式図である。図2に示すように、微細マスク形成用積層体1は、基材10と、基材10の一主面上に設けられた表面に微細凹凸構造を有する樹脂層11(樹脂モールド)と、樹脂層11を覆うように設けられたマスク層12とを具備する。樹脂層11には、特定方向に延在する単数(例えば、ライン状)または複数(例えば、ドット状)の凸部11aが、特定方向に直交する方向に沿って、互いに所定の間隔を隔てて設けられている。すなわち、凸部11aは、平面視において基材10の全面にわたって複数形成されている。また、凸部11aは、積層体の厚み方向に沿った断面視(直交方向に垂直な断面でみたとき)において、図2に示すように、基材10の主面に対して垂直な方向に突出している。凸部11a間には、凹部11bが形成されている。この凸部11aおよび凹部11bで微細凹凸構造を構成している。
Embodiments of the present invention will be specifically described below.
FIG. 2 is a schematic cross-sectional view showing a laminated body 1 for forming a fine mask according to an embodiment of the present invention. As shown in FIG. 2, the laminate 1 for forming a fine mask includes a base material 10, a resin layer 11 (resin mold) having a fine concavo-convex structure on a surface provided on one main surface of the base material 10, and a resin. And a mask layer 12 provided so as to cover the layer 11. In the resin layer 11, single (for example, line-shaped) or plural (for example, dot-shaped) convex portions 11 a extending in a specific direction are spaced apart from each other along a direction orthogonal to the specific direction. Is provided. That is, a plurality of convex portions 11a are formed over the entire surface of the substrate 10 in plan view. Further, the protrusion 11a is in a direction perpendicular to the main surface of the substrate 10 as shown in FIG. 2 in a cross-sectional view along the thickness direction of the laminate (when viewed in a cross section perpendicular to the orthogonal direction). It protrudes. Concave portions 11b are formed between the convex portions 11a. The convex portion 11a and the concave portion 11b constitute a fine concavo-convex structure.

微細凹凸構造の高さhは、凸部11aの長さ(高さ)または凹部11bの深さを意味する。微細凹凸構造の高さhは、凹部11b底部の位置と凸部11a頂部の位置(後述する位置(S))との最短距離である。微細凹凸構造の開口幅φと高さhとの比率h/φで示されるアスペクト比は、0.5〜2.5の範囲が好ましい。アスペクト比は、耐ドライエッチング性の観点から0.5以上の範囲が好ましく、マスク転写精度の観点から2.5以下の範囲が好ましい。   The height h of the fine concavo-convex structure means the length (height) of the convex portion 11a or the depth of the concave portion 11b. The height h of the fine concavo-convex structure is the shortest distance between the position of the bottom of the recess 11b and the position of the top of the protrusion 11a (position (S) described later). The aspect ratio indicated by the ratio h / φ between the opening width φ and the height h of the fine concavo-convex structure is preferably in the range of 0.5 to 2.5. The aspect ratio is preferably in the range of 0.5 or more from the viewpoint of dry etching resistance, and is preferably in the range of 2.5 or less from the viewpoint of mask transfer accuracy.

図2における位置(S)は、微細凹凸構造の凸部11aの頂部の位置を意味する。なお、微細凹凸構造の高さにバラつきがある場合には、位置(S)は、各凸部11aの頂部位置の面内平均の位置を意味する。平均数としては、10点以上が好ましい。   The position (S) in FIG. 2 means the position of the top of the convex portion 11a of the fine concavo-convex structure. When the height of the fine concavo-convex structure varies, the position (S) means an in-plane average position of the top position of each convex portion 11a. The average number is preferably 10 or more.

図2において、樹脂層11上部を覆うようにマスク層12を設けると、凹部11b内を充填するマスク層12aが形成されるとともに、凸部11aの頂部およびマスク層12aの頂部で構成される平面上にマスク層12bが形成される。マスク層12bは、微細マスク形成用積層体1における残膜にあたる。図2における位置(Srl)は、マスク層12の露出する表面の位置を意味する。   In FIG. 2, when the mask layer 12 is provided so as to cover the upper part of the resin layer 11, a mask layer 12a filling the recess 11b is formed, and a plane constituted by the top of the protrusion 11a and the top of the mask layer 12a. A mask layer 12b is formed thereon. The mask layer 12b corresponds to the remaining film in the fine mask forming laminate 1. The position (Srl) in FIG. 2 means the position of the exposed surface of the mask layer 12.

図2における距離lrlは、位置(S)と位置(Srl)との距離を意味する。すなわち、凸部11a上のマスク層12bの厚さ(残膜の厚さ)を意味する。マスク層12の露出する表面にうねりがある場合には、積層体のある複数の領域において、凸部11aの頂部を含む平面と平行な面が、マスク層12の露出する表面と交わる点をランダムに10点摘出し、前記10点の交点それぞれから凸部11aの頂部を含む平面に対して引いた垂線の長さの加算平均を、距離lrlとする。この距離lrlは、小さい値であるほど好ましい。マスク層12bを除去する残膜処理を等方性エッチングで行ったとすると、除去するマスク層12bの厚みの2倍分だけ、マスク層12bは幅方向に削れる。したがって、距離lrlは、lrl≧0を満たす範囲にあるのが好ましい。また、微細凹凸構造のバラつきや、カバーフィルム表面にミクロンスケールのうねりがあることを考慮すると、均質な塗工性の観点から、lrl≧0.01hがより好ましい。一方、残膜処理終了時におけるマスク幅の観点から、lrl≦0.1hが望ましい。より好ましくは、lrl≦0.05hである。   The distance lrl in FIG. 2 means the distance between the position (S) and the position (Srl). That is, it means the thickness of the mask layer 12b on the convex portion 11a (the thickness of the remaining film). When there is a undulation on the exposed surface of the mask layer 12, the points parallel to the plane including the top of the convex portion 11a intersect the exposed surface of the mask layer 12 in a plurality of regions of the laminate at random. 10 points are extracted, and the average of the lengths of the perpendiculars drawn from the intersections of the 10 points to the plane including the top of the convex portion 11a is defined as a distance lrl. The distance lrl is preferably as small as possible. If the residual film treatment for removing the mask layer 12b is performed by isotropic etching, the mask layer 12b is shaved in the width direction by twice the thickness of the mask layer 12b to be removed. Therefore, the distance lrl is preferably in a range satisfying lrl ≧ 0. Further, in view of the unevenness of the fine concavo-convex structure and the micron-scale undulations on the surface of the cover film, lrl ≧ 0.01 h is more preferable from the viewpoint of uniform coatability. On the other hand, from the viewpoint of the mask width at the end of the remaining film processing, lrl ≦ 0.1h is desirable. More preferably, lrl ≦ 0.05 h.

微細マスク形成用積層体1によって微細マスクパターンを形成する加工対象となる無機基材は、その用途により選定すればよく、特に限定されない。例えば、合成石英や溶融石英に代表される石英、無アルカリガラス、低アルカリガラス、ソーダライムガラスに代表されるガラスや、シリコンウェハ、ニッケル板、サファイア、ダイヤモンド、SiC基板やマイカ基板等が挙げられる。微細マスク形成用積層体1の基材10として、柔軟性のある基材を選定した場合、曲率を持つ外形を有す無機基材(例えば、レンズ形状、円筒・円柱形状、球形状等)を選定することもできる。   The inorganic base material used as the process target which forms a fine mask pattern with the laminated body 1 for fine mask formation should just be selected by the use, and is not specifically limited. Examples include quartz typified by synthetic quartz and fused silica, non-alkali glass, low alkali glass, glass typified by soda lime glass, silicon wafer, nickel plate, sapphire, diamond, SiC substrate, mica substrate, and the like. . When a flexible substrate is selected as the substrate 10 of the laminate 1 for forming a fine mask, an inorganic substrate (for example, a lens shape, a cylindrical / columnar shape, a spherical shape, etc.) having an outer shape with a curvature is selected. It can also be selected.

このような微細マスク形成用積層体1によれば、微細凹凸構造の凹部内部を埋めるようにマスク層12が形成され、微細凹凸構造の凸部上部には非常に薄いマスク層12が形成される構成であるため、加工対象である基材(無機基材)に微細マスク形成用積層体1を貼り合わせて基材上に微細マスクパターンを転写したときに、残膜が薄い状態にすることができ、微細マスクパターンの幅に影響を与える残膜処理過程が非常に容易になる。これにより、精度の高い微細マスクパターンを形成することが可能となる。   According to such a laminated body 1 for forming a fine mask, the mask layer 12 is formed so as to fill the concave portion of the fine concavo-convex structure, and a very thin mask layer 12 is formed on the convex portion of the fine concavo-convex structure. Since it is a structure, when the fine mask formation laminated body 1 is bonded to the base material (inorganic base material) to be processed and the fine mask pattern is transferred onto the base material, the remaining film may be in a thin state. In addition, the remaining film processing process that affects the width of the fine mask pattern becomes very easy. This makes it possible to form a highly accurate fine mask pattern.

以下、微細マスク形成用積層体1の各構成要素の材質等について詳細に説明する。
基材10の材質に関しては特に制限はなく、ガラス、セラミック、金属等の無機材料、プラスチック等の有機材料を問わず使用できる。成形体の用途に応じて、板、シート、フィルム、薄膜、織物、不織布、その他任意の形状およびこれらを複合化したものを使用できるが、屈曲性を有し連続生産性に優れたシート、フィルム、薄膜、織物、不織布等を含むことが特に好ましい。屈曲性を有する材質としては、例えば、ポリメタクリル酸メチル樹脂、ポリカーボネート樹脂、ポリスチレン樹脂、シクロオレフィン樹脂(COP)、架橋ポリエチレン樹脂、ポリ塩化ビニル樹脂、ポリアクリレート樹脂、ポリフェニレンエーテル樹脂、変性ポリフェニレンエーテル樹脂、ポリエーテルイミド樹脂、ポリエーテルサルフォン樹脂、ポリサルフォン樹脂、ポリエーテルケトン樹脂などの非晶性熱可塑性樹脂や、ポリエチレンテレフタレート(PET)樹脂、ポリエチレンナフタレート樹脂、ポリエチレン樹脂、ポリプロピレン樹脂、ポリブチレンテレフタレート樹脂、芳香族ポリエステル樹脂、ポリアセタール樹脂、ポリアミド樹脂などの結晶性熱可塑性樹脂や、アクリル系、エポキシ系、ウレタン系などの紫外線(UV)硬化性樹脂や熱硬化性樹脂が挙げられる。また、紫外線硬化性樹脂や熱硬化性樹脂と、ガラスなどの無機基板、上記熱可塑性樹脂、トリアセテート樹脂とを組み合わせたり、または単独で用いて基材10を構成することもできる。
Hereinafter, the material of each component of the laminated body 1 for forming a fine mask will be described in detail.
There is no restriction | limiting in particular regarding the material of the base material 10, It can use regardless of organic materials, such as inorganic materials, such as glass, a ceramic, a metal, and a plastics. Depending on the application of the molded body, plates, sheets, films, thin films, woven fabrics, non-woven fabrics, and other arbitrary shapes and composites thereof can be used, but they are flexible and have excellent continuous productivity. It is particularly preferable to include a thin film, a woven fabric, a non-woven fabric and the like. Examples of flexible materials include polymethyl methacrylate resin, polycarbonate resin, polystyrene resin, cycloolefin resin (COP), cross-linked polyethylene resin, polyvinyl chloride resin, polyacrylate resin, polyphenylene ether resin, and modified polyphenylene ether resin. Amorphous thermoplastic resins such as polyetherimide resin, polyether sulfone resin, polysulfone resin, polyether ketone resin, polyethylene terephthalate (PET) resin, polyethylene naphthalate resin, polyethylene resin, polypropylene resin, polybutylene terephthalate Crystalline thermoplastic resins such as resins, aromatic polyester resins, polyacetal resins, polyamide resins, and ultraviolet (UV) hard materials such as acrylic, epoxy, and urethane RESIN or thermosetting resins. Further, the base material 10 can be configured by combining an ultraviolet curable resin or a thermosetting resin with an inorganic substrate such as glass, the above thermoplastic resin, or a triacetate resin, or using them alone.

基材10と樹脂層11との接着性を向上させるため、樹脂層11を設ける基材10の一主面上に、樹脂層11との化学結合や、浸透などの物理的結合のための易接着コーティング、プライマー処理、コロナ処理、プラズマ処理、UV/オゾン処理、高エネルギー線照射処理、表面粗化処理、多孔質化処理などを施してもよい。   In order to improve the adhesion between the base material 10 and the resin layer 11, easy bonding for physical bonding such as chemical bonding or penetration with the resin layer 11 on one main surface of the base material 10 on which the resin layer 11 is provided. Adhesive coating, primer treatment, corona treatment, plasma treatment, UV / ozone treatment, high energy ray irradiation treatment, surface roughening treatment, porous treatment, and the like may be performed.

樹脂層11は、転写精度の観点から、ポリジメチルシロキサン(PDMS)からなる樹脂、フッ素含有樹脂で構成されていれば特に限定されないが、フッ素含有樹脂で構成されることがより好ましい。フッ素含有樹脂は、フッ素元素を含有しており、かつ、水に対する接触角が90度より大きければ特に限定されない。ただし、マスク層12を無機基材に転写する際の転写精度の観点から、水に対する接触角は95度以上がより好ましく、100度以上がなお好ましい。   The resin layer 11 is not particularly limited as long as it is made of a resin made of polydimethylsiloxane (PDMS) or a fluorine-containing resin from the viewpoint of transfer accuracy, but it is more preferably made of a fluorine-containing resin. The fluorine-containing resin is not particularly limited as long as it contains elemental fluorine and has a contact angle with water larger than 90 degrees. However, from the viewpoint of transfer accuracy when the mask layer 12 is transferred to the inorganic base material, the contact angle with water is more preferably 95 degrees or more, and still more preferably 100 degrees or more.

また、樹脂層11中の樹脂表面(微細凹凸構造付近)のフッ素濃度(Es)を、樹脂層11中の平均フッ素濃度(Eb)より大きくすることで、樹脂表面は自由エネルギーの低さゆえに転写材樹脂や、マスク層および有機層との離型性に優れ、かつ、ナノメートルサイズの凹凸形状を繰り返し樹脂/樹脂転写できる離型性に優れる樹脂層11が得られると共に、基材10付近では自由エネルギーを高く保つことで、接着性を向上することができる。   Further, by making the fluorine concentration (Es) on the resin surface (near the fine uneven structure) in the resin layer 11 larger than the average fluorine concentration (Eb) in the resin layer 11, the resin surface is transferred because of low free energy. A resin layer 11 having excellent releasability with a material resin, a mask layer and an organic layer, and having excellent releasability capable of repeatedly transferring resin / resin with nanometer-sized uneven shapes can be obtained. Adhesiveness can be improved by keeping the free energy high.

さらに、樹脂層11を構成する樹脂中の平均フッ素元素濃度(Eb)と樹脂層11表面部のフッ素元素濃度(Es)との比が1<Es/Eb≦30000を満たすことで、上記効果をより発揮するためより好ましい。特に、3≦Es/Eb≦1500、10≦Es/Eb≦100の範囲となるにしたがって、より離型性が向上するため好ましい。   Furthermore, when the ratio of the average fluorine element concentration (Eb) in the resin constituting the resin layer 11 and the fluorine element concentration (Es) on the surface portion of the resin layer 11 satisfies 1 <Es / Eb ≦ 30000, the above effect is obtained. It is more preferable because it exhibits more. In particular, it is preferable because the releasability is further improved as the range becomes 3 ≦ Es / Eb ≦ 1500 and 10 ≦ Es / Eb ≦ 100.

なお、上記する最も広い範囲(1<Es/Eb≦30000)の中にあって、20≦Es/Eb≦200の範囲であれば、樹脂層11表面部のフッ素元素濃度(Es)が、樹脂層11中の平均フッ素濃度(Eb)より十分高くなり、樹脂表面の自由エネルギーが効果的に減少するので、転写材樹脂や、マスク層および有機層との離型性が向上する。また、樹脂層11中の平均フッ素元素濃度(Eb)を樹脂層11表面部のフッ素元素濃度(Es)に対して相対的に低くすることにより、樹脂自体の強度が向上すると共に、樹脂層11中における基材10付近では、自由エネルギーを高く保つことができるので、基材10との密着性が向上する。これにより、基材10との密着性に優れ、マスク層12との離型性に優れ、しかも、ナノメートルサイズの凹凸形状を樹脂から樹脂へ繰り返し転写できる樹脂層11を得ることができるので特に好ましい。また、26≦Es/Eb≦189の範囲であれば、樹脂層11表面の自由エネルギーをより低くすることができ、繰り返し転写性が良好になるため好ましい。さらに、30≦Es/Eb≦160の範囲であれば、樹脂層11表面の自由エネルギーを減少させると共に、樹脂の強度を維持することができ、繰り返し転写性がより向上するため好ましく、31≦Es/Eb≦155であればより好ましい。46≦Es/Eb≦155であれば、上記効果をより一層発現できるため好ましい。   In the widest range (1 <Es / Eb ≦ 30000) described above and within the range of 20 ≦ Es / Eb ≦ 200, the fluorine element concentration (Es) on the surface portion of the resin layer 11 is a resin. Since it becomes sufficiently higher than the average fluorine concentration (Eb) in the layer 11 and the free energy on the resin surface is effectively reduced, the releasability from the transfer material resin, the mask layer and the organic layer is improved. Further, by reducing the average fluorine element concentration (Eb) in the resin layer 11 relative to the fluorine element concentration (Es) on the surface portion of the resin layer 11, the strength of the resin itself is improved and the resin layer 11. Since the free energy can be kept high in the vicinity of the base material 10 in the inside, adhesion with the base material 10 is improved. As a result, it is possible to obtain a resin layer 11 that has excellent adhesion to the substrate 10, excellent releasability with the mask layer 12, and can repeatedly transfer nanometer-sized uneven shapes from resin to resin. preferable. Moreover, if it is the range of 26 <= Es / Eb <= 189, since the free energy of the resin layer 11 surface can be made lower and a repetitive transfer property becomes favorable, it is preferable. Further, if it is in the range of 30 ≦ Es / Eb ≦ 160, the free energy on the surface of the resin layer 11 can be reduced, the strength of the resin can be maintained, and repeated transferability is further improved, and preferably 31 ≦ Es. More preferably, / Eb ≦ 155. If 46 ≦ Es / Eb ≦ 155, the above effect can be further exhibited, which is preferable.

樹脂層11を構成する樹脂のうち、光重合可能なラジカル重合系の樹脂としては、非フッ素含有の(メタ)アクリレート、フッ素含有(メタ)アクリレートおよび光重合開始剤の混合物である硬化性樹脂組成物を用いることが好ましい。この硬化性樹脂組成物を用いることで、表面自由エネルギーの低い疎水性界面などに該組成物を接触させた状態で上記混合物を硬化させると、樹脂層11表面部のフッ素元素濃度(Es)を、樹脂層11を構成する樹脂中の平均フッ素元素濃度(Eb)より大きくでき、さらには樹脂中の平均フッ素元素濃度(Eb)をより小さくするように調整することができる。   Among the resins constituting the resin layer 11, the photopolymerizable radical polymerization resin is a curable resin composition that is a mixture of non-fluorine-containing (meth) acrylate, fluorine-containing (meth) acrylate, and a photopolymerization initiator. It is preferable to use a product. By using this curable resin composition, when the mixture is cured in a state where the composition is in contact with a hydrophobic interface having a low surface free energy, the fluorine element concentration (Es) on the surface portion of the resin layer 11 is reduced. The average fluorine element concentration (Eb) in the resin constituting the resin layer 11 can be made larger, and further, the average fluorine element concentration (Eb) in the resin can be adjusted to be smaller.

(A)(メタ)アクリレート
(メタ)アクリレートとしては、後述する(B)フッ素含有(メタ)アクリレート以外の重合性モノマーであれば制限はないが、アクリロイル基またはメタクリロイル基を有するモノマー、ビニル基を有するモノマー、アリル基を有するモノマーが好ましく、アクリロイル基またはメタクリロイル基を有するモノマーがより好ましい。そして、それらは非フッ素含有のモノマーであることが好ましい。なお、(メタ)アクリレートはアクリレートまたはメタアクリレートを意味する。
(A) (Meth) acrylate The (meth) acrylate is not limited as long as it is a polymerizable monomer other than the (B) fluorine-containing (meth) acrylate described later, but a monomer having an acryloyl group or a methacryloyl group, a vinyl group. And a monomer having an allyl group are preferred, and a monomer having an acryloyl group or a methacryloyl group is more preferred. And it is preferable that they are non-fluorine containing monomers. In addition, (meth) acrylate means an acrylate or a methacrylate.

また、重合性モノマーとしては、重合性基を複数具備した多官能性モノマーであることが好ましく、重合性基の数は、重合性に優れることから1〜4の整数が好ましい。また、2種類以上の重合性モノマーを混合して用いる場合、重合性基の平均数は1〜3が好ましい。単一モノマーを使用する場合は、重合反応後の架橋点を増やし、硬化物の物理的安定性(強度、耐熱性等)を得るため、重合性基の数が3以上のモノマーであることが好ましい。また、重合性基の数が1または2であるモノマーの場合、重合性数の異なるモノマーと併用して使用することが好ましい。   The polymerizable monomer is preferably a polyfunctional monomer having a plurality of polymerizable groups, and the number of polymerizable groups is preferably an integer of 1 to 4 because of excellent polymerizability. Moreover, when mixing and using 2 or more types of polymerizable monomers, the average number of polymeric groups has 1-3. When a single monomer is used, the number of polymerizable groups may be 3 or more in order to increase the crosslinking point after the polymerization reaction and to obtain physical stability (strength, heat resistance, etc.) of the cured product. preferable. In the case of a monomer having 1 or 2 polymerizable groups, it is preferably used in combination with monomers having different polymerizable numbers.

(メタ)アクリレートモノマーの具体例としては、下記の化合物が挙げられる。アクリロイル基またはメタクリロイル基を有するモノマーとしては、(メタ)アクリル酸、芳香族系の(メタ)アクリレート[フェノキシエチルアクリレート、ベンジルアクリレート等。]、炭化水素系の(メタ)アクリレート[ステアリルアクリレート、ラウリルアクリレート、2−エチルヘキシルアクリレート、アリルアクリレート、1,3−ブタンジオールジアクリレート、1,4−ブタンジオールジアクリレート、1,6−ヘキサンジオールジアクリレート、トリメチロールプロパントリアクリレート、ペンタアエリスリトールトリアクリレート、ジペンタエリスリトールヘキサアクリレート等。]、エーテル性酸素原子を含む炭化水素系の(メタ)アクリレート[エトキシエチルアクリレート、メトキシエチルアクリレート、グリシジルアクリレート、テトラヒドロフルフリールアクリレート、ジエチレングリコールジアクリレート、ネオペンチルグリコールジアクリレート、ポリオキシエチレングリコールジアクリレート、トリプロピレングリコールジアクリレート等。]、官能基を含む炭化水素系の(メタ)アクリレート[2−ヒドロキシエチルアクリレート、2−ヒドロキシプロピルアクリレート、4−ヒドロキシブチルビニルエーテル、N,N−ジエチルアミノエチルアクリレート、N,N−ジメチルアミノエチルアクリレート、N−ビニルピロリドン、ジメチルアミノエチルメタクリレート等。]、シリコーン系のアクリレート等。他には、EO変性グリセロールトリ(メタ)アクリレート、ECH変性グリセロールトリ(メタ)アクリレート、PO変性グリセロールトリ(メタ)アクリレート、ペンタエリスリトールトリアクリレート、EO変性リン酸トリアクリレート、トリメチロールプロパントリ(メタ)アクリレート、カプロラクトン変性トリメチロールプロパントリ(メタ)アクリレート、PO変性トリメチロールプロパントリ(メタ)アクリレート、トリス(アクリロキシエチル)イソシアヌレート、EO変性トリメチロールプロパントリ(メタ)アクリレート、ジペンタエリスリトールヘキサ(メタ)アクリレート、カプロラクトン変性ジペンタエリスリトールヘキサ(メタ)アクリレート、ジペンタエリスリトールヒドロキシペンタ(メタ)アクリレート、アルキル変性ジペンタエリスリトールペンタ(メタ)アクリレート、ジペンタエリスリトールポリ(メタ)アクリレート、ジトリメチロールプロパンテトラ(メタ)アクリレート、アルキル変性ジペンタエリスリトールトリ(メタ)アクリレート、ペンタエリスリトールエトキシテトラ(メタ)アクリレート、ペンタエリスリトールテトラ(メタ)アクリレート、ジエチレングリコールモノエチルエーテル(メタ)アクリレート、ジメチロールジシクロペンタンジ(メタ)アクリレート、ジ(メタ)アクリル化イソシアヌレート、1,3−ブチレングリコールジ(メタ)アクリレート、1,4−ブタンジオールジ(メタ)アクリレート、EO変性1,6−ヘキサンジオールジ(メタ)アクリレート、ECH変性1,6−ヘキサンジオールジ(メタ)アクリレート、アリロキシポリエチレングリコールアクリレート、1,9−ノナンジオールジ(メタ)アクリレート、EO変性ビスフェノールAジ(メタ)アクリレート、PO変性ビスフェノールAジ(メタ)アクリレート、変性ビスフェノールAジ(メタ)アクリレート、EO変性ビスフェノールFジ(メタ)アクリレート、ECH変性ヘキサヒドロフタル酸ジアクリレート、ネオペンチルグリコールジ(メタ)アクリレート、ヒドロキシピバリン酸ネオペンチルグリコールジ(メタ)アクリレート、EO変性ネオペンチルグリコールジアクリレート、PO変性ネオペンチルグリコールジアクリレート、カプロラクトン変性ヒドロキシピバリン酸エステルネオペンチルグリコール、ステアリン酸変性ペンタエリスリトールジ(メタ)アクリレート、ECH変性プロピレングリコールジ(メタ)アクリレート、ECH変性フタル酸ジ(メタ)アクリレート、ポリ(エチレングリコール−テトラメチレングリコール)ジ(メタ)アクリレート、ポリ(プロピレングリコール−テトラメチレングリコール)ジ(メタ)アクリレート、ポリプロピレングリコールジ(メタ)アクリレート、シリコーンジ(メタ)アクリレート、テトラエチレングリコールジ(メタ)アクリレート、トリエチレングリコールジ(メタ)アクリレート、ポリエステル(ジ)アクリレート、ポリエチレングリコールジ(メタ)アクリレート、ジメチロールトリシクロデカンジ(メタ)アクリレート、ネオペンチルグリコール変性トリメチロールプロパンジ(メタ)アクリレート、ジプロピレングリコールジ(メタ)アクリレート、トリプロピレングリコールジ(メタ)アクリレート、トリグリセロールジ(メタ)アクリレート、EO変性トリプロピレングリコールジ(メタ)アクリレート、ジビニルエチレン尿素、ジビニルプロピレン尿素、2−エチル−2−ブチルプロパンジオールアクリレート、2−エチルヘキシル(メタ)アクリレート、2−エチルヘキシルカルビトール(メタ)アクリレート、2−ヒドロキシエチル(メタ)アクリレート、2−ヒドロキシプロピル(メタ)アクリレート、2−ヒドロキシブチル(メタ)アクリレート、2−メトキシエチル(メタ)アクリレート、3−メトキシブチル(メタ)アクリレート、4−ヒドロキシブチル(メタ)アクリレート、アクリル酸ダイマー、ベンジル(メタ)アクリレート、ブタンジオールモノ(メタ)アクリレート、ブトキシエチル(メタ)アクリレート、ブチル(メタ)アクリレート、セチル(メタ)アクリレート、EO変性クレゾール(メタ)アクリレート、エトキシ化フェニル(メタ)アクリレート、エチル(メタ)アクリレート、ジプロピレングリコール(メタ)アクリレート、イソアミル(メタ)アクリレート、イソブチル(メタ)アクリレート、イソオクチル(メタ)アクリレート、シクロヘキシル(メタ)アクリレート、ジシクロペンタニル(メタ)アクリレート、イソボルニル(メタ)アクリレート、ジシクロペンタニルオキシエチル(メタ)アクリレート、イソミリスチル(メタ)アクリレート、ラウリル(メタ)アクリレート、メトキシジプロピレングリコール(メタ)アクリレート、メトキシポリエチレングリコール(メタ)アクリレート、メトキシトリエチレングリコール(メタ)アクリレート、メチル(メタ)アクリレート、メトキシトリプロピレングリコール(メタ)アクリレート、ネオペンチルグリコールベンゾエート(メタ)アクリレート、ノニルフェノキシポリエチレングリコール(メタ)アクリレート、ノニルフェノキシポリプロピレングリコール(メタ)アクリレート、オクチル(メタ)アクリレート、パラクミルフェノキシエチレングリコール(メタ)アクリレート、ECH変性フェノキシアクリレート、フェノキシジエチレングリコール(メタ)アクリレート、フェノキシヘキサエチレングリコール(メタ)アクリレート、フェノキシテトラエチレングリコール(メタ)アクリレート、フェノキシエチル(メタ)アクリレート、ポリエチレングリコール(メタ)アクリレート、ポリエチレングリコール−ポリプロピレングリコール(メタ)アクリレート、ポリプロピレングリコール(メタ)アクリレート、ステアリル(メタ)アクリレート、EO変性コハク酸(メタ)アクリレート、tert−ブチル(メタ)アクリレート、トリブロモフェニル(メタ)アクリレート、EO変性トリブロモフェニル(メタ)アクリレート、トリドデシル(メタ)アクリレート、イソシアヌル酸EO変性ジ及びトリアクリレート、ε―カプロラクトン変性トリス(アクロキシエチル)イソシアヌレート、ジトリメチロールプロパンテトラアクリレート等が挙げられる。アリル基を有するモノマーとしては、p−イソプロペニルフェノール、ビニル基を有するモノマーとしては、スチレン、α−メチルスチレン、アクリロニトリル、ビニルカルバゾール等が挙げられる。なお、EO変性とはエチレンオキシド変性をECH変性とはエピクロロヒドリン変性を、PO変性とはプロピレンオキシド変性を意味する。   Specific examples of the (meth) acrylate monomer include the following compounds. Examples of the monomer having an acryloyl group or a methacryloyl group include (meth) acrylic acid, aromatic (meth) acrylate [phenoxyethyl acrylate, benzyl acrylate, and the like. ], Hydrocarbon-based (meth) acrylate [stearyl acrylate, lauryl acrylate, 2-ethylhexyl acrylate, allyl acrylate, 1,3-butanediol diacrylate, 1,4-butanediol diacrylate, 1,6-hexanediol di Acrylate, trimethylolpropane triacrylate, pentaaerythritol triacrylate, dipentaerythritol hexaacrylate and the like. ], Hydrocarbon-based (meth) acrylates containing etheric oxygen atoms [ethoxyethyl acrylate, methoxyethyl acrylate, glycidyl acrylate, tetrahydrofurfryl acrylate, diethylene glycol diacrylate, neopentyl glycol diacrylate, polyoxyethylene glycol diacrylate , Tripropylene glycol diacrylate and the like. ], A hydrocarbon-based (meth) acrylate [2-hydroxyethyl acrylate, 2-hydroxypropyl acrylate, 4-hydroxybutyl vinyl ether, N, N-diethylaminoethyl acrylate, N, N-dimethylaminoethyl acrylate, N-vinyl pyrrolidone, dimethylaminoethyl methacrylate, etc. ], Silicone-based acrylates, and the like. Others include EO-modified glycerol tri (meth) acrylate, ECH-modified glycerol tri (meth) acrylate, PO-modified glycerol tri (meth) acrylate, pentaerythritol triacrylate, EO-modified phosphate triacrylate, trimethylolpropane tri (meth) Acrylate, caprolactone-modified trimethylolpropane tri (meth) acrylate, PO-modified trimethylolpropane tri (meth) acrylate, tris (acryloxyethyl) isocyanurate, EO-modified trimethylolpropane tri (meth) acrylate, dipentaerythritol hexa (meta) ) Acrylate, caprolactone-modified dipentaerythritol hexa (meth) acrylate, dipentaerythritol hydroxypenta (meth) acrylate Alkyl modified dipentaerythritol penta (meth) acrylate, dipentaerythritol poly (meth) acrylate, ditrimethylolpropane tetra (meth) acrylate, alkyl modified dipentaerythritol tri (meth) acrylate, pentaerythritol ethoxytetra (meth) acrylate, penta Erythritol tetra (meth) acrylate, diethylene glycol monoethyl ether (meth) acrylate, dimethylol dicyclopentane di (meth) acrylate, di (meth) acrylated isocyanurate, 1,3-butylene glycol di (meth) acrylate, 1, 4-butanediol di (meth) acrylate, EO-modified 1,6-hexanediol di (meth) acrylate, ECH-modified 1,6-hexanediol di (Meth) acrylate, allyloxypolyethylene glycol acrylate, 1,9-nonanediol di (meth) acrylate, EO modified bisphenol A di (meth) acrylate, PO modified bisphenol A di (meth) acrylate, modified bisphenol A di (meth) acrylate , EO-modified bisphenol F di (meth) acrylate, ECH-modified hexahydrophthalic acid diacrylate, neopentyl glycol di (meth) acrylate, hydroxypivalic acid neopentyl glycol di (meth) acrylate, EO-modified neopentyl glycol diacrylate, PO Modified neopentyl glycol diacrylate, caprolactone modified hydroxypivalate ester neopentyl glycol, stearic acid modified pentaerythritol di (meth) Acrylate, ECH-modified propylene glycol di (meth) acrylate, ECH-modified phthalic acid di (meth) acrylate, poly (ethylene glycol-tetramethylene glycol) di (meth) acrylate, poly (propylene glycol-tetramethylene glycol) di (meth) Acrylate, polypropylene glycol di (meth) acrylate, silicone di (meth) acrylate, tetraethylene glycol di (meth) acrylate, triethylene glycol di (meth) acrylate, polyester (di) acrylate, polyethylene glycol di (meth) acrylate, di Methylol tricyclodecane di (meth) acrylate, neopentyl glycol modified trimethylol propane di (meth) acrylate, dipropylene glycol di (Meth) acrylate, tripropylene glycol di (meth) acrylate, triglycerol di (meth) acrylate, EO-modified tripropylene glycol di (meth) acrylate, divinylethyleneurea, divinylpropyleneurea, 2-ethyl-2-butylpropanediol acrylate 2-ethylhexyl (meth) acrylate, 2-ethylhexyl carbitol (meth) acrylate, 2-hydroxyethyl (meth) acrylate, 2-hydroxypropyl (meth) acrylate, 2-hydroxybutyl (meth) acrylate, 2-methoxyethyl (Meth) acrylate, 3-methoxybutyl (meth) acrylate, 4-hydroxybutyl (meth) acrylate, acrylic acid dimer, benzyl (meth) acrylate, butanedio Rumono (meth) acrylate, butoxyethyl (meth) acrylate, butyl (meth) acrylate, cetyl (meth) acrylate, EO-modified cresol (meth) acrylate, ethoxylated phenyl (meth) acrylate, ethyl (meth) acrylate, dipropylene glycol (Meth) acrylate, isoamyl (meth) acrylate, isobutyl (meth) acrylate, isooctyl (meth) acrylate, cyclohexyl (meth) acrylate, dicyclopentanyl (meth) acrylate, isobornyl (meth) acrylate, dicyclopentanyloxyethyl (Meth) acrylate, isomyristyl (meth) acrylate, lauryl (meth) acrylate, methoxydipropylene glycol (meth) acrylate, methoxypolyethylene Lenglycol (meth) acrylate, methoxytriethylene glycol (meth) acrylate, methyl (meth) acrylate, methoxytripropylene glycol (meth) acrylate, neopentyl glycol benzoate (meth) acrylate, nonylphenoxypolyethylene glycol (meth) acrylate, nonyl Phenoxy polypropylene glycol (meth) acrylate, octyl (meth) acrylate, paracumylphenoxyethylene glycol (meth) acrylate, ECH modified phenoxy acrylate, phenoxydiethylene glycol (meth) acrylate, phenoxyhexaethylene glycol (meth) acrylate, phenoxytetraethylene glycol ( (Meth) acrylate, phenoxyethyl (meth) acryl , Polyethylene glycol (meth) acrylate, polyethylene glycol-polypropylene glycol (meth) acrylate, polypropylene glycol (meth) acrylate, stearyl (meth) acrylate, EO-modified succinic acid (meth) acrylate, tert-butyl (meth) acrylate, Tribromophenyl (meth) acrylate, EO-modified tribromophenyl (meth) acrylate, tridodecyl (meth) acrylate, isocyanuric acid EO-modified di- and triacrylate, ε-caprolactone-modified tris (acryloxyethyl) isocyanurate, ditrimethylolpropane tetra An acrylate etc. are mentioned. Examples of the monomer having an allyl group include p-isopropenylphenol, and examples of the monomer having a vinyl group include styrene, α-methylstyrene, acrylonitrile, and vinylcarbazole. Here, EO modification means ethylene oxide modification, ECH modification means epichlorohydrin modification, and PO modification means propylene oxide modification.

(B)フッ素含有(メタ)アクリレート
フッ素含有(メタ)アクリレートとしては、ポリフルオロアルキレン鎖及び/又はペルフルオロ(ポリオキシアルキレン)鎖と、重合性基とを有することが好ましく、直鎖状ペルフルオロアルキレン基、または炭素原子−炭素原子間にエーテル性酸素原子が挿入されかつトリフルオロメチル基を側鎖に有するペルフルオロオキシアルキレン基がさらに好ましい。また、トリフルオロメチル基を分子側鎖または分子構造末端に有する直鎖状のポリフルオロアルキレン鎖及び/又は直鎖状のペルフルオロ(ポリオキシアルキレン)鎖が特に好ましい。
(B) Fluorine-containing (meth) acrylate The fluorine-containing (meth) acrylate preferably has a polyfluoroalkylene chain and / or perfluoro (polyoxyalkylene) chain and a polymerizable group, and is a linear perfluoroalkylene group. Or a perfluorooxyalkylene group having an etheric oxygen atom inserted between carbon atoms and a carbon atom and having a trifluoromethyl group in the side chain. Moreover, a linear polyfluoroalkylene chain having a trifluoromethyl group at the molecular side chain or molecular structure terminal and / or a linear perfluoro (polyoxyalkylene) chain is particularly preferred.

ポリフルオロアルキレン鎖は、炭素数2〜炭素数24のポリフルオロアルキレン基が好ましい。また、ポリフルオロアルキレン基は、官能基を有していてもよい。   The polyfluoroalkylene chain is preferably a polyfluoroalkylene group having 2 to 24 carbon atoms. Moreover, the polyfluoroalkylene group may have a functional group.

ペルフルオロ(ポリオキシアルキレン)鎖は、(CFCFO)単位、(CFCF(CF)O)単位、(CFCFCFO)単位および(CFO)単位からなる群から選ばれた1種以上のペルフルオロ(オキシアルキレン)単位からなることが好ましく、(CFCFO)単位、(CFCF(CF)O)単位、又は(CFCFCFO)単位からなることがより好ましい。ペルフルオロ(ポリオキシアルキレン)鎖は、含フッ素重合体の物性(耐熱性、耐酸性等)が優れることから、(CFCFO)単位からなることが特に好ましい。ペルフルオロ(オキシアルキレン)単位の数は、含フッ素重合体の離型性と硬度が高いことから、2〜200の整数が好ましく、2〜50の整数がより好ましい。 The perfluoro (polyoxyalkylene) chain is a group consisting of (CF 2 CF 2 O) units, (CF 2 CF (CF 3 ) O) units, (CF 2 CF 2 CF 2 O) units and (CF 2 O) units. It is preferably composed of one or more perfluoro (oxyalkylene) units selected from: (CF 2 CF 2 O) units, (CF 2 CF (CF 3 ) O) units, or (CF 2 CF 2 CF 2 O). ) Units. The perfluoro (polyoxyalkylene) chain is particularly preferably composed of (CF 2 CF 2 O) units because the physical properties (heat resistance, acid resistance, etc.) of the fluoropolymer are excellent. The number of perfluoro (oxyalkylene) units is preferably an integer of 2 to 200, more preferably an integer of 2 to 50, because the release property and hardness of the fluoropolymer are high.

重合性基としては、ビニル基、アリル基、アクリロイル基、メタクリロイル基、エポキシ基、ジオキタセン基、シアノ基、イソシアネート基または式−(CH)aSi(M1)3−b(M2)で表される加水分解性シリル基が好ましく、アクリロイル基またはメタクリロイル基がより好ましい。ここで、M1は加水分解反応により水酸基に変換される置換基である。このような置換基としては、ハロゲン原子、アルコキシ基、アシロキシ基等が挙げられる。ハロゲン原子としては、塩素原子が好ましい。アルコキシ基としては、メトキシ基またはエトキシ基が好ましく、メトキシ基がより好ましい。M1としては、アルコキシ基が好ましく、メトキシ基がより好ましい。M2は、1価の炭化水素基である。M2としては、アルキル基、1以上のアリール基で置換されたアルキル基、アルケニル基、アルキニル基、シクロアルキル基、アリール基等が挙げられ、アルキル基またはアルケニル基が好ましい。M2がアルキル基である場合、炭素数1〜炭素数4のアルキル基が好ましく、メチル基またはエチル基がより好ましい。M2がアルケニル基である場合、炭素数2〜炭素数4のアルケニル基が好ましく、ビニル基またはアリル基がより好ましい。aは1〜3の整数であり、3が好ましい。bは0または1〜3の整数であり、0が好ましい。加水分解性シリル基としては、(CHO)SiCH−、(CHCHO)SiCH−、(CHO)Si(CH−または(CHCHO)Si(CH−が好ましい。 The polymerizable group is represented by a vinyl group, an allyl group, an acryloyl group, a methacryloyl group, an epoxy group, a diquitacene group, a cyano group, an isocyanate group, or a formula — (CH 2 ) aSi (M1) 3-b (M2) b. A hydrolyzable silyl group is preferable, and an acryloyl group or a methacryloyl group is more preferable. Here, M1 is a substituent which is converted into a hydroxyl group by a hydrolysis reaction. Examples of such a substituent include a halogen atom, an alkoxy group, and an acyloxy group. As the halogen atom, a chlorine atom is preferable. As an alkoxy group, a methoxy group or an ethoxy group is preferable, and a methoxy group is more preferable. As M1, an alkoxy group is preferable, and a methoxy group is more preferable. M2 is a monovalent hydrocarbon group. Examples of M2 include an alkyl group, an alkyl group substituted with one or more aryl groups, an alkenyl group, an alkynyl group, a cycloalkyl group, and an aryl group, and an alkyl group or an alkenyl group is preferable. When M2 is an alkyl group, an alkyl group having 1 to 4 carbon atoms is preferable, and a methyl group or an ethyl group is more preferable. When M2 is an alkenyl group, an alkenyl group having 2 to 4 carbon atoms is preferable, and a vinyl group or an allyl group is more preferable. a is an integer of 1 to 3, and 3 is preferable. b is 0 or an integer of 1 to 3, and 0 is preferable. Examples of the hydrolyzable silyl group include (CH 3 O) 3 SiCH 2 —, (CH 3 CH 2 O) 3 SiCH 2 —, (CH 3 O) 3 Si (CH 2 ) 3 — or (CH 3 CH 2 O ) 3 Si (CH 2 ) 3 — is preferred.

重合性基の数は、重合性に優れることから1〜4の整数が好ましく、1〜3の整数がより好ましい。2種以上の化合物を用いる場合、重合性基の平均数は1〜3が好ましい。   The number of polymerizable groups is preferably an integer of 1 to 4 and more preferably an integer of 1 to 3 because of excellent polymerizability. When using 2 or more types of compounds, as for the average number of polymeric groups, 1-3 are preferable.

フッ素含有(メタ)アクリレートは、官能基を有すると透明基板との密着性に優れる。官能基としては、カルボキシル基、スルホン酸基、エステル結合を有する官能基、アミド結合を有する官能基、水酸基、アミノ基、シアノ基、ウレタン基、イソシアネート基、イソシアヌル酸誘導体を有する官能基等が挙げられる。特に、カルボキシル基、ウレタン基、イソシアヌル酸誘導体を有する官能基の少なくとも1つの官能基を含むことが好ましい。なお、イソシアヌル酸誘導体には、イソシアヌル酸骨格を有するもので、窒素原子に結合する少なくとも1つの水素原子が他の基で置換されている構造のものが包含される。フッ素含有(メタ)アクリレートとしては、フルオロ(メタ)アクリレート、フルオロジエン等を用いることができる。フッ素含有(メタ)アクリレートの具体例としては、下記の化合物が挙げられる。   When the fluorine-containing (meth) acrylate has a functional group, it has excellent adhesion to the transparent substrate. Examples of the functional group include a carboxyl group, a sulfonic acid group, a functional group having an ester bond, a functional group having an amide bond, a hydroxyl group, an amino group, a cyano group, a urethane group, an isocyanate group, and a functional group having an isocyanuric acid derivative. It is done. In particular, it preferably contains at least one functional group of a functional group having a carboxyl group, a urethane group, or an isocyanuric acid derivative. The isocyanuric acid derivatives include those having an isocyanuric acid skeleton and a structure in which at least one hydrogen atom bonded to the nitrogen atom is substituted with another group. As the fluorine-containing (meth) acrylate, fluoro (meth) acrylate, fluorodiene, or the like can be used. Specific examples of the fluorine-containing (meth) acrylate include the following compounds.

フルオロ(メタ)アクリレートとしては、CH=CHCOO(CH(CF10F、CH=CHCOO(CH(CFF、CH=CHCOO(CH(CFF、CH=C(CH)COO(CH(CF10F、CH=C(CH)COO(CH(CFF、CH=C(CH)COO(CH(CFF、CH=CHCOOCH(CFF、CH=C(CH)COOCH(CFF、CH=CHCOOCH(CFF、CH=C(CH)COOCH(CFF、CH=CHCOOCHCFCFH、CH=CHCOOCH(CFCFH、CH=CHCOOCH(CFCFH、CH=C(CH)COOCH(CFCF)H、CH=C(CH)COOCH(CFCFH、CH=C(CH)COOCH(CFCFH、CH=CHCOOCHCFOCFCFOCF、CH=CHCOOCHCFO(CFCFO)CF、CH=C(CH)COOCHCFOCFCFOCF、CH=C(CH)COOCHCFO(CFCFO)CF、CH=CHCOOCHCF(CF)OCFCF(CF)O(CFF、CH=CHCOOCHCF(CF)O(CFCF(CF)O)(CFF、CH=C(CH)COOCHCF(CF)OCFCF(CF)O(CFF、CH=C(CH)COOCHCF(CF)O(CFCF(CF)O)(CFF、CH=CFCOOCHCH(OH)CH(CFCF(CF、CH=CFCOOCHCH(CHOH)CH(CFCF(CF、CH=CFCOOCHCH(OH)CH(CF10F、CH=CFCOOCHCH(OH)CH(CF10F、CH=CHCOOCHCH(CFCFCHCHOCOCH=CH、CH=C(CH)COOCHCH(CFCFCHCHOCOC(CH)=CH、CH=CHCOOCHCyFCHOCOCH=CH、CH=C(CH)COOCHCyFCHOCOC(CH)=CH等のフルオロ(メタ)アクリレートが挙げられる(但し、CyFはペルフルオロ(1,4−シクロへキシレン基)を示す。)。 The fluoro (meth) acrylate, CH 2 = CHCOO (CH 2 ) 2 (CF 2) 10 F, CH 2 = CHCOO (CH 2) 2 (CF 2) 8 F, CH 2 = CHCOO (CH 2) 2 ( CF 2 ) 6 F, CH 2 ═C (CH 3 ) COO (CH 2 ) 2 (CF 2 ) 10 F, CH 2 ═C (CH 3 ) COO (CH 2 ) 2 (CF 2 ) 8 F, CH 2 = C (CH 3) COO ( CH 2) 2 (CF 2) 6 F, CH 2 = CHCOOCH 2 (CF 2) 6 F, CH 2 = C (CH 3) COOCH 2 (CF 2) 6 F, CH 2 = CHCOOCH 2 (CF 2 ) 7 F, CH 2 = C (CH 3 ) COOCH 2 (CF 2 ) 7 F, CH 2 = CHCOOCH 2 CF 2 CF 2 H, CH 2 = CHCOOCH 2 (CF 2 CF 2) 2 H, CH 2 = CHCOOCH 2 (CF 2 CF 2) 4 H, CH 2 = C (CH 3) COOCH 2 (CF 2 CF 2) H, CH 2 = C (CH 3) COOCH 2 (CF 2 CF 2) 2 H, CH 2 = C (CH 3) COOCH 2 (CF 2 CF 2) 4 H, CH 2 = CHCOOCH 2 CF 2 OCF 2 CF 2 OCF 3, CH 2 = CHCOOCH 2 CF 2 O (CF 2 CF 2 O) 3 CF 3 , CH 2 = C (CH 3) COOCH 2 CF 2 OCF 2 CF 2 OCF 3, CH 2 = C (CH 3) COOCH 2 CF 2 O (CF 2 CF 2 O) 3 CF 3, CH 2 = CHCOOCH 2 CF (CF 3) OCF 2 CF (CF 3) O (CF 2) 3 F, CH 2 = CHCOOCH 2 CF (CF 3) O CF 2 CF (CF 3) O ) 2 (CF 2) 3 F, CH 2 = C (CH 3) COOCH 2 CF (CF 3) OCF 2 CF (CF 3) O (CF 2) 3 F, CH 2 = C (CH 3) COOCH 2 CF (CF 3) O (CF 2 CF (CF 3) O) 2 (CF 2) 3 F, CH 2 = CFCOOCH 2 CH (OH) CH 2 (CF 2) 6 CF (CF 3) 2, CH 2 = CFCOOCH 2 CH (CH 2 OH) CH 2 (CF 2) 6 CF (CF 3) 2, CH 2 = CFCOOCH 2 CH (OH) CH 2 (CF 2) 10 F, CH 2 = CFCOOCH 2 CH (OH) CH 2 (CF 2) 10 F, CH 2 = CHCOOCH 2 CH 2 (CF 2 CF 2) 3 CH 2 CH 2 OCOCH = CH 2, CH 2 = C (CH 3 COOCH 2 CH 2 (CF 2 CF 2) 3 CH 2 CH 2 OCOC (CH 3) = CH 2, CH 2 = CHCOOCH 2 CyFCH 2 OCOCH = CH 2, CH 2 = C (CH 3) COOCH 2 CyFCH 2 OCOC ( Fluoro (meth) acrylates such as CH 3 ) ═CH 2 are mentioned (where CyF represents perfluoro (1,4-cyclohexylene group). ).

フルオロジエンとしては、CF=CFCFCF=CF、CF=CFOCFCF=CF、CF=CFOCFCFCF=CF、CF=CFOCF(CF)CFCF=CF、CF=CFOCFCF(CF)CF=CF、CF=CFOCFOCF=CF、CF=CFOCFCF(CF)OCFCF=CF、CF=CFCFC(OH)(CF)CHCH=CH、CF=CFCFC(OH)(CF)CH=CH、CF=CFCFC(CF)(OCHOCH)CHCH=CH、CF=CFCHC(C(CFOH)(CF)CHCH=CH等のフルオロジエンが挙げられる。 The fluorodiene, CF 2 = CFCF 2 CF = CF 2, CF 2 = CFOCF 2 CF = CF 2, CF 2 = CFOCF 2 CF 2 CF = CF 2, CF 2 = CFOCF (CF 3) CF 2 CF = CF 2 , CF 2 = CFOCF 2 CF (CF 3 ) CF = CF 2 , CF 2 = CFOCF 2 OCF = CF 2 , CF 2 = CFOCF 2 CF (CF 3 ) OCF 2 CF = CF 2 , CF 2 = CFCF 2 C (OH) (CF 3) CH 2 CH = CH 2, CF 2 = CFCF 2 C (OH) (CF 3) CH = CH 2, CF 2 = CFCF 2 C (CF 3) (OCH 2 OCH 3) CH 2 Fluorodienes such as CH═CH 2 , CF 2 ═CFCH 2 C (C (CF 3 ) 2 OH) (CF 3 ) CH 2 CH═CH 2 and the like can be mentioned.

なお、本発明で用いるフッ素含有(メタ)アクリレートは、下記化学式(1)で示されるフッ素含有ウレタン(メタ)アクリレートであると、樹脂中の平均フッ素元素濃度(Eb)を低くした状態で、効果的に樹脂層11表面部のフッ素元素濃度(Es)を高くでき、基材への接着性と離型性を一層効果的に発現できるため、より好ましい。このようなウレタン(メタ)アクリレートとしては、例えば、ダイキン工業社製の「オプツールDAC」を用いることができる。   In addition, when the fluorine-containing (meth) acrylate used in the present invention is a fluorine-containing urethane (meth) acrylate represented by the following chemical formula (1), it is effective in a state where the average fluorine element concentration (Eb) in the resin is lowered. In particular, the fluorine element concentration (Es) on the surface portion of the resin layer 11 can be increased, and adhesiveness to the substrate and releasability can be more effectively expressed, which is more preferable. As such urethane (meth) acrylate, for example, “OPTOOL DAC” manufactured by Daikin Industries, Ltd. can be used.

Figure 2013012673
Figure 2013012673

Figure 2013012673
Figure 2013012673

Figure 2013012673
Figure 2013012673

フッ素含有(メタ)アクリレートは、1種を単独で用いてもよく、2種以上を併用してもよい。また、耐摩耗性、耐傷付き、指紋付着防止、防汚性、レベリング性や撥水撥油性等の表面改質剤との併用もできる。例えば、ネオス社製「フタージェント」(例えば、Mシリーズ:フタージェント251、フタージェント215M、フタージェント250、FTX−245M、FTX−290M;Sシリーズ:FTX−207S、FTX−211S、FTX−220S、FTX−230S;Fシリーズ:FTX−209F、FTX−213F、フタージェント222F、FTX−233F、フタージェント245F;Gシリーズ:フタージェント208G、FTX−218G、FTX−230G、FTS−240G;オリゴマーシリーズ:フタージェント730FM、フタージェント730LM;フタージェントPシリーズ:フタージェント710FL、FTX−710HL等)、DIC社製「メガファック」(例えば、F−114、F−410、F−493、F−494、F−443、F−444、F−445、F−470、F−471、F−474、F−475、F−477、F−479、F−480SF、F−482、F−483、F−489、F−172D、F−178K、F−178RM、MCF−350SF等)、ダイキン社製「オプツールTM」(例えば、DSX、DAC、AES)、「エフトーンTM」(例えば、AT−100)、「ゼッフルTM」(例えば、GH−701)、「ユニダインTM」、「ダイフリーTM」、「オプトエースTM」、住友スリーエム社製「ノベックEGC−1720」、フロロテクノロジー社製「フロロサーフ」等が挙げられる。 A fluorine-containing (meth) acrylate may be used individually by 1 type, and may use 2 or more types together. Further, it can be used in combination with surface modifiers such as abrasion resistance, scratch resistance, fingerprint adhesion prevention, antifouling property, leveling property and water / oil repellency. For example, “Factent” manufactured by Neos Co., Ltd. (for example, M series: Futgent 251, Futgent 215M, Futgent 250, FTX-245M, FTX-290M; S series: FTX-207S, FTX-211S, FTX-220S, FTX-230S; F Series: FTX-209F, FTX-213F, Footage 222F, FTX-233F, Footage 245F; G Series: Footent 208G, FTX-218G, FTX-230G, FTS-240G; Oligomer Series: Footer Gent 730FM, tergent 730LM; tergent P series: tergent 710FL, FTX-710HL, etc.), DIC's "Megafuck" (for example, F-114, F-410, F-49) F-494, F-443, F-444, F-445, F-470, F-471, F-474, F-475, F-477, F-479, F-480SF, F-482, F -483, F-489, F- 172D, F-178K, F-178RM, such as MCF-350SF), Daikin Industries Ltd., "Optool TM" (for example, DSX, DAC, AES), "Efuton TM" (for example, AT -100), “Zeffle ” (for example, GH-701), “Unidyne ”, “Die Free ”, “Optoace ”, “Novec EGC-1720” manufactured by Sumitomo 3M, “Fluorosurf” manufactured by Fluoro Technology Or the like.

フッ素含有(メタ)アクリレートは、分子量Mwが50〜50000であることが好ましく、相溶性の観点から分子量Mwが50〜5000であることが好ましく、分子量Mwが100〜5000であることがより好ましい。相溶性の低い高分子量を使用する際は希釈溶剤を使用しても良い。希釈溶剤としては、単一溶剤の沸点が40℃〜180℃の溶剤が好ましく、60℃〜180℃がより好ましく、60℃〜140℃がさらに好ましい。希釈剤は2種類以上使用もよい。   The fluorine-containing (meth) acrylate preferably has a molecular weight Mw of 50 to 50,000. From the viewpoint of compatibility, the molecular weight Mw is preferably 50 to 5,000, and the molecular weight Mw is more preferably 100 to 5,000. When using a high molecular weight having low compatibility, a diluting solvent may be used. As a dilution solvent, the solvent whose boiling point of a single solvent is 40 to 180 degreeC is preferable, 60 to 180 degreeC is more preferable, and 60 to 140 degreeC is further more preferable. Two or more kinds of diluents may be used.

溶剤含量は、少なくとも硬化性樹脂組成物中で分散する量であればよく、硬化性組成物100重量部に対して0重量部超〜50重量部が好ましい。乾燥後の残存溶剤量を限りなく除去することを配慮すると、0重量部超〜10重量部がより好ましい。   The solvent content should just be the quantity disperse | distributed in a curable resin composition at least, and more than 0 weight part-50 weight part are preferable with respect to 100 weight part of curable compositions. Considering removing the amount of residual solvent after drying as much as possible, more than 0 parts by weight to 10 parts by weight is more preferable.

特に、レベリング性を向上させる為に溶剤を含有する場合は、(メタ)アクリレート100重量部に対して、溶剤含量が0.1重量部以上40重量部以下であれば好ましい。溶剤含量が0.5重量部以上20重量部以下であれば、光重合性混合物の硬化性を維持できるためより好ましく、1重量部以上15重量部以下であれば、さらに好ましい。光重合性混合物の膜厚を薄くする為に溶剤を含有する場合は、(メタ)アクリレート100重量部に対して、溶剤含量が300重量部以上10000重量部以下であれば、塗工後の乾燥工程での溶液安定性を維持できるため好ましく、300重量部以上1000重量部以下であればより好ましい。   In particular, when a solvent is contained in order to improve leveling properties, the solvent content is preferably 0.1 parts by weight or more and 40 parts by weight or less with respect to 100 parts by weight of (meth) acrylate. If the solvent content is 0.5 to 20 parts by weight, the curability of the photopolymerizable mixture can be maintained, and more preferably 1 to 15 parts by weight. When the solvent is contained in order to reduce the film thickness of the photopolymerizable mixture, if the solvent content is 300 parts by weight or more and 10,000 parts by weight or less with respect to 100 parts by weight of (meth) acrylate, drying after coating is performed. Since the solution stability in a process can be maintained, it is preferable and it is more preferable if it is 300 to 1000 weight part.

(C)光重合開始剤
光重合開始剤は、光によりラジカル反応またはイオン反応を引き起こすものであり、ラジカル反応を引き起こす光重合開始剤が好ましい。光重合開始剤としては、下記の光重合開始剤が挙げられる。
(C) Photopolymerization initiator The photopolymerization initiator causes a radical reaction or an ionic reaction by light, and a photopolymerization initiator that causes a radical reaction is preferable. Examples of the photopolymerization initiator include the following photopolymerization initiators.

アセトフェノン系の光重合開始剤:アセトフェノン、p−tert−ブチルトリクロロアセトフェノン、クロロアセトフェノン、2,2−ジエトキシアセトフェノン、ヒドロキシアセトフェノン、2,2−ジメトキシ−2’−フェニルアセトフェノン、2−アミノアセトフェノン、ジアルキルアミノアセトフェノン等。ベンゾイン系の光重合開始剤:ベンジル、ベンゾイン、ベンゾインメチルエーテル、ベンゾインエチルエーテル、ベンゾインイソプロピルエーテル、ベンゾインイソブチルエーテル、1−ヒドロキシシクロヘキシルフェニルケトン、2−ヒドロキシ−2−メチル−1−フェニル−2−メチルプロパン−1−オン、1−(4−イソプロピルフェニル)−2−ヒドロキシ−2−メチルプロパン−1−オン、ベンジルジメチルケタール等。ベンゾフェノン系の光重合開始剤:ベンゾフェノン、ベンゾイル安息香酸、ベンゾイル安息香酸メチル、メチル−o−ベンゾイルベンゾエート、4−フェニルベンゾフェノン、ヒドロキシベンゾフェノン、ヒドロキシプロピルベンゾフェノン、アクリルベンゾフェノン、4,4’−ビス(ジメチルアミノ)ベンゾフェノン、ペルフルオロベンゾフェノン等。チオキサントン系の光重合開始剤:チオキサントン、2−クロロチオキサントン、2−メチルチオキサントン、ジエチルチオキサントン、ジメチルチオキサントン等。アントラキノン系の光重合開始剤:2−メチルアントラキノン、2−エチルアントラキノン、2−tert−ブチルアントラキノン、1−クロロアントラキノン、2−アミルアントラキノン。ケタール系の光重合開始剤:アセトフェノンジメチルケタール、ベンジルジメチルケタール。その他の光重合開始剤:α−アシルオキシムエステル、ベンジル−(o−エトキシカルボニル)−α−モノオキシム、アシルホスフィンオキサイド、グリオキシエステル、3−ケトクマリン、2−エチルアンスラキノン、カンファーキノン、テトラメチルチウラムスルフィド、アゾビスイソブチロニトリル、ベンゾイルペルオキシド、ジアルキルペルオキシド、tert−ブチルペルオキシピバレート等。フッ素原子を有する光重合開始剤:ペルフルオロtert−ブチルペルオキシド、ペルフルオロベンゾイルペルオキシド等、の公知慣用の光重合開始剤を単独で又は2種以上を組み合わせて用いることができる。   Acetophenone-based photopolymerization initiators: acetophenone, p-tert-butyltrichloroacetophenone, chloroacetophenone, 2,2-diethoxyacetophenone, hydroxyacetophenone, 2,2-dimethoxy-2′-phenylacetophenone, 2-aminoacetophenone, dialkyl Aminoacetophenone and the like. Benzoin-based photopolymerization initiators: benzyl, benzoin, benzoin methyl ether, benzoin ethyl ether, benzoin isopropyl ether, benzoin isobutyl ether, 1-hydroxycyclohexyl phenyl ketone, 2-hydroxy-2-methyl-1-phenyl-2-methyl Propan-1-one, 1- (4-isopropylphenyl) -2-hydroxy-2-methylpropan-1-one, benzyldimethyl ketal and the like. Benzophenone-based photopolymerization initiators: benzophenone, benzoylbenzoic acid, methyl benzoylbenzoate, methyl-o-benzoylbenzoate, 4-phenylbenzophenone, hydroxybenzophenone, hydroxypropylbenzophenone, acrylic benzophenone, 4,4'-bis (dimethylamino) ) Benzophenone, perfluorobenzophenone, etc. Thioxanthone photopolymerization initiators: thioxanthone, 2-chlorothioxanthone, 2-methylthioxanthone, diethylthioxanthone, dimethylthioxanthone, and the like. Anthraquinone photopolymerization initiators: 2-methylanthraquinone, 2-ethylanthraquinone, 2-tert-butylanthraquinone, 1-chloroanthraquinone, 2-amylanthraquinone. Ketal photopolymerization initiators: acetophenone dimethyl ketal and benzyl dimethyl ketal. Other photopolymerization initiators: α-acyloxime ester, benzyl- (o-ethoxycarbonyl) -α-monooxime, acylphosphine oxide, glyoxyester, 3-ketocoumarin, 2-ethylanthraquinone, camphorquinone, tetramethylthiuram Sulfide, azobisisobutyronitrile, benzoyl peroxide, dialkyl peroxide, tert-butyl peroxypivalate and the like. Photoinitiators having fluorine atoms: Known and commonly used photoinitiators such as perfluorotert-butyl peroxide and perfluorobenzoyl peroxide can be used alone or in combination of two or more.

光重合性混合物は、光増感剤を含んでいてもよい。光増感剤の具体例としては、n−ブチルアミン、ジ−n−ブチルアミン、トリ−n−ブチルホスフィン、アリルチオ尿素、s−ベンジスイソチウロニウム−p−トルエンスルフィネート、トリエチルアミン、ジエチルアミノエチルメタクリレート、トリエチレンテトラミン、4,4’−ビス(ジアルキルアミノ)ベンゾフェノン、N,N−ジメチルアミノ安息香酸エチルエステル、N,N−ジメチルアミノ安息香酸イソアミルエステル、ペンチル−4−ジメチルアミノベンゾエート、トリエチルアミン、トリエタノールアミンなどのアミン類のような公知慣用の光増感剤の1種あるいは2種以上と組み合わせて用いることができる。   The photopolymerizable mixture may contain a photosensitizer. Specific examples of the photosensitizer include n-butylamine, di-n-butylamine, tri-n-butylphosphine, allylthiourea, s-benzisothiuronium-p-toluenesulfinate, triethylamine, diethylaminoethyl methacrylate. , Triethylenetetramine, 4,4′-bis (dialkylamino) benzophenone, N, N-dimethylaminobenzoic acid ethyl ester, N, N-dimethylaminobenzoic acid isoamyl ester, pentyl-4-dimethylaminobenzoate, triethylamine, tri It can be used in combination with one or more of known and commonly used photosensitizers such as amines such as ethanolamine.

市販されている開始剤の例としては、Ciba社製の「IRGACURE」(例えば、IRGACURE651、184、500、2959、127、754、907、369、379、379EG、819、1800、784、OXE01、OXE02)や「DAROCUR」(例えば、DAROCUR1173、MBF、TPO、4265)等が挙げられる。   Examples of commercially available initiators include “IRGACURE” manufactured by Ciba (for example, IRGACURE651, 184, 500, 2959, 127, 754, 907, 369, 379, 379EG, 819, 1800, 784, OXE01, OXE02 ) And “DAROCUR” (for example, DAROCUR 1173, MBF, TPO, 4265).

光重合開始剤は、1種のみを単独で用いても、2種類以上を併用してもよい。2種類以上併用する場合には、フッ素含有(メタ)アクリレートの分散性、及び光重合性混合物の微細凹凸構造表面部及び内部の硬化性の観点から選択するとよい。例えば、αヒドロキシケトン系光重合開始剤とαアミノケトン系光重合開始剤とを併用することが挙げられる。また、2種類併用する場合の組み合わせとしては、例えば、Ciba社製の「Irgacure」同士、「Irgacure」と「Darocure」の組み合わせとして、Darocure1173とIrgacure819、Irgacure379とIrgacure127、Irgacure819とIrgacure127、Irgacure250とIrgacure127、Irgacure184とIrgacure369、Irgacure184とIrgacure379EG、Irgacure184とIrgacure907、Irgacure127とIrgacure379EG、Irgacure819とIrgacure184、DarocureTPOとIrgacure184などが挙げられる。   A photoinitiator may be used individually by 1 type, or may use 2 or more types together. When using 2 or more types together, it is good to select from a viewpoint of the dispersibility of a fluorine-containing (meth) acrylate, the fine uneven | corrugated structure surface part of a photopolymerizable mixture, and internal curability. For example, the combined use of an α-hydroxyketone photopolymerization initiator and an α-aminoketone photopolymerization initiator can be mentioned. In addition, as a combination in the case of using two types together, for example, “Irgacure” manufactured by Ciba, “Irgacure” and “Darocure” are combined as Darocure 1173 and Irgacure 819, Irgacure 379 and Irgacure 127, Irgacure 8e1 Irgacure 184 and Irgacure 369; Irgacure 184 and Irgacure 379EG; Irgacure 184 and Irgacure 907; Irgacure 127 and Irgacure 379EG;

マスク層12の組成については、特に限定されず、溶剤に希釈可能な種々の公知樹脂(有機物)、無機前駆体、無機縮合体、メッキ液(クロムメッキ液など)まで使用できる。マスク層12は、微細マスク形成用積層体1を使用して、マスクを形成したい基材にマスクを転写する際の転写精度の観点から、光重合可能な光重合性基と熱重合可能な重合性基の両方、またはいずれか一方を含むと特に好ましい。また、マスク層12は、有機物に比べ、原子量が大きく電子密度が高いために、耐エッチング性に優れる金属元素を含むことが好ましい。   The composition of the mask layer 12 is not particularly limited, and various known resins (organic substances) that can be diluted in a solvent, inorganic precursors, inorganic condensates, plating solutions (such as chromium plating solutions) can be used. The mask layer 12 is a polymer that can be thermally polymerized with a photopolymerizable group that can be photopolymerized from the viewpoint of transfer accuracy when the mask is transferred to a substrate on which a mask is to be formed using the laminate 1 for forming a fine mask. It is particularly preferable to include both or one of the sex groups. In addition, the mask layer 12 preferably contains a metal element having excellent etching resistance because it has a larger atomic weight and higher electron density than an organic material.

希釈溶剤としては、特に限定されないが、単一溶剤の沸点が40℃〜200℃の溶剤が好ましく、60℃〜180℃がより好ましく、60℃〜160℃がさらに好ましい。希釈剤は2種類以上を使用してもよい。   Although it does not specifically limit as a dilution solvent, The solvent whose boiling point of a single solvent is 40 to 200 degreeC is preferable, 60 to 180 degreeC is more preferable, and 60 to 160 degreeC is more preferable. Two or more kinds of diluents may be used.

また、溶剤希釈したマスク材料の濃度は、単位面積上に塗工された塗膜の固形分量が、単位面積上(下)に存在する微細凹凸構造の空隙(凹)の体積以上となる濃度であれば、特に限定されない。   The concentration of the solvent-diluted mask material is such that the solid content of the coating applied on the unit area is greater than or equal to the volume of the voids (concaves) of the fine concavo-convex structure existing on the unit area (bottom). If there is, it will not be specifically limited.

マスク層12に含まれる光重合性基としては、アクリロイル基、メタクリロイル基、アクリロキシ基、メタクリロキシ基、アクリル基、メタクリル基、ビニル基、エポキシ基、アリル基、オキセタニル基などが挙げられる。   Examples of the photopolymerizable group contained in the mask layer 12 include an acryloyl group, a methacryloyl group, an acryloxy group, a methacryloxy group, an acryl group, a methacryl group, a vinyl group, an epoxy group, an allyl group, and an oxetanyl group.

また、マスク層12に含まれる金属元素としては、チタン(Ti),ジルコニウム(Zr),クロム(Cr),亜鉛(Zn),スズ(Sn),ホウ素(B),インジウム(In),アルミニウム(Al),シリコン(Si)からなる群から選ばれた少なくとも1種であることが好ましい。特に、チタン(Ti),ジルコニウム(Zr),クロム(Cr),シリコン(Si)であることが好ましい。   Further, as the metal element contained in the mask layer 12, titanium (Ti), zirconium (Zr), chromium (Cr), zinc (Zn), tin (Sn), boron (B), indium (In), aluminum ( It is preferably at least one selected from the group consisting of Al) and silicon (Si). In particular, titanium (Ti), zirconium (Zr), chromium (Cr), and silicon (Si) are preferable.

マスク層12を形成する材料は、ゾルゲル材料を含むことが好ましい。ゾルゲル材料を含むことで、耐ドライエッチング性の良好なマスク層12を樹脂層11の微細凹凸構造内部に充填しやすくなる。マスク層12を構成するマスク材料中に、希釈塗工後の溶剤揮発過程において様態が変化する材料を含むと、材料自体の面積を小さくするというドライビングフォースも同時に働くため、より効果的にマスク材料が凹部内部へと充填される。様態の変化とは、例えば、発熱反応や、粘度の大きくなる変化が挙げられる。例えば、ゾルゲル材料を含むと、溶剤揮発過程で、空気中の水蒸気と反応し、ゾルゲル材料が重縮合する。これにより、ゾルゲル材料のエネルギーが不安定化するため、溶剤乾燥に伴い低下する溶剤液面(溶剤と空気界面)から遠ざかろうとするドライビングフォースが働き、結果、ゾルゲル材料が良好に凹内部へと充填されやすくなる。   The material forming the mask layer 12 preferably includes a sol-gel material. By including the sol-gel material, it becomes easy to fill the fine concavo-convex structure of the resin layer 11 with the mask layer 12 having good dry etching resistance. If the mask material constituting the mask layer 12 includes a material whose state changes in the solvent volatilization process after dilution coating, a driving force for reducing the area of the material itself also works, so that the mask material is more effective. Is filled into the recess. Examples of the change in mode include an exothermic reaction and a change in viscosity. For example, when a sol-gel material is included, it reacts with water vapor in the air during the solvent volatilization process, and the sol-gel material is polycondensed. This destabilizes the energy of the sol-gel material, so that the driving force that moves away from the solvent liquid surface (solvent-air interface) that decreases as the solvent dries works, and as a result, the sol-gel material fills the concave well. It becomes easy to be done.

ゾルゲル材料としては、単一の金属種を持つ金属アルコキシドのみを用いても、異なる金属種を持つ金属アルコキシドを併用してもよいが、金属種M1(ただし、M1は、Ti,Zr,Zn,Sn,B,In,Alからなる群から選択される少なくとも1種の金属元素)を持つ金属アルコキシドと、金属種Siを持つ金属アルコキシドとの、少なくとも2種類の金属アルコキシドを含有することが好ましい。または、マスク材料として、これらのゾルゲル材料と、公知の光重合性樹脂とのハイブリッドも使用できる。   As the sol-gel material, only a metal alkoxide having a single metal species may be used, or a metal alkoxide having a different metal species may be used in combination, but the metal species M1 (where M1 is Ti, Zr, Zn, It is preferable to contain at least two types of metal alkoxides, ie, a metal alkoxide having at least one metal element selected from the group consisting of Sn, B, In, and Al) and a metal alkoxide having the metal type Si. Alternatively, a hybrid of these sol-gel materials and a known photopolymerizable resin can also be used as a mask material.

マスク材料は、ドライエッチング時の物理的破壊を抑制する観点から縮合と光重合の両方、あるいはいずれか一方による硬化後の相分離が小さいことが好ましい。ここで、相分離とは、透過型電子顕微鏡(TEM)のコントラストで確認することが可能である。マスク層12の転写性の観点から、TEMのコントラストより、相分離サイズが20nm以下であることが好ましい。物理的耐久性および、耐ドライエッチング性の観点から、相分離サイズは15nm以下であることが好ましく、10nm以下であると、より好ましい。なお、相分離を抑制する観点から、ゾルゲル材料中に、光重合性基を具備するシランカップリング剤を含むことが好ましい。   The mask material preferably has a small phase separation after curing due to both condensation and / or photopolymerization from the viewpoint of suppressing physical destruction during dry etching. Here, the phase separation can be confirmed by the contrast of a transmission electron microscope (TEM). From the viewpoint of transferability of the mask layer 12, the phase separation size is preferably 20 nm or less from the contrast of the TEM. From the viewpoint of physical durability and dry etching resistance, the phase separation size is preferably 15 nm or less, and more preferably 10 nm or less. From the viewpoint of suppressing phase separation, the sol-gel material preferably contains a silane coupling agent having a photopolymerizable group.

また、マスク層12としての耐ドライエッチング性の観点から、ゾルゲル材料は、金属種の異なる、少なくとも2種類の金属アルコキシドを含むことが好ましい。金属種の異なる2種類の金属アルコキシドの、金属種の組み合わせとしては、例えば、SiとTi,SiとZr,SiとTa等が挙げられる。耐ドライエッチング性の観点から、Siを金属種に持つ金属アルコキシドのモル濃度(CSi)と、Si以外の金属種M1を持つ金属アルコキシド(CM1)との比率CM1/CSiは、0.2〜15であることが好ましい。塗工乾燥時の安定性の観点から、CM1/CSiは0.5〜15であることが好ましい。物理的強度の観点から、CM1/CSiは5〜8であることがより好ましい。   Further, from the viewpoint of dry etching resistance as the mask layer 12, the sol-gel material preferably contains at least two kinds of metal alkoxides having different metal types. Examples of combinations of metal species of two types of metal alkoxides having different metal species include Si and Ti, Si and Zr, and Si and Ta. From the viewpoint of dry etching resistance, the ratio CM1 / CSi between the molar concentration (CSi) of the metal alkoxide having Si as a metal species and the metal alkoxide (CM1) having a metal species M1 other than Si is 0.2-15. It is preferable that From the viewpoint of stability during coating and drying, CM1 / CSi is preferably 0.5 to 15. From the viewpoint of physical strength, CM1 / CSi is more preferably 5-8.

マスク層12は、マスク層12の転写精度と耐ドライエッチング性の観点から、無機のセグメントと有機のセグメントを含むハイブリッドであることが好ましい。ハイブリッドとしては、例えば、無機微粒子と、光重合(あるいは熱重合)可能な樹脂の組み合わせや、無機前駆体と光重合(あるいは熱重合)可能な樹脂、や、有機ポリマーと無機セグメントが共有結合にて結合した分子、等が挙げられる。無機前駆体としてゾルゲル材料を使用する場合は、シランカップリング剤を含むゾルゲル材料の他に、光重合可能な樹脂を含むことを意味する。ハイブリッドの場合、例えば、金属アルコキシド、光重合性基を具備したシランカップリング材、ラジカル重合系樹脂などを混合することができる。より転写精度を高めるために、これらにシリコーンを添加してもよい。また、ドライエッチング耐性を向上させるために、ゾルゲル材料部分は、予め予備縮合を行ってもよい。シランカップリング剤を含む金属アルコキシドと、光重合性樹脂の混合比率は、耐ドライエッチング性と転写精度の観点から、3:7〜7:3の範囲が好ましい。より好ましくは、3.5:6.5〜6.5:3.5の範囲である。ハイブリッドに使用する樹脂は、光重合可能であれば、ラジカル重合系でも、カチオン重合系でも特に限定されない。   The mask layer 12 is preferably a hybrid including an inorganic segment and an organic segment from the viewpoint of transfer accuracy of the mask layer 12 and dry etching resistance. Hybrids include, for example, a combination of inorganic fine particles and a resin that can be photopolymerized (or thermally polymerized), a resin that can be photopolymerized (or thermally polymerized) with an inorganic precursor, or an organic polymer and an inorganic segment. Molecules bound to each other. When the sol-gel material is used as the inorganic precursor, it means that a photopolymerizable resin is included in addition to the sol-gel material containing the silane coupling agent. In the case of a hybrid, for example, a metal alkoxide, a silane coupling material having a photopolymerizable group, a radical polymerization resin, and the like can be mixed. In order to further improve the transfer accuracy, silicone may be added thereto. In order to improve dry etching resistance, the sol-gel material portion may be pre-condensed in advance. The mixing ratio of the metal alkoxide containing the silane coupling agent and the photopolymerizable resin is preferably in the range of 3: 7 to 7: 3 from the viewpoint of dry etching resistance and transfer accuracy. More preferably, it is in the range of 3.5: 6.5 to 6.5: 3.5. The resin used for the hybrid is not particularly limited as long as it can be photopolymerized, whether it is a radical polymerization system or a cationic polymerization system.

マスク層12を構成する光重合可能なラジカル重合系の樹脂としては、上記に挙げた樹脂層11を構成する光重合可能なラジカル重合系の樹脂から、フッ素含有(メタ)アクリレートを除いたものを用いることが好ましい。   The photopolymerizable radical polymerization resin constituting the mask layer 12 is obtained by removing fluorine-containing (meth) acrylate from the photopolymerizable radical polymerization resin constituting the resin layer 11 mentioned above. It is preferable to use it.

マスク層12を構成する光重合可能なカチオン重合系の樹脂は、少なくともカチオン硬化性モノマーと、光酸発生剤とを含む組成物を意味する。カチオン硬化性樹脂組成物におけるカチオン硬化性モノマーとは、カチオン重合開始剤の存在下で、例えば、UV照射や加熱などの硬化処理を行うことにより硬化物が得られる化合物である。カチオン硬化性モノマーとしては、エポキシ化合物、オキセタン化合物、およびビニルエーテル化合物が挙げられ、エポキシ化合物としては、脂環式エポキシ化合物、およびグリシジルエーテルが挙げられる。これらの中でも脂環式エポキシ化合物は、重合開始速度が向上し、オキセタン化合物は重合率の向上効果があるので、使用することが好ましく、グリシジルエーテルはカチオン硬化性樹脂組成物の粘度を低下させ、塗工性に効果があるので使用することが好ましい。より好ましくは、脂環式エポキシ化合物とオキセタン化合物とを併用することであり、さらに好ましくは脂環式エポキシ化合物とオキセタン化合物との重量比率が99:1〜51:49の範囲で併用することである。   The photopolymerizable cationic polymerization type resin constituting the mask layer 12 means a composition containing at least a cationic curable monomer and a photoacid generator. The cation curable monomer in the cation curable resin composition is a compound from which a cured product can be obtained by performing a curing treatment such as UV irradiation or heating in the presence of a cationic polymerization initiator. Examples of the cationic curable monomer include epoxy compounds, oxetane compounds, and vinyl ether compounds, and examples of the epoxy compounds include alicyclic epoxy compounds and glycidyl ethers. Among these, the alicyclic epoxy compound has an improved polymerization initiation rate, and the oxetane compound has an effect of improving the polymerization rate. Therefore, the alicyclic epoxy compound is preferably used, and glycidyl ether reduces the viscosity of the cationic curable resin composition. It is preferable to use it because it is effective in coating properties. More preferably, the alicyclic epoxy compound and the oxetane compound are used in combination, and more preferably, the weight ratio of the alicyclic epoxy compound and the oxetane compound is used in a range of 99: 1 to 51:49. is there.

カチオン硬化性モノマーの具体例としては、以下のものが挙げられる。脂環式エポキシ化合物としては、例えば、3’,4’−エポキシシクロヘキサンカルボン酸−3,4−エポキシシクロヘキシルメチル、3’,4’−エポキシ−6’−メチルシクロヘキサンカルボン酸−3,4−エポキシ−6’−シクロヘキシルメチル、ビニルシクロヘキセンモノオキサイド1,2−エポキシ−4−ビニルシクロヘキサン、2−(3,4−エポキシシクロヘキシル)エチルトリメトキシシランが挙げられる。   Specific examples of the cationic curable monomer include the following. Examples of the alicyclic epoxy compound include 3 ′, 4′-epoxycyclohexanecarboxylic acid-3,4-epoxycyclohexylmethyl, 3 ′, 4′-epoxy-6′-methylcyclohexanecarboxylic acid-3,4-epoxy. Examples include -6′-cyclohexylmethyl, vinylcyclohexylene monooxide 1,2-epoxy-4-vinylcyclohexane, and 2- (3,4-epoxycyclohexyl) ethyltrimethoxysilane.

グリシジルエーテルとしては、例えば、ビスフェノールAグリシジルエーテル、ビスフェノールFグリシジルエーテル、水添ビスフェノールAグリシジルエーテル、水添ビスフェノールFグリシジルエーテル、1,4−ブタンジオールグリシジルエーテル、1,6−ヘキサンジオールグリシジルエーテル、トリメチロールプロパントリグリシジルエーテル、グリシジルメタクリレート、3−グリシジロキシプロピルトリメトキシシラン、3−グリシジロキシプロピルエチルジエトキシシラン、3−グリシジロキシプロピルトリエトキシシランなどが挙げられる。   Examples of the glycidyl ether include bisphenol A glycidyl ether, bisphenol F glycidyl ether, hydrogenated bisphenol A glycidyl ether, hydrogenated bisphenol F glycidyl ether, 1,4-butanediol glycidyl ether, 1,6-hexanediol glycidyl ether, Examples include methylolpropane triglycidyl ether, glycidyl methacrylate, 3-glycidyloxypropyltrimethoxysilane, 3-glycidyloxypropylethyldiethoxysilane, and 3-glycidyloxypropyltriethoxysilane.

オキセタン化合物としては、例えば、3−エチル−3−(フェノキシメチル)オキセタン、ジ[1−エチル(3−オキセタニル)]メチルエーテル、3−エチル−3アリルオキシメチルオキセタン、3−エチル−3−(2−エチルヘキシロキシメチル)オキセタン、3−エチル−3−{[3−(トリエトキシシリル)プロポキシ]メチル}オキセタンなどが挙げられる。   Examples of the oxetane compound include 3-ethyl-3- (phenoxymethyl) oxetane, di [1-ethyl (3-oxetanyl)] methyl ether, 3-ethyl-3allyloxymethyloxetane, 3-ethyl-3- ( 2-ethylhexyloxymethyl) oxetane, 3-ethyl-3-{[3- (triethoxysilyl) propoxy] methyl} oxetane, and the like.

ビニルエーテルとしては、2−ヒドロキシブチルビニルエーテル、ジエチレングリコールモノビニルエーテル、2−ヒドロキシブチルビニルエーテル、4−ヒドロキシブチルビニルエーテル、トリエチレングリコールジビニルエーテル、シクロヘキサンジメタノールジビニルエーテル、1,4−ブタンジオールジビニルエーテルなどが挙げられる。   Examples of the vinyl ether include 2-hydroxybutyl vinyl ether, diethylene glycol monovinyl ether, 2-hydroxybutyl vinyl ether, 4-hydroxybutyl vinyl ether, triethylene glycol divinyl ether, cyclohexane dimethanol divinyl ether, 1,4-butanediol divinyl ether, and the like. .

光酸発生剤は、光照射により光酸を発生すれば、特に限定されるものではない。例えば、スルホニウム塩、ヨードニウム塩といった芳香族オニウム塩が挙げられる。光酸発生剤としては、例えば、スルホニウムヘキサフルオロアンチモネート、ベンジルトリフェニルホスホニウムヘキサフルオロホスフェート、ベンジルピリジニウムヘキサフルオロホスフェート、ジフェニルヨードニウムヘキサフルオロホスフェート、トリフェニルスルホニウムヘキサフルオロホスフェート、ベンゾイントシレート、アデカオプトマーsp−170(ADEKA社製)、アデカオプトマーsp−172(ADEKA社製)、WPAG−145(和光純薬工業社製)、WPAG−170(和光純薬工業社製)、WPAG−199(和光純薬工業社製)、WPAG−281(和光純薬工業社製)、WPAG−336(和光純薬工業社製)、WPAG−367(和光純薬工業社製)、CPI−100P(サンアプロ社製)、CPI−101A(サンアプロ社製)、CPI−200K(サンアプロ社製)、CPI−210S(サンアプロ社製)、DTS−102(みどり化学社製)、TPS−TF(東洋合成工業社製)、DTBPI−PFBS(東洋合成工業社製)等が挙げられる。   The photoacid generator is not particularly limited as long as it generates a photoacid by light irradiation. Examples thereof include aromatic onium salts such as sulfonium salts and iodonium salts. Examples of the photoacid generator include sulfonium hexafluoroantimonate, benzyltriphenylphosphonium hexafluorophosphate, benzylpyridinium hexafluorophosphate, diphenyliodonium hexafluorophosphate, triphenylsulfonium hexafluorophosphate, benzoin tosylate, adekatopomer sp -170 (manufactured by ADEKA), Adekaoptomer sp-172 (manufactured by ADEKA), WPAG-145 (manufactured by Wako Pure Chemical Industries), WPAG-170 (manufactured by Wako Pure Chemical Industries), WPAG-199 (manufactured by Wako Pure) Yakuhin Kogyo Co., Ltd.), WPAG-281 (Wako Pure Chemical Industries, Ltd.), WPAG-336 (Wako Pure Chemical Industries, Ltd.), WPAG-367 (Wako Pure Chemical Industries, Ltd.), CPI-100P (Sun Apro) , CP -101A (manufactured by San Apro), CPI-200K (manufactured by San Apro), CPI-210S (manufactured by San Apro), DTS-102 (manufactured by Midori Chemical), TPS-TF (manufactured by Toyo Gosei Co., Ltd.), DTBPI-PFBS (Toyo Gosei Kogyo Co., Ltd.).

希釈したマスク材料を、樹脂層11の微細凹凸構造面上に直接塗工した際の濡れ性が悪い場合は、界面活性剤やレベリング材を添加してもよい。これらは、公知市販のものを使用することができるが、同一分子内に光重合性基を具備していることが好ましい。添加濃度は、塗工性の観点から、マスク材料100重量部に対して、40重量部以上が好ましく、60重量部以上が、より好ましい。一方で、耐ドライエッチング耐性の観点から、500重量部以下であることが好ましく、300重量部以下であると、より好ましく、150重量部以下であると、なお好ましい。特に、カルボキシル基、ウレタン基、イソシアヌル酸誘導体を有する官能基の、少なくとも1つの官能基を含むことが、相溶性の観点から好ましい。なお、イソシアヌル酸誘導体には、イソシアヌル酸骨格を有するもので、窒素原子に結合する少なくとも1つの水素原子が他の基で置換されている構造のものが包含される。これらを満たすものとして、例えば、ダイキン工業社製のオプツールDACが挙げられる。添加剤は、溶剤に溶かした状態で、マスク剤と混合することが好ましい。   In the case where the wettability when the diluted mask material is applied directly on the surface of the fine concavo-convex structure of the resin layer 11, a surfactant or a leveling material may be added. Although these can use a well-known commercially available thing, it is preferable to have comprised the photopolymerizable group in the same molecule | numerator. The additive concentration is preferably 40 parts by weight or more and more preferably 60 parts by weight or more with respect to 100 parts by weight of the mask material from the viewpoint of coatability. On the other hand, from the viewpoint of resistance to dry etching, it is preferably 500 parts by weight or less, more preferably 300 parts by weight or less, and even more preferably 150 parts by weight or less. In particular, it is preferable from the viewpoint of compatibility that at least one functional group of a functional group having a carboxyl group, a urethane group, or an isocyanuric acid derivative is included. The isocyanuric acid derivatives include those having an isocyanuric acid skeleton and a structure in which at least one hydrogen atom bonded to the nitrogen atom is substituted with another group. As an example that satisfies these conditions, there is an OPTOOL DAC manufactured by Daikin Industries, Ltd. The additive is preferably mixed with the mask agent in a state dissolved in a solvent.

微細マスク形成用積層体1における樹脂層11が有する微細凹凸構造の形状は、特に限定されないが、円錐形状、角錐形状、または楕円錘形状の凸部を複数含むピラー形状や、ラインアンドスペース構造であることが好ましく、円錐形状、円柱形状、角錐形状、または楕円錘形状の凸部を複数含むピラー形状であることがより好ましい。前記ピラー形状は、ピラーが滑らかな凹部を通じ隣接していてもよい。あるいは、円錐形状、円柱形状、角錐形状、または楕円錘形状の凹部を複数含むホール形状であることが好ましい。   The shape of the fine concavo-convex structure of the resin layer 11 in the fine mask-forming laminate 1 is not particularly limited, but may be a pillar shape including a plurality of conical, pyramidal, or elliptical cone-shaped convex portions, or a line-and-space structure. It is preferable to have a pillar shape including a plurality of convex portions having a conical shape, a cylindrical shape, a pyramid shape, or an elliptical cone shape. In the pillar shape, the pillars may be adjacent to each other through a smooth recess. Alternatively, a hole shape including a plurality of conical, cylindrical, pyramid, or elliptical concavities is preferable.

微細マスク形成用積層体1を使用して無機基材上にマスクパターンを形成し、このマスクパターンを利用してエッチングを行うことを考慮すると、樹脂層11の微細凹凸構造は、ホール形状であることが好ましい。また、ホール形状であることは、マスク層12を構成するマスク材料を、樹脂層11の微細凹凸構造面上に直接塗工する際の塗工性や、微細凹凸構造の耐久性(物理的破壊に対する耐性)の観点からも、好ましい。   In consideration of forming a mask pattern on the inorganic base material using the fine mask forming laminate 1 and performing etching using this mask pattern, the fine uneven structure of the resin layer 11 has a hole shape. It is preferable. Further, the hole shape means that the mask material constituting the mask layer 12 is coated directly on the surface of the fine concavo-convex structure of the resin layer 11 and the durability (physical destruction of the fine concavo-convex structure). From the viewpoint of resistance to

ここで、「ピラー形状」とは、「柱状体(錐状態)が複数配置された形状」であり、「ホール形状」とは、「柱状(錐状)の穴が複数形成された形状」である。また、凹凸構造において、凸部同士の距離が50nm以上5000nm以下であり、凸部の高さが10nm以上2000nm以下であることが好ましい。用途にもよるが、凸部同士の隣接距離(凸部の頂点同士の間隔)が小さく、凸部の高さ(凹部の底から凸部の頂点までの高さ)が大きいことが好ましい。ここで、凸部とは、微細凹凸構造の平均高さより高い部位をいい、凹部とは、微細凹凸構造の平均高さより低い部位をいうものとする。   Here, the “pillar shape” is a “shape in which a plurality of columnar bodies (conical states) are arranged”, and the “hole shape” is a “shape in which a plurality of columnar (conical) holes are formed”. is there. In the concavo-convex structure, it is preferable that the distance between the protrusions is 50 nm or more and 5000 nm or less, and the height of the protrusions is 10 nm or more and 2000 nm or less. Although it depends on the application, it is preferable that the adjacent distance between the convex portions (interval between the vertexes of the convex portions) is small and the height of the convex portion (height from the bottom of the concave portion to the vertex of the convex portion) is large. Here, the convex part means a part higher than the average height of the fine concavo-convex structure, and the concave part means a part lower than the average height of the fine concavo-convex structure.

また、図3に示すように、面内において直交する第1方向と第2方向に対し、第1方向にピッチPで凸部(または凹部)が配列し、かつ、第2方向にピッチSで凸部(あるいは凹部)が配列する場合において、第2方向に列をなす凸部(または凹部)の第1方向に対するずれαの規則性が高い配列であってもよいし(図3A参照)、ずれαの規則性が低い配列であってもよい(図3B参照)。ずれαとは、第1方向に平行な隣り合う列において、最も近接する凸部の中心を通る第2方向に平行な線分間の距離をいう。例えば、図3Aに示すように、第1方向に平行な第(N)列の任意の凸部の中心を通る第2方向に平行な線分と、この凸部から最も近い距離にある第(N+1)列の凸部の中心を通る第2方向に平行な線分との間の距離が、ずれαと規定される。図3Aに示す配列は、どの列を第(N)列としても、ずれαはほぼ一定であるため、周期性を備えた配列といえる。一方、図3Bに示す配列は、どの列を第(N)列とするかによって、ずれαの値が変わるため、非周期性を備えた配列といえる。   Further, as shown in FIG. 3, with respect to the first direction and the second direction perpendicular to each other in the plane, convex portions (or concave portions) are arranged at a pitch P in the first direction, and at a pitch S in the second direction. In the case where the convex portions (or concave portions) are arranged, the convex portions (or concave portions) forming a row in the second direction may be arranged with high regularity of the shift α with respect to the first direction (see FIG. 3A). The arrangement may be such that the regularity of the shift α is low (see FIG. 3B). The shift α refers to the distance between line segments parallel to the second direction passing through the center of the nearest convex portion in adjacent rows parallel to the first direction. For example, as shown in FIG. 3A, a line segment parallel to the second direction passing through the center of an arbitrary convex portion in the (N) th row parallel to the first direction and the closest distance from the convex portion ( The distance between the line segment parallel to the second direction passing through the centers of the N + 1) rows of protrusions is defined as the shift α. The array shown in FIG. 3A can be said to be an array having periodicity because the shift α is almost constant regardless of which column is the (N) th column. On the other hand, the array shown in FIG. 3B can be said to be an array having non-periodicity because the value of the shift α changes depending on which column is the (N) th column.

ピッチPおよびピッチSは、想定する用途に応じて適宜設計することができる。例えば、ピッチPとピッチSとは等しいピッチであってもよい。また、図3においては、凸部(または凹部)が重なりを持たず独立した状態で描かれているが、第1方向と第2方向の両方、またはいずれか一方に配列する凸部(または凹部)が重なっていてもよい。   The pitch P and the pitch S can be appropriately designed according to the intended use. For example, the pitch P and the pitch S may be equal. Further, in FIG. 3, the convex portions (or concave portions) are drawn in an independent state without overlapping, but the convex portions (or concave portions) arranged in both the first direction and the second direction or one of them. ) May overlap.

なお、本明細書においては、図3に示すように、ピラー形状またはホール形状を含む微細凹凸構造は、平面視で凸部または凹部が円形状に見えるため、ドット構造ともいう。   Note that in this specification, as shown in FIG. 3, a fine concavo-convex structure including a pillar shape or a hole shape is also referred to as a dot structure because a convex portion or a concave portion looks circular in a plan view.

例えば、LEDのサファイア基材表面の、加工を行うための微細マスクの場合、樹脂層11の微細凹凸構造は、ピッチが300nm〜500nm、高さが100nm〜1000nmである、ナノスケールで正規配列をなし、かつ、マイクロスケールの大きな周期性を有するホール形状であることが好ましい。   For example, in the case of a fine mask for processing on the surface of the sapphire substrate of the LED, the fine concavo-convex structure of the resin layer 11 has a nanoscale regular arrangement with a pitch of 300 nm to 500 nm and a height of 100 nm to 1000 nm. None, and a hole shape having a large microscale periodicity is preferable.

以上、本発明に係る微細マスク形成用積層体1の製造に用いる各成分を詳説した。次に、上記各成分を用いた微細マスク形成用積層体1の製造方法について説明する。   In the above, each component used for manufacture of the laminated body 1 for fine mask formation which concerns on this invention was explained in full detail. Next, the manufacturing method of the laminated body 1 for fine mask formation using each said component is demonstrated.

以下の工程(1)〜(6)を順に行うことで、図2に示す微細マスク形成用積層体1を作製することができる。図4は、微細マスク形成用積層体1の作製工程を示す説明図である。なお、以下の工程は、ロールツーロールで行うことが好ましい。   The laminated body 1 for forming a fine mask shown in FIG. 2 can be produced by sequentially performing the following steps (1) to (6). FIG. 4 is an explanatory view showing a manufacturing process of the laminated body 1 for forming a fine mask. In addition, it is preferable to perform the following processes by roll-to-roll.

工程(1):基材10上に硬化性樹脂組成物101を塗布する工程(樹脂を塗工する工程、図4A参照)。
工程(2):塗布した硬化性樹脂組成物101を、離型処理を施したマスターモールド102に押圧する工程(樹脂を鋳型に押圧する工程、図4B参照)。
工程(3):基材10側から光照射を行い、硬化性樹脂組成物101を光ラジカル重合させ硬化物を得る工程(樹脂を光硬化させる工程、図4C参照)。
工程(4):硬化物をマスターモールド102から剥離し、マスターモールド102のパターン形状の反転形状を具備した樹脂層11を得る工程(硬化物を鋳型から剥離する工程、樹脂モールドAを得る工程、図4D参照)。
工程(5):樹脂層11の微細凹凸構造上に、希釈したマスク材料103を塗工する工程(図4E参照)。
工程(6):溶剤を乾燥除去し、マスク層12を得る工程(図4F参照)。
Step (1): A step of applying the curable resin composition 101 on the substrate 10 (step of applying a resin, see FIG. 4A).
Step (2): A step of pressing the applied curable resin composition 101 against the master mold 102 that has been subjected to a mold release process (step of pressing a resin against a mold, see FIG. 4B).
Step (3): a step of irradiating light from the substrate 10 side to carry out radical photopolymerization of the curable resin composition 101 to obtain a cured product (step of photocuring the resin, see FIG. 4C).
Step (4): A step of peeling the cured product from the master mold 102 to obtain a resin layer 11 having a reverse shape of the pattern shape of the master mold 102 (a step of peeling the cured product from the mold, a step of obtaining the resin mold A, (See FIG. 4D).
Step (5): A step of applying the diluted mask material 103 on the fine uneven structure of the resin layer 11 (see FIG. 4E).
Step (6): A step of removing the solvent by drying to obtain the mask layer 12 (see FIG. 4F).

なお、工程(4)で得られた樹脂モールドAを鋳型として、図5に示すように樹脂モールドBを作製し、この樹脂モールドBを用いて工程(5)以降を行ってもよい。   Note that the resin mold A obtained in the step (4) may be used as a mold to produce a resin mold B as shown in FIG.

工程(4−1):基材10上に硬化性樹脂組成物101を塗布する工程(樹脂を塗布する工程、図5A参照)。
工程(4−2):塗布した硬化性樹脂組成物101を樹脂モールドAに押圧する工程(樹脂を鋳型に押圧する工程、図5B参照)。
工程(4−3):樹脂モールドAの基材10側と樹脂モールドBの基材10側の両方、またはいずれか一方から光照射を行い、硬化性樹脂組成物101を光ラジカル重合させ硬化物を得る工程(樹脂を光硬化させる工程、図5C参照)。
工程(4−4):硬化物を樹脂モールドAから剥離し、マスターモールド102のパターン形状と同様の形状を具備した樹脂層11を得る工程(硬化物から鋳型を剥離する工程、樹脂モールドBを得る工程、図5D参照)。
Step (4-1): A step of applying the curable resin composition 101 on the substrate 10 (step of applying a resin, see FIG. 5A).
Step (4-2): A step of pressing the applied curable resin composition 101 against the resin mold A (step of pressing a resin against a mold, see FIG. 5B).
Step (4-3): Light irradiation is performed from either or both of the substrate 10 side of the resin mold A and the substrate 10 side of the resin mold B, and the curable resin composition 101 is photoradically polymerized to be cured. (Step of photocuring resin, see FIG. 5C).
Step (4-4): A step of peeling the cured product from the resin mold A to obtain a resin layer 11 having the same shape as the pattern shape of the master mold 102 (step of peeling the mold from the cured product, resin mold B Step of obtaining, see FIG. 5D).

工程(1),(5)における塗工方法としては、ローラーコート法、バーコート法、ダイコート法、噴霧コート法、エアーナイフコート法、フローコート法、カーテンコート法などが挙げられる。   Examples of the coating method in steps (1) and (5) include a roller coating method, a bar coating method, a die coating method, a spray coating method, an air knife coating method, a flow coating method, and a curtain coating method.

工程(6)の後に、カバーフィルムを被せ(合わせ)、巻き取る工程を加えてもよい。また、工程(6)の後に、光照射を行い、マスク層中に含まれる硬化性部位を、部分的に光重合させてもよい。   After the step (6), a cover film may be put (matched) and wound up. Moreover, light irradiation may be performed after a process (6), and the sclerosing | hardenable site | part contained in a mask layer may be partially photopolymerized.

ゾルゲル材料をマスク層12に含む場合、工程(6)は、溶剤乾燥のほか、ゾルゲル材料の縮合も兼ねている。また、ゾルゲル材料をマスク層12に含む場合、巻き取った後に養生する工程を加えてもよい。養生は、室温〜120℃の間で行うことが好ましい。特に、室温〜105℃であると好ましい。   When the sol-gel material is included in the mask layer 12, the step (6) serves not only for solvent drying but also for condensation of the sol-gel material. Moreover, when the sol-gel material is included in the mask layer 12, a step of curing after winding may be added. Curing is preferably performed between room temperature and 120 ° C. In particular, it is preferable that it is room temperature-105 degreeC.

工程(1)〜(4)で作製される樹脂層11の微細凹凸構造中に、塗工改善構造を含んでもよい。塗工改善構造は、所望の微細マスクを作製するための基本構造を挟みこむように配置されており、塗工改善構造のピッチは、基本構造よりも大きいことが好ましい。特に、塗工改善構造中のピッチが、基本構造側から、フィルム端部へと、徐々に大きくなることが好ましい。   A coating improving structure may be included in the fine uneven structure of the resin layer 11 produced in the steps (1) to (4). The coating improvement structure is disposed so as to sandwich a basic structure for producing a desired fine mask, and the pitch of the coating improvement structure is preferably larger than the basic structure. In particular, it is preferable that the pitch in the coating improving structure gradually increases from the basic structure side to the film edge.

微細マスク形成用積層体1を製造するにあたり、上記lrlを満たす構造を形成するには、次に示す構造、マスク材料を用いることが好ましい。   In manufacturing the laminate 1 for forming a fine mask, it is preferable to use the following structure and mask material in order to form a structure satisfying the above lrl.

図6は、微細マスク形成用積層体1におけるピラー形状の微細凹凸構造を示す断面模式図である。樹脂層11の微細凹凸構造がピラー形状の場合、1つの凸部の頂部を形成する面における、最長の線分の長さ(lx)がサブミクロンスケールであると、希釈塗工したマスク材料が、系のエネルギーを減少させるように、効率的に凹部内部へと充填される結果、lrlを小さくできるため好ましい。特に、最長の線分の長さが、500nm以下であると、上記効果をより一層発揮できるため好ましく、より好ましくは、300nm以下、最も好ましくは、150nm以下である。なお、1つの凸部の頂部を形成する面とは、各凸部の頂部位置を通る面と、1つの凸部の頂部とが交わる面を意味する。   FIG. 6 is a schematic cross-sectional view showing a pillar-shaped fine concavo-convex structure in the laminated body 1 for forming a fine mask. When the fine concavo-convex structure of the resin layer 11 has a pillar shape, the length of the longest line segment (lx) on the surface forming the top of one convex portion is submicron scale, so that the diluted mask material can be obtained. It is preferable because lrl can be reduced as a result of efficient filling into the recess so as to reduce the energy of the system. In particular, it is preferable that the length of the longest line segment is 500 nm or less because the above effect can be further exhibited, more preferably 300 nm or less, and most preferably 150 nm or less. In addition, the surface which forms the top part of one convex part means the surface where the surface which passes the top part position of each convex part, and the top part of one convex part cross.

図6Aに示すように、凸部は、凸部頂部の面積の方が凸部底部の面積より小さい構造、すなわち、凸部の外側面が傾斜を持つ構造であると、上記効果をより発揮できるため好ましい。さらに、図6Bに示すように、凸部頂部と傾斜部とは、連続的に滑らかにつながっていると、上記効果をより一層発揮できるため好ましい。   As shown in FIG. 6A, the above-mentioned effect can be more exerted when the convex portion has a structure in which the area of the convex top portion is smaller than the area of the convex bottom portion, that is, the outer surface of the convex portion has an inclination. Therefore, it is preferable. Furthermore, as shown to FIG. 6B, since the convex part top part and the inclination part are connecting continuously smoothly, since the said effect can be exhibited further, it is preferable.

図7は、微細マスク形成用積層体1におけるホール形状の微細凹凸構造を示す上面図である。樹脂層11の微細凹凸構造がホール形状の場合、1つのホール(A)と、ホール(A)に最近接するホール(B)において、ホール(A)の開口淵部と、ホール(B)の開口淵部をつなぐ、最短の線分(ly)の長さがサブミクロンスケールであると、希釈塗工したマスク材料が、系のエネルギーを減少させるように、効率的に凹部内部へと充填される結果、lrlを小さくできるため好ましい。特に、最短の線分の長さが、500nm以下であると、上記効果をより一層発揮できるため好ましく、より好ましくは、400nm以下、最も好ましくは、300nm以下である。さらに、ピッチPおよびピッチSはともに800nm以下であると上記効果をより発揮するため好ましく、500nm以下であるとより好ましい。   FIG. 7 is a top view showing a hole-shaped fine concavo-convex structure in the laminated body 1 for forming a fine mask. When the fine concavo-convex structure of the resin layer 11 is a hole shape, in one hole (A) and the hole (B) closest to the hole (A), the opening flange of the hole (A) and the opening of the hole (B) When the length of the shortest line segment (ly) connecting the ridges is submicron scale, the diluted mask material is efficiently filled into the recess so as to reduce the energy of the system. As a result, lrl can be reduced, which is preferable. In particular, it is preferable that the length of the shortest line segment is 500 nm or less because the above effect can be further exhibited, more preferably 400 nm or less, and most preferably 300 nm or less. Furthermore, both the pitch P and the pitch S are preferably 800 nm or less because the above effects are more exhibited, and more preferably 500 nm or less.

また、ホール開口部の面積の方がホール底部の面積よりも大きい構造、すなわち、凹部の内側面が傾斜を持つ構造であると、上記効果をより発揮できるため好ましい。さらに、開口淵と凹部側面とは、連続的に滑らかにつながっていると、上記効果をより一層発揮できるため好ましい。   Further, it is preferable that the area of the hole opening is larger than the area of the bottom of the hole, that is, a structure in which the inner side surface of the recess has an inclination, since the above effect can be exhibited more. Furthermore, it is preferable that the opening rod and the side surface of the recess are continuously and smoothly connected, because the above effect can be further exhibited.

マスク層12を構成するマスク材料中に、希釈塗工後の溶剤揮発過程において様態が変化する材料を含むと、材料自体の面積を小さくするというドライビングフォースも同時に働くため、より効果的にマスク材料が凹部内部へと充填される結果、lrlを小さくできるため好ましい。様態の変化とは、例えば、発熱反応や、粘度の大きくなる変化が挙げられる。例えば、ゾルゲル材料を含むと、溶剤揮発過程で、空気中の水蒸気と反応し、ゾルゲル材料が重縮合する。これにより、ゾルゲル材料のエネルギーが不安定化するため、溶剤乾燥に伴い低下する溶剤液面(溶剤と空気界面)から遠ざかろうとするドライビングフォースが働き、結果、ゾルゲル材料が良好に凹内部へと充填され、lrlが小さくなる。   If the mask material composing the mask layer 12 includes a material whose state changes in the solvent volatilization process after dilution coating, a driving force for reducing the area of the material itself also works, so that the mask material is more effective. Is preferable because lrl can be reduced as a result of filling the inside of the recess. Examples of the change in mode include an exothermic reaction and a change in viscosity. For example, when a sol-gel material is included, it reacts with water vapor in the air during the solvent volatilization process, and the sol-gel material undergoes polycondensation. This destabilizes the energy of the sol-gel material, so that the driving force that moves away from the solvent liquid surface (solvent-air interface) that decreases as the solvent dries works, and as a result, the sol-gel material fills the concave well. And lrl becomes smaller.

続いて、微細マスク形成用積層体1の使用方法について説明する。
以下の工程(11)〜(18)を順に行うことで、微細マスク形成用積層体1を使用して、加工対象である基材104を加工することができる。図8は、微細マスク形成用積層体1を使用した基材104の加工工程を示す説明図である。
Then, the usage method of the laminated body 1 for fine mask formation is demonstrated.
By performing the following steps (11) to (18) in order, the base material 104 to be processed can be processed using the laminate 1 for forming a fine mask. FIG. 8 is an explanatory view showing a processing step of the base material 104 using the fine mask forming laminate 1.

工程(11):基材104上に接着層105を形成する工程(図8A参照)。
工程(12):微細マスク形成用積層体1からカバーフィルムをはずし、マスク層12面側と接着層105とを貼合する工程(図8B参照)。
工程(13):微細マスク形成用積層体1の基材10上と基材104上の両方から、またはいずれか一方から光照射する工程(図8C参照)。
工程(14):微細マスク形成用積層体1の基材10および樹脂層11を剥離する工程(図8D参照)。
工程(15):基材104上に得られたマスク層12の残膜をエッチングにより除去する工程(図8E参照)。
工程(16):基材104上に得られたマスク層12および接着層105に対してエッチングを行い、マスク層12および接着層105で構成される微細マスクパターンを形成する工程(図8F参照)。
工程(17):工程(16)で得られた微細マスクパターンをマスクとして、基材104をエッチングする工程(図8G参照)。
工程(18):マスク層12および接着層105を剥離する工程(図8H参照)。
Step (11): A step of forming the adhesive layer 105 on the substrate 104 (see FIG. 8A).
Step (12): A step of removing the cover film from the laminate 1 for forming a fine mask and bonding the mask layer 12 surface side and the adhesive layer 105 (see FIG. 8B).
Step (13): a step of irradiating light from both the base material 10 and the base material 104 of the laminate for forming a fine mask 1 or from either one (see FIG. 8C).
Process (14): The process of peeling the base material 10 and the resin layer 11 of the laminated body 1 for fine mask formation (refer FIG. 8D).
Step (15): A step of removing the remaining film of the mask layer 12 obtained on the substrate 104 by etching (see FIG. 8E).
Step (16): A step of etching the mask layer 12 and the adhesive layer 105 obtained on the substrate 104 to form a fine mask pattern composed of the mask layer 12 and the adhesive layer 105 (see FIG. 8F). .
Step (17): A step of etching the substrate 104 using the fine mask pattern obtained in the step (16) as a mask (see FIG. 8G).
Step (18): A step of peeling the mask layer 12 and the adhesive layer 105 (see FIG. 8H).

工程(11)と(12)との間に、加熱工程を加えてもよい。加熱工程は、接着層105中の溶剤を除去し、接着層105の粘度を上昇させるために行う。加熱温度は、60℃〜200℃が好ましい。   A heating step may be added between steps (11) and (12). The heating process is performed to remove the solvent in the adhesive layer 105 and increase the viscosity of the adhesive layer 105. The heating temperature is preferably 60 ° C to 200 ° C.

また、工程(13)と(14)との間に、加熱工程を加えてもよい。さらに、工程(14)の後に、加熱工程あるいは光照射工程を加えてもよい。   Moreover, you may add a heating process between process (13) and (14). Furthermore, you may add a heating process or a light irradiation process after a process (14).

工程(16)におけるエッチングは、ドライエッチングでもウェットエッチングでもよい。ただし、接着層を異方的にエッチングすることが好ましいため、ドライエッチングが好ましい。   The etching in the step (16) may be dry etching or wet etching. However, dry etching is preferable because the adhesive layer is preferably etched anisotropically.

接着層105は、有機層で構成される。一般的に、有機物は、無機物よりもエッチングレートが非常に早いため、無機基材を容易に加工する為には、有機層の高さをある程度高くする必要がある。このように厚みのある有機層を、マスク層12をマスクとしてエッチングする場合、エッチングの異方性を大きくする必要がある。すなわち、垂直方向のエッチングレートを、水平(横)方向のエッチングレートよりも大きくする必要がある。マスク層12と、有機層のエッチングレート比を適切な値にすることで、有機層のエッチングが可能となる。微細マスク形成用積層体1においては、マスク層12中に含まれる蒸気圧の低い成分(例えば、ゾルゲル材料)が、有機層のエッチング時に、有機層の側壁を保護する役割を果たすため、厚みのある有機層を容易にエッチングすることが可能となる。   The adhesive layer 105 is composed of an organic layer. In general, an organic material has an etching rate much faster than that of an inorganic material, so that the height of the organic layer needs to be increased to some extent in order to easily process an inorganic substrate. When etching a thick organic layer using the mask layer 12 as a mask, it is necessary to increase the anisotropy of etching. That is, the etching rate in the vertical direction needs to be larger than the etching rate in the horizontal (lateral) direction. By setting the etching rate ratio between the mask layer 12 and the organic layer to an appropriate value, the organic layer can be etched. In the laminate 1 for forming a fine mask, a component having a low vapor pressure (for example, a sol-gel material) contained in the mask layer 12 serves to protect the sidewall of the organic layer during the etching of the organic layer. A certain organic layer can be easily etched.

ドライエッチングによる、マスク層12のエッチングレート(Vm1)と、有機層のエッチングレート(Vo1)との比率(Vo1/Vm1)は、マスク層12をマスクとして有機層をエッチングする際の加工精度に影響を与える。Vo1/Vm1>1は、マスク層12が有機層よりもエッチングされにくいことを意味するため、大きいほど好ましい。マスク層12の塗工性の観点から、Vo1/Vm1≦150であることがこの好ましく、Vo1/Vm1≦100がより好ましい。耐エッチング性の観点から、3≦Vo1/Vm1であることが好ましく、10≦Vo1/Vm1であることがより好ましく、15≦Vo1/Vm1であることが、なお好ましい。   The ratio (Vo1 / Vm1) between the etching rate (Vm1) of the mask layer 12 and the etching rate (Vo1) of the organic layer by dry etching affects the processing accuracy when the organic layer is etched using the mask layer 12 as a mask. give. Vo1 / Vm1> 1 means that the mask layer 12 is less likely to be etched than the organic layer. From the viewpoint of coatability of the mask layer 12, it is preferable that Vo1 / Vm1 ≦ 150, and Vo1 / Vm1 ≦ 100 is more preferable. From the viewpoint of etching resistance, 3 ≦ Vo1 / Vm1 is preferable, 10 ≦ Vo1 / Vm1 is more preferable, and 15 ≦ Vo1 / Vm1 is still more preferable.

一方、有機層のエッチング時のエッチング異方性(横方向のエッチングレート(Vo//)と、縦方向のエッチングレート(Vo)との比率(Vo/Vo//)は、大きいほど好ましい。有機層のエッチングレートと、無機基材のエッチングレートの比率にもよるが、Vo/Vo//≧2であることが好ましく、Vo/Vo//≧3.5であることがより好ましく、Vo/Vo//≧10であることがなお好ましい。なお、横方向とは、有機層13の膜厚方向を意味し、縦方向とは、有機層13の面方向を意味する。 On the other hand, the etching anisotropy of the etching of the organic layer (lateral etching rate (Vo //), the ratio of the vertical etching rate (Vo ⊥) (Vo ⊥ / Vo //) is preferably larger . and the etching rate of the organic layer, depending on the ratio of the etching rate of the inorganic base material is preferably from Vo / Vo // ≧ 2, more to be Vo / Vo // ≧ 3.5 Preferably, Vo⊥ / Vo // ≧ 10, where the lateral direction means the film thickness direction of the organic layer 13 and the vertical direction means the surface direction of the organic layer 13.

工程(17)におけるエッチングは、微細化されたマスク層12と接着層105(有機層)とを、加工対象である基材104表面に形成された微細マスクパターンとして行う。微細マスクパターンは、アスペクトが高いマスクとして存在するため、接着層105(有機層)と基材104(無機基材)のエッチングレート比の幅を広く保った状態で、容易に基材104(無機基材)を加工することができる。   In the etching in the step (17), the miniaturized mask layer 12 and the adhesive layer 105 (organic layer) are performed as a fine mask pattern formed on the surface of the base material 104 to be processed. Since the fine mask pattern exists as a mask having a high aspect ratio, the substrate 104 (inorganic) can be easily formed while maintaining a wide range of the etching rate ratio between the adhesive layer 105 (organic layer) and the substrate 104 (inorganic substrate). Substrate) can be processed.

ドライエッチングによる、無機基材のエッチングレート(Vi2)と、有機層のエッチングレート(Vo2)との比率(Vo2/Vi2)は、小さいほど好ましい。Vo2/Vi2<1であれば、有機層のエッチングレートの方が、無機基材のエッチングレートよりも小さいため、無機基材を容易に加工することができる。有機層の塗工性および、エッチング精度の観点から、Vo2/Vi2≦3であることが好ましく、Vo2/Vi2≦2.5であるとより好ましい。Vo2/Vi2≦2であると、有機層を薄くできるためより好ましい。   The ratio (Vo2 / Vi2) between the etching rate (Vi2) of the inorganic base material and the etching rate (Vo2) of the organic layer by dry etching is preferably as small as possible. If Vo2 / Vi2 <1, the etching rate of the organic layer is smaller than the etching rate of the inorganic substrate, so that the inorganic substrate can be easily processed. From the viewpoint of coating properties of the organic layer and etching accuracy, it is preferable that Vo2 / Vi2 ≦ 3, and more preferable that Vo2 / Vi2 ≦ 2.5. It is more preferable that Vo2 / Vi2 ≦ 2 because the organic layer can be thinned.

工程(11)で形成する接着層105の厚みは、150nm以上1500nm以下が好ましい。150nm以上であることから、接着性が向上する。また、1500nm以下であることから、接着層105およびマスク層12からなるマスクの物理的安定性が向上する。   The thickness of the adhesive layer 105 formed in the step (11) is preferably 150 nm or more and 1500 nm or less. Since it is 150 nm or more, adhesiveness improves. Moreover, since it is 1500 nm or less, the physical stability of the mask which consists of the contact bonding layer 105 and the mask layer 12 improves.

また、以下の工程(21)〜(22)によっても、微細マスク形成用積層体1を使用して、加工対象である基材104を加工することができる。図9は、微細マスク形成用積層体1を使用した基材104の加工工程を示す説明図である。   Moreover, the base material 104 to be processed can be processed using the fine mask-forming laminate 1 also by the following steps (21) to (22). FIG. 9 is an explanatory view showing a processing step of the base material 104 using the fine mask forming laminate 1.

工程(21):微細マスク形成用積層体1からカバーフィルムをはずし、マスク層12上に接着層106を塗工する工程(図9A参照)。
工程(22):接着層106と基材104とを貼合する工程(図9B参照)。
工程(22)の後は、上記工程(13)以降の工程を順に行えばよい。
Step (21): A step of removing the cover film from the laminate 1 for forming a fine mask and applying an adhesive layer 106 on the mask layer 12 (see FIG. 9A).
Process (22): The process of bonding the contact bonding layer 106 and the base material 104 (refer FIG. 9B).
After the step (22), the steps after the step (13) may be performed in order.

なお、工程(21)において、接着層106塗工後、溶剤乾燥工程を経てもよい。また、工程(21)で形成する接着層106の厚みは、150nm以上1500nm以下が好ましい。150nm以上であることから、接着性が向上する。また、1500nm以下であることから、接着層106およびマスク層12からなるマスクの物理的安定性が向上する。   In the step (21), after applying the adhesive layer 106, a solvent drying step may be performed. In addition, the thickness of the adhesive layer 106 formed in the step (21) is preferably 150 nm or more and 1500 nm or less. Since it is 150 nm or more, adhesiveness improves. Moreover, since it is 1500 nm or less, the physical stability of the mask which consists of the contact bonding layer 106 and the mask layer 12 improves.

さらに、以下の工程(31)〜(34)によっても、微細マスク形成用積層体1を使用して、加工対象である基材104を加工することができる。図10は、微細マスク形成用積層体1を使用した基材104の加工工程を示す説明図である。   Furthermore, the base material 104 to be processed can be processed using the fine mask forming laminate 1 also by the following steps (31) to (34). FIG. 10 is an explanatory view showing a processing step of the base material 104 using the fine mask forming laminate 1.

工程(31):樹脂層11/マスク層12で構成される積層体上に、接着層106を塗工した後、溶剤を乾燥させる工程(図10A参照)。
工程(32):カバーフィルム107を貼合し(図10B参照)、接着層106/マスク層12/樹脂層11で構成される積層体108を巻き取る工程。
工程(33):巻きだした後に、カバーフィルム107をはずし、基材104および積層体108の両方、またはいずれか一方を加熱した状態で、基材104に積層体108貼合する工程(図10C参照)。
工程(34):基材10および樹脂層11を剥離する工程(図10D参照)。
工程(34)の後は、上記工程(15)以降の工程を順に行えばよい。
Step (31): A step of applying the adhesive layer 106 on the laminate composed of the resin layer 11 / mask layer 12 and then drying the solvent (see FIG. 10A).
Step (32): A step of bonding the cover film 107 (see FIG. 10B) and winding up the laminate 108 composed of the adhesive layer 106 / mask layer 12 / resin layer 11.
Step (33): After unwinding, the cover film 107 is removed, and the laminate 108 is bonded to the substrate 104 in a state where either or both of the substrate 104 and the laminate 108 are heated (FIG. 10C). reference).
Process (34): The process of peeling the base material 10 and the resin layer 11 (refer FIG. 10D).
After the step (34), the steps after the step (15) may be performed in order.

なお、工程(33)と工程(34)との間に、光照射工程を加えてもよい。接着層106およびマスク層12内に光重合性物質が含まれる場合、光照射工程により、接着層106とマスク層12を強固に接着することができる。   In addition, you may add a light irradiation process between a process (33) and a process (34). When the photopolymerizable substance is contained in the adhesive layer 106 and the mask layer 12, the adhesive layer 106 and the mask layer 12 can be firmly bonded by the light irradiation process.

なお、工程(34)における樹脂層11の剥離前と剥離後の両方、あるいはいずれか一方において、UV光照射を行ってもよい。   In addition, you may perform UV light irradiation in the process (34) before and / or after peeling of the resin layer 11.

以上説明したように、本発明に係る微細マスク形成用積層体1を使用することで、加工対象である基材表面に残膜の薄い微細マスクパターンを精度よく、かつ、容易に形成することができる。この結果、加工対象である基材表面に、ナノスケールの加工を容易に施すことができる。   As described above, by using the laminate for forming a fine mask 1 according to the present invention, a fine mask pattern having a thin residual film can be accurately and easily formed on the surface of a substrate to be processed. it can. As a result, nanoscale processing can be easily performed on the surface of the base material to be processed.

本発明によれば、マスク層12の形態として、lrlが非常に小さくなるため、マスク層12により形成される微細マスクパターンの幅に影響を与える残膜処理過程が非常に容易になる。これにより、精度の高い微細マスクパターンを形成することができる。また、微細マスクパターンをラミネート工程で転写できるため、容易に大面積に展開できる。   According to the present invention, since lrl is very small as a form of the mask layer 12, the remaining film processing process that affects the width of the fine mask pattern formed by the mask layer 12 becomes very easy. Thereby, a highly accurate fine mask pattern can be formed. Further, since the fine mask pattern can be transferred in the laminating process, it can be easily developed over a large area.

(実施例)
以下、本発明の効果を明確にするために行った実施例について説明する。
実施例においては、以下の材料および測定方法を用いた。
・DACHP…OPTOOL DAC HP(ダイキン工業社製)
・M350…トリメチロールプロパントリアクリレート(東亞合成社製 M350)
・I.184…Irgacure 184(Ciba社製)
・I.369…Irgacure 369(Ciba社製)
・TTB…チタンテトラブトキシド
・DEDFS…ヂエトキシヂフェニルシラン
・X21−5841…末端OH変性シリコーン(信越シリコーン社製)
・SH710…フェニル変性シリコーン(東レ・ダウコーニング社製)
・3APTMS…KBM5103(信越シリコーン社製)
・M211B…アロニックスM211B(東亞合成社製)
・M101A…アロニックスM101A(東亞合成社製)
・OXT221…アロンオキセタンOXT−221(東亞合成社製)
・CEL2021P…3、4−エポキシシクロヘキセニルメチル−3、’4’−エポキシシクロヘキセンカルボキシレート
・DTS102…光酸発生剤(みどり化学社製)
・DBA…Anthracure(登録商標) UVS−1331(川崎化成社製)
・PGME…プロピレングリコールモノメチルエーテル
・MEK…メチルエチルケトン
・MIBK…メチルイソブチルケトン
・Es/Eb…微細凹凸構造を表面に具備する樹脂モールドのXPS法により測定される表面フッ素元素濃度(Es)と、平均フッ素元素濃度(Eb)の比率。
(Example)
Examples carried out to clarify the effects of the present invention will be described below.
In the examples, the following materials and measuring methods were used.
・ DACHP: OPTOOL DAC HP (manufactured by Daikin Industries)
・ M350: Trimethylolpropane triacrylate (M350, manufactured by Toagosei Co., Ltd.)
・ I. 184 ... Irgacure 184 (Ciba)
・ I. 369 ... Irgacure 369 (Ciba)
· TTB · Titanium tetrabutoxide · DEDFS · Diethoxydiphenylsilane · X21-5841 · Terminal OH-modified silicone (manufactured by Shin-Etsu Silicone)
SH710: Phenyl-modified silicone (Toray Dow Corning)
・ 3APTMS ... KBM5103 (Shin-Etsu Silicone)
・ M211B ... Aronix M211B (manufactured by Toagosei Co., Ltd.)
・ M101A ... Aronix M101A (Toagosei Co., Ltd.)
・ OTT221 ... Aron Oxetane OXT-221 (manufactured by Toagosei Co., Ltd.)
CEL2021P: 3,4-epoxycyclohexenylmethyl-3, '4'-epoxycyclohexenecarboxylate DTS102: photoacid generator (manufactured by Midori Chemical Co., Ltd.)
DBA: Anthracure (registered trademark) UVS-1331 (manufactured by Kawasaki Kasei Co., Ltd.)
PGME: Propylene glycol monomethyl ether MEK: Methyl ethyl ketone MIBK: Methyl isobutyl ketone Es / Eb: Surface fluorine element concentration (Es) measured by XPS method of resin mold having fine concavo-convex structure on the surface, and average fluorine Ratio of element concentration (Eb).

樹脂モールドの表面フッ素元素濃度はX線光電子分光法(X−ray Photoelectron Spectroscopy:XPS)にて測定した。XPSにおける、X線のサンプル表面への侵入長は数nmと非常に浅いため、XPSの測定値を本発明における樹脂モールド表面のフッ素元素濃度(Es)として採用した。樹脂モールドを約2mm四方の小片として切り出し、1mm×2mmのスロット型のマスクを被せて下記条件でXPS測定に供した。   The surface fluorine element density | concentration of the resin mold was measured by the X-ray photoelectron spectroscopy (X-ray Photoelectron Spectroscopy: XPS). Since the penetration length of X-rays into the sample surface in XPS is as shallow as several nm, the measured value of XPS was adopted as the fluorine element concentration (Es) on the resin mold surface in the present invention. The resin mold was cut out as a small piece of about 2 mm square and covered with a 1 mm × 2 mm slot type mask and subjected to XPS measurement under the following conditions.

XPS測定条件
使用機器 ;サーモフィッシャーESCALAB250
励起源 ;mono.AlKα 15kV×10mA
分析サイズ;約1mm(形状は楕円)
取込領域
Survey scan;0〜1, 100eV
Narrow scan;F 1s,C 1s,O 1s,N 1s
Pass energy
Survey scan; 100eV
Narrow scan; 20eV
XPS measurement conditions Equipment used: Thermo Fisher ESCALAB250
Excitation source; mono. AlKα 15kV × 10mA
Analysis size: approx. 1 mm (shape is oval)
Capture area Survey scan; 0 to 1, 100 eV
Narrow scan; F 1s, C 1s, O 1s, N 1s
Pass energy
Survey scan; 100 eV
Narrow scan; 20 eV

一方、樹脂モールドを構成する樹脂中の平均フッ素元素濃度(Eb)を測定するには、物理的に剥離した切片を、フラスコ燃焼法にて分解し、続いてイオンクロマトグラフ分析にかけることで、樹脂中の平均フッ素元素濃度(Eb)を測定した。   On the other hand, in order to measure the average fluorine element concentration (Eb) in the resin constituting the resin mold, the physically peeled section is decomposed by a flask combustion method and subsequently subjected to ion chromatography analysis. The average fluorine element concentration (Eb) in the resin was measured.

(a)円筒状金型作製(樹脂モールド作製用鋳型の作製)
円筒状金型の基材には石英ガラスを用い、半導体レーザーを用いた直接描画リソグラフィー法により微細凹凸構造を石英ガラス表面に形成した。微細表面凹凸を形成した石英ガラスロール表面に対し、デュラサーフHD−1101Z(ダイキン化学工業社製)を塗布し、60℃で1時間加熱後、室温で24時間静置、固定化した。その後、デュラサーフHD−ZV(ダイキン化学工業社製)で3回洗浄し、離型処理を実施した。
(A) Cylindrical mold production (production of resin mold production mold)
Quartz glass was used for the base material of the cylindrical mold, and a fine concavo-convex structure was formed on the surface of the quartz glass by a direct drawing lithography method using a semiconductor laser. Durasurf HD-1110Z (manufactured by Daikin Chemical Industry Co., Ltd.) was applied to the surface of the quartz glass roll on which fine surface irregularities were formed, heated at 60 ° C. for 1 hour, and then allowed to stand at room temperature for 24 hours to be fixed. Then, it wash | cleaned 3 times by Durasurf HD-ZV (made by Daikin Chemical Industries), and the mold release process was implemented.

(b)樹脂モールド作製
DACHP,M350,I.184およびI.369を混合し、転写材料を調液した。DACHPは、M350、100質量部に対し、10〜20質量部添加した。なお、後述する樹脂モールド(A)から樹脂モールド(B)を作る工程では、樹脂モールド(A)を作製する際に使用した樹脂と同様の樹脂を使用し、樹脂モールド(B)を作製した。
(B) Resin mold preparation DACHP, M350, I.D. 184 and I.I. 369 was mixed to prepare a transfer material. DACHP was added in an amount of 10 to 20 parts by mass with respect to 100 parts by mass of M350. In the step of making the resin mold (B) from the resin mold (A) described later, the resin similar to the resin used when the resin mold (A) was produced was used to produce the resin mold (B).

PETフィルム:A4100(東洋紡社製:幅300mm、厚さ100μm)の易接着面にマイクログラビアコーティング(廉井精機社製)により、塗布膜厚6μmになるように光硬化性樹脂を塗布した。次いで、円筒状金型に対し、光硬化性樹脂が塗布されたPETフィルムをニップロール(0.1MPa)で押し付け、大気下、温度25℃、湿度60%で、ランプ中心下での積算露光量が600mJ/cmとなるように、フュージョンUVシステムズ・ジャパン株式会社製UV露光装置(Hバルブ)を用いて紫外線を照射し、連続的に光硬化を実施し、表面に微細凹凸構造が転写されたリール状の樹脂モールド(A)(長さ200m、幅300mm)を得た。リール状樹脂モールド(A)の表面微細凹凸の形状は、走査型電子顕微鏡観察で確認した結果、凸部同士の隣接距離は460nm、凸部高さは460nmであった。 PET film: A4100 (Toyobo Co., Ltd .: width 300 mm, thickness 100 μm) was coated with a photocurable resin so as to have a coating film thickness of 6 μm by microgravure coating (manufactured by Yurai Seiki Co., Ltd.). Next, a PET film coated with a photocurable resin was pressed against the cylindrical mold with a nip roll (0.1 MPa), and the accumulated exposure amount under the center of the lamp at 25 ° C. and 60% humidity in the atmosphere. Ultraviolet rays were irradiated using a UV exposure apparatus (H bulb) manufactured by Fusion UV Systems Japan Co., Ltd. so as to be 600 mJ / cm 2, and photocuring was carried out continuously. A reel-shaped resin mold (A) (length 200 m, width 300 mm) was obtained. As a result of confirming the surface unevenness of the surface of the reel-shaped resin mold (A) with a scanning electron microscope, the adjacent distance between the protrusions was 460 nm, and the height of the protrusions was 460 nm.

PETフィルム:A4100(東洋紡社製:幅300mm、厚さ100μm)の易接着面にマイクログラビアコーティング(廉井精機社製)により、樹脂モールド(A)を作製した際に使用した樹脂と同様の光硬化性樹脂を塗布膜厚6μmになるように塗布した。次いで、円筒状金型から直接転写し得られた樹脂モールド(A)の微細凹凸構造面に対し、光硬化性樹脂が塗布されたPETフィルムをニップロール(0.1MPa)で押し付け、大気下、温度25℃、湿度60%で、ランプ中心下での積算露光量が600mJ/cmとなるように、フュージョンUVシステムズ・ジャパン株式会社製UV露光装置(Hバルブ)を用いて紫外線を照射し、連続的に光硬化を実施し、表面に微細凹凸構造が転写された、円筒状金型と同様の微細凹凸構造を具備するリール状の樹脂モールド(B)(長さ200m、幅300mm)を複数得た。リール状樹脂モールド(B)の表面微細凹凸の形状は、走査型電子顕微鏡観察で確認した結果、凹部の開口幅はφ230nm、凹部同士の隣接距離は460nm、凹部高さは460nmであった。 PET film: A4100 (Toyobo Co., Ltd .: width 300 mm, thickness 100 μm) The same light as the resin used when the resin mold (A) was produced by microgravure coating (manufactured by Rensui Seiki Co., Ltd.) A curable resin was applied so as to have a coating thickness of 6 μm. Next, the PET film coated with the photocurable resin is pressed against the fine concavo-convex structure surface of the resin mold (A) obtained by direct transfer from the cylindrical mold with a nip roll (0.1 MPa), and the temperature is increased in the atmosphere. Irradiation with ultraviolet rays using a UV exposure apparatus (H bulb) manufactured by Fusion UV Systems Japan Co., Ltd. so that the integrated exposure under the center of the lamp is 600 mJ / cm 2 at 25 ° C. and 60% humidity. In this way, a plurality of reel-shaped resin molds (B) (length: 200 m, width: 300 mm) having a fine concavo-convex structure similar to a cylindrical mold and having a fine concavo-convex structure transferred on the surface are obtained. It was. The shape of the surface unevenness of the reel-shaped resin mold (B) was confirmed by observation with a scanning electron microscope. As a result, the opening width of the recesses was φ230 nm, the adjacent distance between the recesses was 460 nm, and the height of the recesses was 460 nm.

得られた樹脂モールド(B)の、表面フッ素元素濃度(Es)と、平均フッ素元素濃度(Eb)の比率、Es/Ebは、DACHPの仕込み量により40〜80の間で調整できた。以降、樹脂モールド(B)を樹脂モールド(dot)と呼ぶ。また、以下の樹脂モールド(dot)を使用した検討においては、Es/Ebの値が、74.1,55.4,49.0である樹脂モールド(dot)を選定し、それら全てに対して検討を行った。   The ratio of the surface fluorine element concentration (Es) and the average fluorine element concentration (Eb), Es / Eb, of the obtained resin mold (B) could be adjusted between 40 and 80 depending on the charged amount of DACHP. Hereinafter, the resin mold (B) is referred to as a resin mold (dot). Moreover, in the examination using the following resin mold (dot), the resin mold (dot) whose Es / Eb value is 74.1, 55.4, 49.0 is selected, and all of them are selected. Study was carried out.

また、上記同様の手法を用い、ラインアンドスペースの微細凹凸構造を表面に具備する樹脂モールド(B)も作製した。以降、この樹脂モールド(B)を、樹脂モールド(L/S)と呼ぶ。得られた樹脂モールド(L/S)の、表面微細凹凸構造は、ピッチが130nm、高さが150nmであった。得られた樹脂モールド(L/S)の、表面フッ素元素濃度(Es)と、平均フッ素元素濃度(Eb)の比率、Es/Ebは、DACHPの仕込み量により、40〜90の間で調整できた。以下の樹脂モールド(L/S)を使用した検討においては、Es/Ebの値が、80.5,57.6,47.7である樹脂モールド(L/S)を選定し、それら全てに対して検討を行った。   In addition, a resin mold (B) having a line-and-space fine concavo-convex structure on the surface was prepared using the same method as described above. Hereinafter, this resin mold (B) is referred to as a resin mold (L / S). The resulting resin mold (L / S) had a fine surface relief structure with a pitch of 130 nm and a height of 150 nm. The ratio of the surface fluorine element concentration (Es) and the average fluorine element concentration (Eb), Es / Eb, of the obtained resin mold (L / S) can be adjusted between 40 and 90 depending on the charged amount of DACHP. It was. In the study using the following resin molds (L / S), resin molds (L / S) with Es / Eb values of 80.5, 57.6, 47.7 were selected, and all of them were selected. We examined it.

(c)微細マスク形成用積層体作製(dot)
樹脂モールド(dot)を用い、本発明の微細マスク形成用積層体を、次のように作製した。マスク材料は、マスク材料(A)〜マスク材料(C)までの3種類を調液し、これら全てに対して同様の検討を行った。
(C) Fabrication of laminate for forming fine mask (dot)
Using a resin mold (dot), the laminate for forming a fine mask of the present invention was produced as follows. Three types of mask materials from mask material (A) to mask material (C) were prepared, and the same examination was performed for all of these.

マスク材料(A)…TTB;DEDFS;TEOS;X21−5841;SH710=65.25:21.75:4.35:4.35:4.35[g]で十分に混合した。続いて、3.25%の水を含むエタノール2.3mlを、攪拌下で、徐々に滴下した。その後、80度の環境で4時間熟成し、真空引きを行い、マスク材料(A)を得た。   Mask material (A): TTB; DEDFS; TEOS; X21-5841; SH710 = 65.25: 21.75: 4.35: 4.35: 4.35 [g] and mixed well. Subsequently, 2.3 ml of ethanol containing 3.25% water was gradually added dropwise with stirring. Thereafter, aging was performed for 4 hours in an environment of 80 ° C., and evacuation was performed to obtain a mask material (A).

マスク材料(B)…TTB;DEDRS;X21−5841;SH710;3APTMS;M211B;M101A;M350;I.184;I.369=33.0:11.0:4.4:4.4:17.6:8.8:8.8:8.8:2.4:0.9[g]で十分に混合し、マスク材料(B)を得た。   Mask material (B) ... TTB; DEDRS; X21-5841; SH710; 3APTMS; M211B; M101A; 184; 369 = 33.0: 11.0: 4.4: 4.4: 17.6: 8.8: 8.8: 8.8: 2.4: 0.9 [g] A mask material (B) was obtained.

マスク材料(C)…TTB;DEDRS;X21−5841;SH710;3APTMS=46.9:15.6:6.3:6.3:25.0[g]で十分に混合し、続いて、3.25%の水を含むエタノール2.3mlを、攪拌下で徐々に滴下した。その後、80度の環境で2.5時間熟成し、真空引きを行った。前記溶液に、M211B;M101A;M350;I.184;I.369=29.6:29.6:29.6:8.1:3.0[g]を混合した溶液42.2gを加え、十分に攪拌し、マスク材料(C)を得た。   Mask material (C): TTB; DEDRS; X21-5841; SH710; 3APTMS = 46.9: 15.6: 6.3: 6.3: 25.0 [g] 2.3 ml of ethanol containing 25% water was gradually added dropwise with stirring. Thereafter, aging was performed in an environment of 80 degrees for 2.5 hours, and evacuation was performed. M211B; M101A; M350; 184; 369 = 29.6: 29.6: 29.6: 8.1: A solution of 42.2 g mixed with 3.0 [g] was added and stirred well to obtain a mask material (C).

続いて、マスク材料(A),(B),(C)に対し、それぞれ、以下同様の検討を行った。以下、マスク材料(A),(B),(C)は区別せず、すべてマスク材料と表記する。   Subsequently, the same examination was performed on the mask materials (A), (B), and (C). Hereinafter, the mask materials (A), (B), and (C) are not distinguished and are all described as mask materials.

本発明の微細マスク形成用積層体を作製する為に、マスク材料を、PGMEで希釈した。希釈倍率は、単位平面積上の塗工膜中に含まれるマスク材料量(固形分量)が、樹脂モールド(dot)の、微細凹凸構造の体積以上となるように設定した。具体的には、lrlが、0nm(0h),4.6nm(0.01h),11.5nm(0.025h),23nm(0.05h),46nm(0.1h),92nm(0.2h)になるように濃度を決定した。希釈は、マスク材料にPGMEを滴下し、十分に攪拌することで行った。   In order to produce the laminate for forming a fine mask of the present invention, the mask material was diluted with PGME. The dilution ratio was set so that the amount of the mask material (solid content) contained in the coating film on the unit plane area was equal to or greater than the volume of the fine uneven structure of the resin mold (dot). Specifically, lrl is 0 nm (0 h), 4.6 nm (0.01 h), 11.5 nm (0.025 h), 23 nm (0.05 h), 46 nm (0.1 h), 92 nm (0.2 h). The concentration was determined to be Dilution was performed by dropping PGME into the mask material and stirring sufficiently.

樹脂モールド(dot)の微細凹凸構造面に対するマスク材料の塗工は、樹脂モールド製造と同様の装置を使用した。マイクログラビアコーティングにて、樹脂モールド(dot)の微細凹凸構造面に、希釈したマスク材料を塗工し、80度の乾燥雰囲気を通過させ、カバーフィルムを貼り合わせ巻き取り、回収した。   Application of the mask material to the fine concavo-convex structure surface of the resin mold (dot) was performed using an apparatus similar to that for resin mold production. The diluted mask material was applied to the fine concavo-convex structure surface of the resin mold (dot) by microgravure coating, passed through a dry atmosphere of 80 ° C., the cover film was bonded, wound, and collected.

得られた本発明の微細マスク形成用積層体の樹脂モールドの微細凹凸構造が存在する側の面を、原子間力顕微鏡で観察した。想定lrlが0nm(0h)の場合、部分的に、微細凹凸構造が観察された。想定lrlが、4.6nm(0.01h)以上の場合、原子間力顕微鏡による微細凹凸構造の観察はできなかったことから、樹脂モールドの微細凹凸構造は、マスク材料により完全に充填されていると判断できた。続いて、微細マスク形成用積層体の断面を、走査型電子顕微鏡で観察した。観察結果から、残膜厚lrlは、ほぼ想定厚通りに形成できることがわかった。さらに、残膜厚lrlの厚ムラは、±10%内におさまっていた。   The surface on the side where the fine uneven structure of the resin mold of the obtained laminate for forming a fine mask of the present invention was present was observed with an atomic force microscope. When the assumed lrl was 0 nm (0 h), a fine uneven structure was partially observed. When the assumed lrl is 4.6 nm (0.01 h) or more, the fine uneven structure of the resin mold is completely filled with the mask material because the fine uneven structure cannot be observed with an atomic force microscope. I was able to judge. Then, the cross section of the laminated body for fine mask formation was observed with the scanning electron microscope. From the observation results, it was found that the remaining film thickness lrl can be formed almost as expected. Further, the thickness unevenness of the remaining film thickness lrl was within ± 10%.

試験方法は後述するが、想定lrlが92nm(0.2h)の場合、本発明の微細マスク形成用積層体は作製可能だが、残膜処理終了後、マスク層の幅が狭くなりすぎ、マスクとしての自立性に難点があった。また、このような狭い幅をもつマスク層を、マスクとして、続く有機層のエッチングはできなかった。想定lrlが46nm(0.1h)以下の場合に関しては、残膜処理は問題なかった。   Although the test method will be described later, when the assumed lrl is 92 nm (0.2 h), the laminate for forming a fine mask of the present invention can be produced. However, after the remaining film treatment, the width of the mask layer becomes too narrow, The independence of was difficult. Further, the subsequent organic layer could not be etched using the mask layer having such a narrow width as a mask. In the case where the assumed lrl is 46 nm (0.1 h) or less, the remaining film treatment has no problem.

(d)微細マスク形成用積層体(L/S)
(c)のドット形状とは別の、ラインアンドスペース構造についても、本発明の微細マスク形成用積層体を作成した。樹脂モールド(L/S)を用い、マスク材料は、上述したマスク材料(A)〜マスク材料(C)までの3種類を調液し、使用した。これら全てのマスク材料に対して同様の検討を行った。以下、マスク材料(A),(B),(C)は区別せず、すべてマスク材料と表記する。
(D) Laminate for forming fine mask (L / S)
Also for the line and space structure different from the dot shape of (c), the laminate for forming a fine mask of the present invention was prepared. A resin mold (L / S) was used, and three types of mask materials from the above-described mask material (A) to mask material (C) were prepared and used. A similar study was conducted for all these mask materials. Hereinafter, the mask materials (A), (B), and (C) are not distinguished and are all described as mask materials.

本発明の微細マスク形成用積層体を作製する為に、マスク材料を、DACHPを含むMEK溶液で希釈した。DACHPの量は、マスク材料100gに対して、20重量部〜600重量部の範囲で行った。希釈倍率は、単位平面積上の塗工膜中に含まれる固形分量が、樹脂モールド(L/S)の、微細凹凸構造の体積より大きくなるように設定した。ここで、固形分量とは、マスク材料と、DACHP中のフッ素含有(メタ)アクリレートとの総量を意味する。具体的には、lrlが、0,1.5nm(0.01h),3.75(0.025h),7.5nm(0.05h),15nm(0.1h),30nm(0.2h)になるように濃度を決定した。希釈は、マスク材料に、DACHPを含むMEKを滴下し、十分に攪拌することで行った。   In order to produce the laminate for forming a fine mask of the present invention, the mask material was diluted with a MEK solution containing DACHP. The amount of DACHP was 20 to 600 parts by weight with respect to 100 g of the mask material. The dilution rate was set so that the solid content contained in the coating film on the unit plane area was larger than the volume of the fine uneven structure of the resin mold (L / S). Here, the solid content means the total amount of the mask material and the fluorine-containing (meth) acrylate in DACHP. Specifically, lrl is 0, 1.5 nm (0.01 h), 3.75 (0.025 h), 7.5 nm (0.05 h), 15 nm (0.1 h), 30 nm (0.2 h). The concentration was determined to be Dilution was performed by dropping MEK containing DACHP into the mask material and stirring sufficiently.

樹脂モールド(L/S)の微細凹凸構造面に対するマスク材料の塗工は、検討(c)と同様に行った。   The mask material was applied to the fine concavo-convex structure surface of the resin mold (L / S) in the same manner as in the study (c).

得られた本発明の微細マスク形成用積層体の断面を、走査型電子顕微鏡および透過型電子顕微鏡で観察した。結果は検討(c)と同様であった。ただし、想定lrlが、1.5nmと、3.75nmの場合、走査型電子顕微鏡の分解能以下であるため、想定lrlとどの程度一致しているかは判定できなかった。走査型電子顕微鏡と、原子間力顕微鏡の組み合わせより、想定lrlが5nm以下であり、かつ、樹脂モールドの微細凹凸構造が充填されていることは判断できた。   The cross section of the obtained laminate for forming a fine mask of the present invention was observed with a scanning electron microscope and a transmission electron microscope. The result was the same as in the study (c). However, when the assumed lrl is 1.5 nm and 3.75 nm, it is less than the resolution of the scanning electron microscope, and therefore, it cannot be determined how much it matches the assumed lrl. From the combination of the scanning electron microscope and the atomic force microscope, it was possible to determine that the assumed lrl was 5 nm or less and the fine uneven structure of the resin mold was filled.

なお、使用した溶液を、石英上にスピンコート法にて薄膜化し、耐ドライエッチング性を評価した結果、DACHPの量が、500質量部以下で良好な結果が得られた、より結果が良好だったのは、300質量部以下であり、150質量部以下でなお良かった。一方で、塗工性に関しては、40質量部以上で、濡れ性が改善した。60質量部以上であれば、より良好に塗工可能であった。   The solution used was thinned on quartz by spin coating, and the dry etching resistance was evaluated. As a result, a good result was obtained when the amount of DACHP was 500 parts by mass or less. The amount was 300 parts by mass or less, and was still good at 150 parts by mass or less. On the other hand, with respect to coatability, the wettability was improved at 40 parts by mass or more. If it was 60 mass parts or more, it was able to coat more favorably.

(e)微細マスク形成用積層体使用
本発明の微細マスク形成用積層体を使用することで、容易に大面積に微細マスクを所望の基材上に形成できるかを確認した。微細マスク形成用積層体としては、検討(C)で作製した微細マスク形成用積層体(以下、単に微細マスク形成用積層体という)を使用した。
(E) Use of Fine Mask Forming Laminate By using the fine mask forming laminate of the present invention, it was confirmed whether a fine mask could be easily formed on a desired substrate on a large area. As the laminated body for forming a fine mask, the laminated body for forming a fine mask prepared in the study (C) (hereinafter simply referred to as a laminated body for forming a fine mask) was used.

使用した微細マスク形成用積層体は、200mの巻き取られた微細マスク形成用積層体から切り出し使用した。外形は、幅300mm、長さ600mmとした。微細マスク形成部分は、幅250mm、長さ600mmである。本発明の微細マスク形成用積層体を、有機樹脂層を介し、無機基材へと貼合し、光照射を行うことで、微細マスクを無機基材上に形成した。具体的には次のように行った。   The used laminated body for forming a fine mask was cut out from the wound laminated body for forming a fine mask of 200 m and used. The outer shape was 300 mm wide and 600 mm long. The fine mask forming portion has a width of 250 mm and a length of 600 mm. The fine mask-forming laminate of the present invention was bonded to an inorganic base material via an organic resin layer, and light irradiation was performed to form a fine mask on the inorganic base material. Specifically, it was performed as follows.

有機樹脂(A)… A液=OXT221;CEL2021P;M211B;M101A=20g:80g:50g:50g
B液=PGME;DTS102;DBA;I.184=300g:8g:1g:5g
A液:B液=100g:157g
有機樹脂(B)… MUR−XR02(丸善石油化学社製)
Organic resin (A) ... Liquid A = OXT221; CEL2021P; M211B; M101A = 20 g: 80 g: 50 g: 50 g
Liquid B = PGME; DTS102; DBA; 184 = 300 g: 8 g: 1 g: 5 g
Liquid A: Liquid B = 100 g: 157 g
Organic resin (B): MUR-XR02 (manufactured by Maruzen Petrochemical Co., Ltd.)

有機樹脂としては、上記有機樹脂(A)および有機樹脂(B)を、それぞれ別個に使用した。使用方法は同じなので、以下、有機樹脂とのみ表記する。   As the organic resin, the organic resin (A) and the organic resin (B) were used separately. Since the method of use is the same, only organic resin will be described below.

また、無機基材には、サファイア基材を使用した。   Moreover, the sapphire base material was used for the inorganic base material.

2インチφの無機基材表面を、オゾンにより親水処理した。続いて、有機樹脂を、溶剤(PGME、MIBKあるいは、シクロヘキサン)で希釈し、2000rpmの速度のスピンコート法により、無機基材のオゾン処理面上に薄膜を形成した。続いて、80℃のホットプレート上に2分間静置し、その後、120℃のホットプレート上に2分間静置し、溶剤を除去した。   The surface of an inorganic substrate having a diameter of 2 inches was subjected to a hydrophilic treatment with ozone. Subsequently, the organic resin was diluted with a solvent (PGME, MIBK, or cyclohexane), and a thin film was formed on the ozone-treated surface of the inorganic substrate by a spin coating method at a speed of 2000 rpm. Then, it left still on a 80 degreeC hotplate for 2 minutes, and then left still on a 120 degreeC hotplate for 2 minutes, and removed the solvent.

本発明の微細マスク形成用積層体の、マスク層面側(樹脂モールドの微細凹凸構造面側)を、無機基材上の有機樹脂層と貼合わせた。この時、有機樹脂層が形成された無機基材を4個×9個に配列し、合計36個の無機基材に対し、300mm×600mmの微細マスク形成用積層体を貼合した。   The mask layer surface side (the fine concavo-convex structure surface side of the resin mold) of the laminate for forming a fine mask of the present invention was bonded to an organic resin layer on an inorganic substrate. At this time, the inorganic base material on which the organic resin layer was formed was arranged in 4 × 9 pieces, and a 300 mm × 600 mm fine mask forming laminate was bonded to a total of 36 inorganic base materials.

貼合後、樹脂モールド上から、0.05MPaの圧力で加圧し、UV光を樹脂モールド上から照射した。有機樹脂(A)を使用した場合は、UV光照射後、室温で10分間静置した。続いて、105℃のオーブンで1.5分間加熱し、樹脂モールドを剥離した。有機樹脂(A)を使用した場合は、樹脂モールド剥離後に、再度UV照射を行った。   After the pasting, the resin mold was pressurized at a pressure of 0.05 MPa, and UV light was irradiated from above the resin mold. When the organic resin (A) was used, it was allowed to stand at room temperature for 10 minutes after UV light irradiation. Subsequently, the resin mold was peeled off by heating in an oven at 105 ° C. for 1.5 minutes. When the organic resin (A) was used, UV irradiation was performed again after the resin mold was peeled off.

得られた無機基材の微細凹凸構造面側に対し、走査型電子顕微鏡観察を行った結果、残膜厚のバラつきは±10%以下であり、均質、かつ、薄い残膜を持つマスク層/有機樹脂層/無機基材という構成が観察された。以上から、本発明の微細マスク形成用積層体を使用することで、合計面積が大きく残膜が非常に薄いマスク層を、容易かつ迅速に形成できることがわかる。   As a result of observation with a scanning electron microscope on the fine uneven surface side of the obtained inorganic base material, the variation of the remaining film thickness is ± 10% or less, and the mask layer having a uniform and thin remaining film / A configuration of organic resin layer / inorganic substrate was observed. From the above, it can be seen that by using the laminate for forming a fine mask of the present invention, a mask layer having a large total area and a very thin remaining film can be easily and rapidly formed.

最後に、無機基材の加工が可能か否かを検証した。微細マスク形成用積層体としては、樹脂モールド(dot)のものを使用した。   Finally, it was verified whether the inorganic base material can be processed. As the laminate for forming a fine mask, a resin mold (dot) was used.

まず、マスク層の残膜をエッチングにより除去し、続いて、Oによるエッチングを行い、有機層を微細構造化した。想定lrlが0nmの場合、残膜lrlのない部分も部分的に存在するため、残膜処理後の、マスク層および有機層からなる微細構造にムラが観察された。一方、想定lrlが0.2hの場合、残膜処理により、マスク層幅が大きく減少し、マスク層をマスクとして用いる有機樹脂層のエッチングがうまくいかなかった。想定lrlが0.01h以上0.1以下の場合、問題なく有機樹脂層までエッチングできた。 First, the remaining film of the mask layer was removed by etching, followed by etching with O 2 to refine the organic layer. When the assumed lrl was 0 nm, a portion without the remaining film lrl was also partially present, so that unevenness was observed in the fine structure composed of the mask layer and the organic layer after the remaining film treatment. On the other hand, when the assumed lrl was 0.2 h, the mask layer width was greatly reduced by the remaining film treatment, and the etching of the organic resin layer using the mask layer as a mask was not successful. When the assumed lrl was 0.01 h or more and 0.1 or less, the organic resin layer could be etched without any problem.

続いて、lrlが0nm以上0.1hnm以下の場合に関し、マスク層および有機樹脂層をマスクとして用いる塩素系ガスによるエッチングを行うことで、無機基材をエッチングした。最後に、アッシングにより、マスク層および有機樹脂層をすべて排除した。得られた無機基材を走査型電子顕微鏡にて観察した結果、表面に、樹脂モールドの微細凹凸構造と同様のピッチを有する微細凹凸構造が形成されていた。   Subsequently, in the case where lrl is 0 nm or more and 0.1 hnm or less, the inorganic base material was etched by performing etching with a chlorine-based gas using the mask layer and the organic resin layer as a mask. Finally, the mask layer and the organic resin layer were all removed by ashing. As a result of observing the obtained inorganic base material with a scanning electron microscope, a fine concavo-convex structure having the same pitch as the fine concavo-convex structure of the resin mold was formed on the surface.

なお、本発明は上記実施の形態に限定されず、さまざまに変更して実施可能である。上記実施の形態において、添付図面に図示されている大きさや形状などについては、これに限定されず、本発明の効果を発揮する範囲内で適宜変更が可能である。その他、本発明の目的の範囲を逸脱しない限りにおいて適宜変更して実施可能である。   In addition, this invention is not limited to the said embodiment, It can implement variously. In the above-described embodiment, the size, shape, and the like illustrated in the accompanying drawings are not limited thereto, and can be appropriately changed within a range in which the effect of the present invention is exhibited. In addition, various modifications can be made without departing from the scope of the object of the present invention.

1 微細マスク形成用積層体
10 基材
11 樹脂層
12 マスク層
DESCRIPTION OF SYMBOLS 1 Laminated body for fine mask formation 10 Base material 11 Resin layer 12 Mask layer

Claims (10)

基材と、
前記基材の一主面上に設けられ、表面に凹凸構造を有する樹脂層と、
前記樹脂層を覆うように設けられたマスク層と、を具備し、
厚み方向に沿った断面視における前記凹凸構造の凸部の頂部位置(S)と、前記マスク層の露出する表面位置(Srl)との距離(lrl)が、下記式(1)を満たすことを特徴とする微細マスク形成用積層体。
0<lrl≦0.1h (1)
(ただし、前記位置(S)と前記凹部底部位置との距離で表される、前記凹凸構造の高さ(深さ)をhとする。)
A substrate;
A resin layer provided on one main surface of the base material and having a concavo-convex structure on the surface;
A mask layer provided so as to cover the resin layer,
The distance (lrl) between the top position (S) of the convex portion of the concavo-convex structure in the sectional view along the thickness direction and the surface position (Srl) where the mask layer is exposed satisfies the following formula (1). A laminate for forming a fine mask.
0 <lrl ≦ 0.1h (1)
(However, the height (depth) of the concavo-convex structure represented by the distance between the position (S) and the recess bottom position is assumed to be h.)
下記式(2)を満たすことを特徴とする請求項1に記載の微細マスク形成用積層体。
0<lrl≦0.05h (2)
The laminated body for forming a fine mask according to claim 1, wherein the following formula (2) is satisfied.
0 <lrl ≦ 0.05h (2)
前記凹凸構造はピラー形状で構成され、
前記凹凸構造の1つの凸部の頂部を形成する面における最長の線分の長さがサブミクロンスケールであることを特徴とする請求項1または請求項2に記載の微細マスク形成用積層体。
The concavo-convex structure is constituted by a pillar shape,
The laminate for forming a fine mask according to claim 1 or 2, wherein the length of the longest line segment on the surface forming the top of one convex portion of the concavo-convex structure is a submicron scale.
前記凹凸構造の凸部は、凸部頂部の方が凸部底部より面積が小さく、外側面が傾斜する構造であることを特徴とする請求項3に記載の微細マスク形成用積層体。   The laminate for forming a fine mask according to claim 3, wherein the convex part of the concave-convex structure has a structure in which the top part of the convex part is smaller in area than the bottom part of the convex part and the outer surface is inclined. 前記凹凸構造はホール形状で構成され、
1つのホールの開口淵部と、前記ホールに最近接するホールの開口淵部とをつなぐ最短の線分の長さがサブミクロンスケールであることを特徴とする請求項1または請求項2に記載の微細マスク形成用積層体。
The concavo-convex structure is formed in a hole shape,
The length of the shortest line segment connecting the opening flange of one hole and the opening flange of the hole closest to the hole is a submicron scale. Laminate for forming fine mask.
前記凹凸構造の凹部は、凹部開口部の方が凹部底部より面積が大きく、内側面が傾斜する構造であることを特徴とする請求項5に記載の微細マスク形成用積層体。   The laminate for forming a fine mask according to claim 5, wherein the concave portion of the concave-convex structure has a structure in which the concave opening has a larger area than the bottom of the concave portion and the inner surface is inclined. 前記樹脂層が、フッ素含有樹脂で構成されることを特徴とする請求項1から請求項6のいずれかに記載の微細マスク形成用積層体。   The laminate for forming a fine mask according to any one of claims 1 to 6, wherein the resin layer is made of a fluorine-containing resin. 前記樹脂層の前記位置(S)側領域中の表面フッ素元素濃度(Es)と、前記樹脂層中の平均フッ素濃度(Eb)との比が、下記式(3)を満たすことを特徴とする請求項7に記載の微細マスク形成用積層体。
1<Es/Eb≦30000 (3)
The ratio between the surface fluorine element concentration (Es) in the position (S) side region of the resin layer and the average fluorine concentration (Eb) in the resin layer satisfies the following formula (3): The laminate for forming a fine mask according to claim 7.
1 <Es / Eb ≦ 30000 (3)
前記マスク層が、金属元素を含むことを特徴とする請求項1から請求項8のいずれかに記載の微細マスク形成用積層体。   The laminate for forming a fine mask according to any one of claims 1 to 8, wherein the mask layer contains a metal element. 前記マスク層が、ゾルゲル材料を含むことを特徴とする請求項1から請求項8のいずれかに記載の微細マスク形成用積層体。   The laminate for forming a fine mask according to any one of claims 1 to 8, wherein the mask layer contains a sol-gel material.
JP2011145803A 2011-06-30 2011-06-30 Laminate for forming fine mask and method for processing object Expired - Fee Related JP5820639B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2011145803A JP5820639B2 (en) 2011-06-30 2011-06-30 Laminate for forming fine mask and method for processing object

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2011145803A JP5820639B2 (en) 2011-06-30 2011-06-30 Laminate for forming fine mask and method for processing object

Publications (2)

Publication Number Publication Date
JP2013012673A true JP2013012673A (en) 2013-01-17
JP5820639B2 JP5820639B2 (en) 2015-11-24

Family

ID=47686291

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011145803A Expired - Fee Related JP5820639B2 (en) 2011-06-30 2011-06-30 Laminate for forming fine mask and method for processing object

Country Status (1)

Country Link
JP (1) JP5820639B2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013225625A (en) * 2012-04-23 2013-10-31 Tokuyama Corp Composition for photocurable nanoimprint and formation method of pattern
JP2015115436A (en) * 2013-12-11 2015-06-22 旭化成イーマテリアルズ株式会社 Function-transfer body and transfer method of functional layer

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005288803A (en) * 2004-03-31 2005-10-20 Tadahiro Omi Mold or stamper made of resin
JP2010199298A (en) * 2009-02-25 2010-09-09 Toshiba Corp Method for manufacturing fine concave-convex pattern and sheet for manufacturing fine concave-convex pattern
JP2011066273A (en) * 2009-09-18 2011-03-31 Konica Minolta Holdings Inc Method of forming fine mask pattern, nanoimprint lithography method, and method of manufacturing microstructure

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005288803A (en) * 2004-03-31 2005-10-20 Tadahiro Omi Mold or stamper made of resin
JP2010199298A (en) * 2009-02-25 2010-09-09 Toshiba Corp Method for manufacturing fine concave-convex pattern and sheet for manufacturing fine concave-convex pattern
JP2011066273A (en) * 2009-09-18 2011-03-31 Konica Minolta Holdings Inc Method of forming fine mask pattern, nanoimprint lithography method, and method of manufacturing microstructure

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013225625A (en) * 2012-04-23 2013-10-31 Tokuyama Corp Composition for photocurable nanoimprint and formation method of pattern
JP2015115436A (en) * 2013-12-11 2015-06-22 旭化成イーマテリアルズ株式会社 Function-transfer body and transfer method of functional layer

Also Published As

Publication number Publication date
JP5820639B2 (en) 2015-11-24

Similar Documents

Publication Publication Date Title
JP6038261B2 (en) Resin mold and manufacturing method thereof
JP6162640B2 (en) Thermal imprint device
JP5243672B1 (en) Fine pattern forming laminate and method for producing fine pattern forming laminate
WO2012077738A1 (en) Fine-structure laminate, method for preparing fine-structure laminate, and production method for fine-structure laminate
WO2013002048A1 (en) Convexo-concave microstructure transcription template
JP6177168B2 (en) Etching work material and etching method using the same
JP5658001B2 (en) Resin mold
JP6067290B2 (en) Metamaterial transfer laminate and method for producing metamaterial transferred substrate
JP5813418B2 (en) Manufacturing method of fine pattern
JP5839877B2 (en) Resin mold for spin coating
JP5820639B2 (en) Laminate for forming fine mask and method for processing object
JP5872369B2 (en) Manufacturing method of substrate with fine uneven pattern
JP2012116108A (en) Resin mold
JP2012101483A (en) Resin mold manufacturing method
JP2018069712A (en) Sheet with fine uneven structure, inorganic material packed sheet, resist sheet with fine uneven structure, substrate with fine uneven structure, and manufacturing method of substrate with fine uneven structure

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20131001

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20140523

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150224

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20150227

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150424

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20150915

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20151005

R150 Certificate of patent or registration of utility model

Ref document number: 5820639

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees