JP2011171732A - リソグラフィ装置を制御するための方法および装置 - Google Patents

リソグラフィ装置を制御するための方法および装置 Download PDF

Info

Publication number
JP2011171732A
JP2011171732A JP2011026880A JP2011026880A JP2011171732A JP 2011171732 A JP2011171732 A JP 2011171732A JP 2011026880 A JP2011026880 A JP 2011026880A JP 2011026880 A JP2011026880 A JP 2011026880A JP 2011171732 A JP2011171732 A JP 2011171732A
Authority
JP
Japan
Prior art keywords
substrate
lithographic apparatus
subsystem
lithographic
estimated model
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2011026880A
Other languages
English (en)
Other versions
JP5178855B2 (ja
Inventor
Boris Menchtchikov
メンチチコフ,ボリス
Alexandre Padiy
パディー,アレキサンドレ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of JP2011171732A publication Critical patent/JP2011171732A/ja
Application granted granted Critical
Publication of JP5178855B2 publication Critical patent/JP5178855B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70516Calibration of components of the microlithographic apparatus, e.g. light sources, addressable masks or detectors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70525Controlling normal operating mode, e.g. matching different apparatus, remote control or prediction of failure

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

【課題】改善されたオフラインパラメータ化モデリングの効果的なシステムおよび方法が必要とされている。
【解決手段】リソグラフィ露光プロセスが、スキャナを用いて基板に対して実施される。スキャナは、いくつかのサブシステムを備える。露光中にサブシステムから生じるオーバーレイエラーがある。オーバーレイエラーをスキャトロメータを用いて測定すると、オーバーレイ測定値が取得される。オーバーレイ測定値から、様々な推定モデルパラメータサブセットを別々に求めるように、モデリングが実施される。各サブセットは、リソグラフィ装置の対応する特定のサブシステムから生じるオーバーレイエラーに関係する。最後に、スキャナにおいて、スキャナの特定のサブシステムの制御をその対応する推定モデルパラメータサブセットを用いて行うことによって、露光が制御される。この結果、製品ウェーハが、十分に制御されたオーバーレイで露光される。
【選択図】図9

Description

[0001] 本発明は、例えばリソグラフィ技法によるデバイスの製造の際に有用なリソグラフィプロセスの、オーバーレイなどのエラーを補正するために、リソグラフィ装置を制御することに関する。
[0002] リソグラフィ装置は、基板上に、通常は基板のターゲット部分上に、所望のパターンを与える機械である。例えば、リソグラフィ装置は、集積回路(IC)の製造において使用することが可能である。その場合、マスクまたはレチクルと代替的に呼ばれるパターニングデバイスを使用して、ICの個々の層上に形成すべき回路パターンを生成することができる。このパターンは、基板(例えばシリコンウェーハ)上のターゲット部分(例えばダイの一部、1つのダイ、またはいくつかのダイを含む)上に転写することが可能である。典型的には、パターンの転写は、基板上に設けられた放射感応性材料(レジスト)層の上への結像によるものである。一般的には、単一の基板が、次々にパターニングされる網状の隣接するターゲット部分を含む。既知のリソグラフィ装置としては、各ターゲット部分がターゲット部分上へのパターン全体の一度の露光により照射されるいわゆるステッパ、ならびに各ターゲット部分が放射ビームによるパターンの所与の方向(「スキャニング」方向)へのスキャニングと同時に、この方向に対して平行なまたは逆平行な基板の同期的なスキャニングとによって照射されるいわゆるスキャナが含まれる。
[0003] リソグラフィプロセスを監視するために、パターン付き基板のパラメータが測定される。パラメータには、例えば、パターン付き基板の中または上に形成される連続した層の間のオーバーレイエラー、および現像後の感光性レジストのクリティカルライン幅があり得る。この測定は、製品基板および/または専用のメトロロジーターゲットに対して実施することができる。走査電子顕微鏡および様々な専用ツールの使用を含めて、リソグラフィプロセスで形成された微視的構造を測定するための様々な技法がある。高速で非侵襲的な形態の専用インスペクションツールに、基板面上のターゲット上に放射ビームを向けて、散乱され、または反射されたビームの特性を測定するスキャトロメータがある。基板による反射または散乱の前後でビームの特性を比較することにより、基板の特性を求めることができる。これは、例えば、反射されたビームを既知の基板特性に関連する既知の測定値ライブラリに保存されたデータと比較することにより行うことができる。2つの主要なタイプのスキャトロメータが知られている。分光スキャトロメータは、基板上に広帯域の放射ビームを向けて、特定の狭い角度範囲に散乱した放射のスペクトル(波長の関数としての強度)を測定する。角度分解スキャトロメータは、単色放射ビームを用いて、散乱放射の強度を角度の関数として測定する。
[0004] 典型的に、ウェーハ上のオーバーレイエラーなどの基板の特性は、露光フィールドおよびウェーハ基板全体にわたって測定される。オーバーレイエラーは、各測定点で制御されるのではなく、パラメータ化を使用して、フィールドおよびウェーハ全体にわたるオーバーレイがキャラクタライズされる。
[0005] このパラメータ化により、オーバーレイエラーは、ウェーハおよびフィールドの位置の関数として表される。このパラメータ化は、10パラメータモデルなどの単純なものでも、高次多項式の基底系などのより複雑なものでもよい。このパラメータ化を用いて、ウェーハ(フィールド)全体にわたるオーバーレイエラーをゼロにするためにリソグラフィ装置において適用すべき補正値を計算することができる。
[0006] 現在、オフラインパラメータ化モデルは、オーバーレイエラーを包括的に考慮している。そのため、最適ではない補正値をリソグラフィ装置において適用することにより、維持管理が効果的ではなくなることがある。この維持管理の不正確さにより、リソグラフィ装置のより頻繁なオンライン再較正を実施する必要が生じ、または他のフィールド位置およびサイズにとっては一般的でない、較正のフィールド位置およびサイズに固有の補正値(較正用レイアウト対生産用レイアウト)が生み出されている。
[0007] したがって、改善されたオフラインパラメータ化モデリングの効果的なシステムおよび方法が必要とされている。
[0008] 本発明の一実施形態では、前記リソグラフィプロセスから生じる基板特性を測定して、基板特性測定値を取得すること、および基板特性測定値から、リソグラフィ装置の様々な推定モデルパラメータサブセットを別々に求めることを含む、リソグラフィ装置を制御する方法が提供される。さらに、各サブセットは、リソグラフィ装置の対応する特定のサブシステムから生じる基板特性のエラーに関係する。さらに、リソグラフィ装置の制御は、リソグラフィ装置の特定のサブシステムの制御を、制御される特定のサブシステムの対応する推定モデルパラメータサブセットを用いて行うことを含む。
[0009] 本発明の別の実施形態では、基板に対してリソグラフィプロセスを実施するために使用されるリソグラフィ装置によるリソグラフィ処理を制御するための装置であって、リソグラフィプロセスから生じる基板特性を測定して、基板特性測定値を取得するためのインスペクション装置と、基板特性測定値から、リソグラフィ装置の様々な推定モデルパラメータサブセットを別々に求めるためのプロセッサとを含む装置が提供される。さらに、各サブセットは、リソグラフィ装置の対応する特定のサブシステムから生じる前記基板特性のエラーに関係する。さらに、リソグラフィ装置の制御は、リソグラフィ装置の特定のサブシステムの制御を、制御される特定のサブシステムの対応する推定モデルパラメータサブセットを用いて行うことを含む。
[0010] 本発明の別の実施形態では、基板に対してリソグラフィプロセスを実施するために使用されるリソグラフィ装置によるリソグラフィ処理を制御するための、機械読取可能命令の1つまたは複数のシーケンスを含むコンピュータプログラムプロダクトであって、命令が、1つまたは複数のプロセッサに、リソグラフィプロセスから生じる基板特性測定値を受け取らせ、基板特性測定値から、リソグラフィ装置の様々な推定モデルパラメータサブセットを別々に求めさせるように適合される、コンピュータプログラムプロダクトが提供される。さらに、各サブセットは、リソグラフィ装置の対応する特定のサブシステムから生じる基板特性のエラーに関係する。さらに、リソグラフィ装置の制御は、リソグラフィ装置の特定のサブシステムの制御を、制御される特定のサブシステムの対応する推定モデルパラメータサブセットを用いて行うことを含む。
[0011] 以下、本発明の他の実施形態、特徴、および利点、ならびに本発明の様々な実施形態の構造および動作について、添付の図面を参照して詳細に説明する。本発明は、本明細書において説明されている特定の実施形態に限定されないことに留意されたい。このような実施形態は、単に説明を目的として本明細書において示されているにすぎない。当業者には、本明細書に包含されている教示に基づく追加実施形態が明らかであろう。
[0012] 次に、本発明の実施形態を、ほんの一例として、対応する参照符号が対応する部分を示す添付の概略図を参照して説明する。さらに、本明細書に組み込まれ、本明細書の一部をなす添付の図面は、本発明を例示するものであり、さらに、本記載とともに本発明の原理を説明し、かつ当業者が本発明を作製し、使用できるようにする働きをする。
[0013]本発明の一実施形態によるリソグラフィ装置を示す図である。 [0014]本発明の一実施形態によるリソグラフィセルまたはクラスタを示す図である。 [0015]本発明の一実施形態による第1のスキャトロメータを示す図である。 [0016]本発明の一実施形態による第2のスキャトロメータを示す図である。 [0017]本発明の一実施形態による別々の測定ステージおよび露光ステージを有するリソグラフィ装置のコンポーネントを示す概略図である。 [0018]本発明の一実施形態による、図5の装置内での既知の慣行に従った測定プロセスおよび露光プロセス内のステージを概略的に示す図である。 [0019]本発明の一実施形態による、基体上でウェーハステージを移動させるための構成の第1の例を示す図である。 [0020]本発明の一実施形態による、基体上でウェーハステージを移動させるための構成の第2の例を示す図である。 [0021]本発明の一実施形態による、スキャナ安定モジュールを利用するリソグラフィプロセス内の制御ループを示す図である。 [0022]本発明の一実施形態による方法を示すフローチャートである。
[0023] 本発明の特徴および利点は、以下に記載の詳細な説明を、図面と併せ読めばより明白となろう。図面では、全体を通して、同じ参照符号は対応する要素を示す。図面では、同じ参照番号は、同じ要素、機能的に類似した要素、および/または構造的に類似した要素を全体として示す。
[0024] 本明細書には、本発明の特徴を組み込んだ1つまたは複数の実施形態が開示されている。開示されている1つまたは複数の実施形態は、単に本発明を例示したものにすぎない。本発明の範囲は、開示されている1つまたは複数の実施形態に限定されない。本発明は、本明細書に添付の特許請求の範囲によって定義されている。
[0025] 説明される1つまたは複数の実施形態、および「1つの実施形態」、「ある実施形態」、「1つの例の実施形態」などについての本明細書での言及は、説明される1つまたは複数の実施形態が特定の特徴、構造または特性を含み得ることを示すが、全ての実施形態が必ずしもその特定の特徴、構造、または特性を含むとは限らない。さらに、そのような語句は、必ずしも同じ実施形態を参照するとは限らない。さらに、特定の特徴、構造、または特性がある実施形態に関連して説明されるとき、明示的に説明されようとされまいと他の実施形態に関連してそのような特徴、構造、または特性に影響を及ぼすことは当業者の知識の範囲内にあることは、理解される。
[0026] 本発明の実施形態は、ハードウェア、ファームウェア、ソフトウェア、またはそれらの任意の組合せとして実装されてよい。さらに、本発明の実施形態は、機械読取可能媒体に記憶された命令として実施されてもよく、この命令は、1つまたは複数のプロセッサによって読み込まれ、実行され得る。機械読取可能媒体は、機械(例えばコンピュータデバイス)によって読取可能な形態の情報を記憶または伝達するための任意の機構を含んでよい。例えば、機械読取可能媒体は、リードオンリーメモリ(ROM)、ランダムアクセスメモリ(RAM)、磁気ディスク記憶媒体、光記憶媒体、フラッシュメモリデバイス、電気式、光学式、音響式、または他の形態の伝播信号(例えば、搬送波、赤外線信号、デジタル信号、等々)、および他のものを含んでよい。さらに、本明細書においては、ファームウェア、ソフトウェア、ルーチン、命令が、特定の動作を実行するものとして説明される場合がある。しかし、そのような説明は、もっぱら便宜上のものであり、そのような動作は、実際には、コンピュータデバイス、プロセッサ、制御装置、または他のデバイスがそのファームウェア、ソフトウェア、ルーチン、命令などを実行することにより行われることを理解されたい。
[0027] しかし、そのような実施形態をさらに詳細に説明する前に、本発明の実施形態を実施し得る例示の一環境を提示することが有益である。
[0028] 図1は、本発明の一実施形態によるリソグラフィ装置を概略的に示したものである。この装置は、放射ビームB(例えばUV放射またはDUV放射)を条件付けるように構成された照明システム(イルミネータ)ILと、パターニングデバイス(例えばマスク)MAを支持するように構築されたサポート構造(例えばマスクテーブル)MTであって、特定のパラメータに従ってパターニングデバイスを正確に位置決めするように構成された第1ポジショナPMに接続されたサポート構造(例えばマスクテーブル)MTと、基板(例えばレジストコートウェーハ)Wを保持するように構築された基板テーブル(例えばウェーハテーブル)WTであって、特定のパラメータに従って基板を正確に位置決めするように構成された第2ポジショナPWに接続された基板テーブル(例えばウェーハテーブル)WTと、パターニングデバイスMAによって放射ビームBに与えられたパターンを基板Wのターゲット部分C(例えば1つまたは複数のダイが含まれている)の上に投影するように構成された投影システム(例えば屈折投影レンズシステム)PLとを備えている。
[0029] この照明システムは、放射を導くか、整形するか、または制御するために、屈折、反射、磁気、電磁気、静電気など様々なタイプの光学コンポーネント、または他のタイプの光学コンポーネント、あるいはそれらの任意の組合せを含んでよい。
[0030] サポート構造は、パターニングデバイスを支持する、すなわちパターニングデバイスの重量を支える。サポート構造は、パターニングデバイスの配向、リソグラフィ装置の設計、および例えばパターニングデバイスが真空環境内に保持されるかどうかなどの他の条件に応じて、パターニングデバイスを保持する。サポート構造は、パターニングデバイスを保持するために、機械式、真空式、静電式または他のクランプ技術を使用することが可能である。サポート構造は、フレームまたはテーブルであってよく、例えばこれらは、必要に応じて固定式または可動式のものであってよい。サポート構造によって、パターニングデバイスが例えば投影システムに対して所望の位置に位置することを確実にすることができる。本明細書において使用される「レチクル」または「マスク」という用語はいずれも、より一般的な用語である「パターニングデバイス」と同義であると見なしてよい。
[0031] 本明細書に使用される用語「パターニングデバイス」は、基板のターゲット部分内にパターンを生成するように放射ビームの断面内にパターンを与えるために使用することができる任意のデバイスを指すものと広義に解釈されたい。例えばパターンが位相シフトフィーチャまたはいわゆるアシストフィーチャを含むと、放射ビームに与えられたパターンが、基板のターゲット部分内の所望のパターンと正確に一致しない可能性があることに留意されたい。一般に、放射ビームに与えられたパターンは、集積回路などのターゲット部分に生成されるデバイス内の特定の機能の層に相当することになる。
[0032] パターニングデバイスは、透過型または反射型とすることができる。パターニングデバイスの諸例には、マスク、プログラマブルミラーアレイ、プログラマブルLCDパネルが含まれる。マスクはリソグラフィで周知であり、バイナリ、レベンソン型(alternating)位相シフト、ハーフトーン型(attenuated)位相シフトなどのマスクタイプ、ならびに様々なハイブリッドマスクタイプを含む。プログラマブルミラーアレイの一例は、小さな鏡の行列構成を使用し、鏡のそれぞれは、入来放射ビームを様々な方向で反射するように個別に傾けることができる。傾斜式鏡は、鏡行列によって反射される放射ビーム内にパターンを与える。
[0033] 本明細書で使用される「投影システム」という用語は、使用される露光放射にとって、あるいは、液浸液の使用または真空の使用など他の要因にとって適切なように、屈折光学システム、反射光学システム、反射屈折光学システム、磁気光学システム、電磁光学システム、静電光学システム、またはそれらの任意の組合せを含めて、様々なタイプの投影システムを包含するものとして広く解釈するべきである。本明細書において「投影レンズ」という用語を使用することがあればそれは、「投影システム」という、より一般的な用語と同義と見なすことができる。
[0034] 本実施形態では、例えば、本装置は、(例えば、透過マスクを使用する)透過タイプのものである。別法として、本装置は、(例えば、上記で参照されているタイプのプログラマブルミラーアレイを使用する、または反射マスクを使用する)反射タイプのものとすることができる。
[0035] リソグラフィ装置は、2つ(デュアルステージ)以上の基板テーブル、および例えば2つ以上のマスクテーブルを有するタイプとすることができる。このような「マルチステージ」機では、追加のテーブルが並行して使用されることがあり、あるいは、1つまたは複数のテーブルの上で準備段階を実施する一方で、他の1つまたは複数のテーブルが露光のために使用されることもある。
[0036] リソグラフィ装置は、投影システムと基板との間のスペースを充填するように、基板の少なくとも一部分が比較的高屈折率を有する液体、例えば水によって覆われ得るタイプでもよい。リソグラフィ装置内の他のスペース、例えばマスクと投影システムとの間にも液浸液が適用されてよい。投影システムの開口数を増加させるための液浸技法は当業界で周知である。本明細書に使用される用語「液浸」は、液体に基板などの構造体を沈めなければならないことを意味するのではなく、むしろ、露光の間投影システムと基板との間に液体が配置されることを意味するだけである。
[0037] 図1を参照すると、イルミネータILが、放射源SOから放射ビームを受け取る。放射源およびリソグラフィ装置は、例えば、放射源がエキシマレーザであるとき、別々のものとすることができる。そのような場合には、放射源は、リソグラフィ装置の一部を形成しているとは見なされず、放射ビームが、例えば適切な誘導ミラーおよび/またはビームエキスパンダを含むビームデリバリシステムBDを用いて、放射源SOからイルミネータILに渡される。別の場合には、例えば放射源が水銀ランプであるとき、放射源をリソグラフィ装置の一部とすることができる。放射源SOおよびイルミネータILは、必要ならビームデリバリシステムBDとともに、放射システムと呼ぶことができる。
[0038] イルミネータILは、放射ビームの角度強度分布を調整するアジャスタADを含むことができる。一般に、イルミネータの瞳面内の強度分布の、通常σ−outer、σ−innerとそれぞれ呼ばれる、少なくとも外側および/または内側半径範囲を調整することができる。さらに、イルミネータILは、インテグレータINおよびコンデンサCOなどの様々な他のコンポーネントを含むことができる。イルミネータを使用して、断面内に所望の均一性および強度分布を持つように放射ビームを条件付けすることができる。
[0039] 放射ビームBは、サポート構造(例えばマスクテーブルMT)上に保持されるパターニングデバイス(例えばマスクMA)に入射し、パターニングデバイスによりパターニングされる。マスクMAを横断すると、放射ビームBは、投影システムPLを通過し、同システムは基板Wのターゲット部分C上にビームを集束させる。第2ポジショナPWおよび位置センサIF(例えば、干渉計デバイス、リニアエンコーダ、2次元エンコーダ、または容量センサ)の補助により、例えば放射ビームBの経路中に個々のターゲット部分Cを位置決めするように、基板テーブルWTを正確に移動させることが可能となる。同様に、第1ポジショナPMおよび別の位置センサ(図1には明示されない)を使用して、例えばマスクライブラリから機械的に取り出した後に、またはスキャンの最中に、放射ビームBの経路に対してマスクMAを正確に位置決めすることが可能である。一般的には、マスクテーブルMTの移動は、ロングストロークモジュール、例えば粗動位置決め、およびショートストロークモジュール、例えば微動位置決めの補助により実現することができ、これらのモジュールが、第1ポジショナPMの一部を形成する。同様に、基板テーブルWTの移動は、ロングストロークモジュールおよびショートストロークモジュールを使用して実現することができ、これらのモジュールが、第2ポジショナPWの一部を形成する。ステッパの場合には、スキャナとは対照的に、マスクテーブルMTは、ショートストロークアクチュエータのみに連結することができ、または固定することができる。マスクMAおよび基板Wは、マスクアライメントマークM1、M2および基板アライメントマークP1、P2を使用して位置合わせすることができる。図示される基板アライメントマークは専用ターゲット部分に位置を占めるが、これらは、ターゲット部分間のスペースに配置することができ、それらはスクライブラインアライメントマークとして知られる。同様に、2つ以上のダイがマスクMA上に設けられる状況では、マスクアライメントマークはダイ間に配置することができる。
[0040] 図示される装置は、以下のモードの少なくとも1つにおいて使用することが可能である。
1. ステップモードでは、マスクテーブルMTおよび基板テーブルWTが、実質的に静止状態に保たれ、放射ビームに与えられた全パターンが、一度でターゲット部分C上に投影される(すなわち単一静的露光)。次いで、基板テーブルWTは、別のターゲット部分Cを露光することが可能となるようにX方向および/またはY方向にシフトされる。ステップモードでは、露光フィールドの最大サイズが、単一静的露光においてイメージングされるターゲット部分Cのサイズを限定する。
2. スキャンモードでは、マスクテーブルMTおよび基板テーブルWTが、同期してスキャンされ、放射ビームに与えられたパターンが、ターゲット部分C上に投影される(すなわち単一動的露光)。マスクテーブルMTに対する基板テーブルWTの速度および方向は、投影システムPLの拡大率(縮小率)および像反転特性により決定することができる。スキャンモードでは、露光フィールドの最大サイズが、単一動的露光におけるターゲット部分の(非スキャニング方向の)幅を限定し、スキャニング動作の長さが、ターゲット部分の(スキャニング方向の)高さを決定する。
3. 別のモードでは、マスクテーブルMTが、プログラマブルパターニングデバイスを保持しつつ実質的に静止状態に保たれ、基板テーブルWTが、移動されまたはスキャンされるとともに、放射ビームに与えられたパターンが、ターゲット部分C上に投影される。このモードでは、一般的にはパルス放射源が使用され、プログラマブルパターニングデバイスは、基板テーブルWTの各移動の後で、またはスキャン中の連続放射パルスの間に、必要に応じて更新される。この作動モードは、上述のタイプのプログラマブルミラーアレイなどのプログラマブルパターニングデバイスを使用するマスクレスリソグラフィに容易に応用することが可能である。
[0041] 上で説明した使用モードの組合せおよび/または変形形態、あるいは全く異なる使用モードを使用することも可能である。
[0042] 図2に示されるように、本発明の一実施形態によれば、リソグラフィ装置LAは、リソセルまたはクラスタとも称されることがあるリソグラフィセルLCの一部分を形成し、リソグラフィセルLCは、基板に対して前露光プロセスおよび後露光プロセスを実行するための装置も含む。従来、これらは、レジスト層を堆積するためのスピンコータSC、露光済みレジストを現像するためのデベロッパDE、冷却プレートCHおよびベークプレートBKを含む。基板ハンドラまたはロボットROは、入出力ポートI/O1、I/O2から基板を取り上げ、それらを様々なプロセス装置間で移動し、次いでリソグラフィ装置のロードベイLBへ送出する。総体としてしばしばトラックと称されるこれらのデバイスは、トラック制御ユニットTCUの制御下にあり、トラック制御ユニットTCUはそれ自体が監視制御システムSCSによって制御され、監視制御システムSCSは、リソグラフィ制御ユニットLACUを介してリソグラフィ装置も制御する。したがって、スループットおよび処理効率を最大化するように様々な装置を動作させることができる。
[0043] リソグラフィ装置によって露光される基板を正確にむらなく露光するために、続く層の間のオーバーレイエラー、ラインの太さ、クリティカルディメンション(CD)などの特性を測定するように、露光済み基板を検査することが望ましい。エラーが検出されると、同一バッチの他の基板がまだ露光されていないうちに、とりわけ検査を十分に早く高速で行えるなら、後続の基板の露光に対して例えば調整を行うことができる。また、既に露光済の基板は、歩留まりを改善するために剥離または再加工し、あるいは場合によっては廃棄し、それによって不良であると分かっている基板に対する露光の実行を回避することができる。基板のいくつかのターゲット部分だけが不良であるときには、不良ではないと思われるターゲット部分に対してのみ、さらなる露光を実行することができる。
[0044] インスペクション装置は、基板の特性を求めるために使用され、具体的には別々の基板の特性または同一の基板の別々の層の特性が層から層へどのように変化するかを明らかにするために使用される。インスペクション装置は、リソグラフィ装置LAまたはリソセルLCへ組み込まれてよく、あるいはスタンドアロンのデバイスでよい。最も速い測定を可能にするために、インスペクション装置は、露光の直後に、露光済みレジスト層で特性を測定するのが望ましい。しかし、放射で露光されたレジスト部分と露光されていないレジスト部分との間にほんのごくわずかな屈折率の差しかない場合と同様に、レジスト内の潜像のコントラストは非常に低く、全てのインスペクション装置が潜像の有効な測定を行うのに十分な感度を有するとは限らない。したがって、測定は露光後ベーク工程(PEB)の後に行われてよく、この工程は、通常、露光済み基板に対して最初に実行され、レジストの露光済み部分と未露光部分との間のコントラストを向上させる。この段階で、レジスト内の像は半潜像的であると称されてよい。レジストの露光済み部分または未露光部分が除去された時点、あるいはエッチングなどのパターン転写工程の後に、現像済みレジスト像測定を行うことも可能である。後者の可能性は、不良基板の再加工のための可能性を制限するが、やはり有益な情報をもたらすことができる。
[0045] 本発明の一実施形態によれば、図3は、本発明で使用され得るスキャトロメータを示す。これは、基板W上に放射を投影する広帯域、例えば白色光放射プロジェクタ2を含む。反射された放射は分光計ディテクタ4に渡され、これは、鏡面反射された放射のスペクトル10、例えば波長の関数としての強度を測定する。このデータから、検出されたスペクトルの元となる構造またはプロファイルは、例えば厳密結合波解析および非線形の回帰により、あるいはシミュレートされたスペクトルのライブラリと比較することにより、図3の下部に示されるように処理ユニットPUによって再構築することができる。一般に、再構築に関して、構造の全体的な形は既知であり、いくつかのパラメータは、構造が製作されたプロセスの知識から想定され、構造のほんの少数のパラメータだけがスキャトロメトリデータから決定される。そのようなスキャトロメータは、垂直入射スキャトロメータまたは斜め入射スキャトロメータとして構成され得る。
[0046] 本発明の一実施形態によれば、本発明で使用することのできる別のスキャトロメータが図4に示されている。このデバイスでは、放射源2によって放出された放射が、レンズシステム12を用いてコリメートされ、干渉フィルタ13および偏光子17を透過し、部分反射面16により反射されて、高い開口数(NA)、例えば好ましくは少なくとも約0.9、より好ましくは少なくとも約0.95を有する顕微鏡対物レンズ15によって基板W上に集束される。液浸スキャトロメータの場合には、開口数が1を超えるレンズを有することさえできる。次いで、反射された放射が部分反射面16を透過して、散乱スペクトルを検出させるためにディテクタ18に入る。ディテクタは、レンズシステム15の焦点距離のところの後方投影瞳面11内にあってよいが、瞳面をその代わりに、補助光学系(図示せず)を用いてディテクタ上に再結像してもよい。瞳面は、放射の半径方向位置が入射角を画定し、角度位置が放射の方位角を画定する面である。一例では、ディテクタは、基板ターゲット30の2次元角度散乱スペクトルを測定することができるように2次元ディテクタである。ディテクタ18は、例えば、CCDまたはCMOSセンサのアレイとすることができ、例えば1フレームあたり40ミリ秒の積分時間を使用することができる。
[0047] 例えば、入射放射の強度を測定するために、参照ビームがしばしば使用される。これを行うには、放射ビームがビームスプリッタ16に入射するとき、その一部が参照ビームとしてビームスプリッタを透過して参照鏡14に向かう。次いで参照ビームが、同じディテクタ18の異なる部分、または異なるディテクタ(図示せず)上に投影される。
[0048] 例えば405〜790nm、またはさらに小さな、200〜300nmなどの範囲内の対象とする波長を選択するために、1組の干渉フィルタ13が利用可能である。干渉フィルタは、1組の異なるフィルタを備えるのではなくチューナブルでもよい。干渉フィルタの代わりに回折格子を使用することもできる。
[0049] ディテクタ18は、単一波長または狭波長範囲内の散乱光の強度を測定することができ、強度は別々に複数の波長のものであり、またはある波長範囲にわたって積分される。さらに、ディテクタは、横方向磁界/TM(transverse magnetic)偏光と横方向電界/TE(transverse electric)偏光の強度、および/または横方向磁界/TM(transverse magnetic)偏光と横方向電界/TE(transverse electric)偏光との位相差を別々に測定することができる。
[0050] 広帯域光源、すなわち広範囲の光周波数または波長、したがって広範囲の色を有するものを用いることが可能であり、それにより大きなエタンデュがもたらされて、複数の波長が混ざることが可能になる。広帯域内の複数の波長は、好ましくは、それぞれがΔλの帯域幅および少なくとも2Δλ(すなわち帯域幅の2倍)の間隔を有する。複数の放射「源」は、ファイバ束を用いて分割された拡張型放射源の異なる部分とすることができる。このようにして、角度分解散乱スペクトルを複数の波長で並行して測定することができる。2次元スペクトルよりも多くの情報を含む、例えば波長および異なる2つの角度などの3次元スペクトルを測定することができる。こうすることにより、より多くの情報を測定することが可能になり、そのためメトロロジープロセスの堅牢さが増す。これについては、参照によりその全体が本明細書に組み込まれる欧州特許第1,628,164A号に、より詳細に記載されている。
[0051] 基板W上のターゲット30は、現像後にバーが中実のレジストラインから形成されるようにプリントされた、1次元回折格子でよい。ターゲット30は、現像後に回折格子が中実のレジストピラーまたはレジスト内のビアから形成されるようにプリントされた、2次元回折格子でもよい。バー、ピラー、またはビアは、別法として、基板内にエッチングすることもできる。このパターンは、リソグラフィ投影装置、特に投影システムPL内の色収差に感応性があり、したがって、照明対称性およびそのような収差の存在が、プリントされた回折格子の変化として現れることになる。したがって、プリントされた回折格子のスキャトロメトリデータが、回折格子を再構築するために使用される。ラインの幅や形状などの1次元回折格子パラメータ、またはピラーもしくはビアの幅もしくは長さもしくは形状などの2次元回折格子パラメータを、処理ユニットPUによってプリント工程および/または他のスキャトロメトリプロセスの知識を基に実施される再構築プロセスに入力することができる。
[0052] 本発明の一実施形態によれば、図5は、図1の装置の一実施形態の構成を概略的に示す。この構成では、装置は、デュアル基板サポートおよび別々のメトロロジーステーションおよび露光ステーションを有するタイプである。
[0053] ベースフレームFBが装置を地上で支持し、取り囲む。装置内では、メトロロジーフレームFMが、正確な位置参照基準となって、環境内の振動からメトロロジーフレームFMを絶縁するエアベアリング402上に支持されている。必然的に露光ステーションEXPの核をなす投影システムPSと、さらにはメトロロジーステーションMETの機能要素である計器404、406、408が、このフレーム上に取り付けられている。これらのステーションの上方では、マスクテーブルMTおよびマスクMAが、投影システムPSの上方に取り付けられている。第1ポジショナPMが、長距離(long-throw)(例えば粗動)アクチュエータ410、ならびに短距離(short-throw)(例えば微動)アクチュエータ412および414を備える。これらは、投影システムPSに対する、したがってメトロロジーフレームFMに対するマスクMAの所望の位置を達成するように、能動的フィードバック制御によって作動する。この測定が、416に概略的に示されている。マスクMAの位置決め機構全体が、ベースフレーム上のBのところに、能動型エアベアリング418などによって支持されている。振動がフレームおよび他のコンポーネントに伝達するのを低減させるために、バランスマス420が、少なくともマスクテーブルMTの粗動および位置決めを模倣するように設けられる。低周波サーボ制御が、バランスマス420を所望の平均位置に維持する。投影システムの下に示されているウェーハテーブルWTも同様に、基板Wを投影システムPSの出口レンズに対して正確に位置決めするための粗動アクチュエータ422および微動アクチュエータ424、426を有する。さらに、この例のデュアルステージ構成によれば、重複したウェーハテーブルWT’および位置決め機構PW’が設けられる。図示のように、これらの重複要素は、メトロロジーステーションMETにおいて第2の基板W’を支持している。ウェーハテーブルWT、WT’、およびそのそれぞれに対応するポジショナPWおよびPW’は、共用バランスマス428上に載せられ、それに接続される。この場合も、エアベアリング、または磁気式、静電式など、他の適切なベアリングが、例えば430に概略的に示されている。ウェーハWおよびW’の位置の粗および微調整に使用される、ウェーハテーブル位置の測定は、メトロロジーステーションでは要素406に対して、露光ステーションではPSに対して行われ、そのどちらも、最終的にはメトロロジーフレームFMに参照基準を戻す。
[0054] 本発明の一実施形態によれば、図6は、図5のこのツインステージ装置内で基板W上のダイを露光するための工程を示す。メトロロジーステーションMETにおいて実施される工程が、左側の点線で描いた箱内にあり、右側は、露光ステーションEXPにおいて実施される工程を示す。基板Wが既に露光ステーションにロードされている。工程500において、新規の基板W’が図示していない機構によって装置にロードされる。これらの2枚の基板は、メトロロジープロセス全体のスループットを上げるために並行して処理される。初めに、新規にロードされた基板W’を参照すると、この基板は、この装置内での初めての露光用に新規のフォトレジストが設けられた、以前に処理されていない基板とすることができる。しかし、一般には、説明するリソグラフィプロセスは、一連の露光工程および処理工程のうちの1つの工程にすぎず、したがって、基板W’はこの装置および/または他のリソグラフィ装置を既に数回通過しており、またかけられる後続のプロセスもあってよい。502において、基板マークP1など、およびイメージセンサなどを用いた位置合せ測定を使用して、基板テーブルWTに対する基板の位置合せが測定および記録される。実際には、ノミナルの規則正しいグリッドに対する任意の歪みを含めて、基板全体にわたるマークの分布を非常に正確にマッピングする「ウェーハグリッド(wafer grid)」を確立するために、基板W’全体にわたるいくつかのマークが測定される。工程504において、露光パターンの正確な焦点合せで使用するために、X−Y位置に対するウェーハ高さマップも測定される。
[0055] 基板W’がロードされたとき、実施すべき露光と、さらにはウェーハおよびその上に以前に形成されたパターンおよび形成すべきパターンの特性を定義したレシピデータ506が受け取られる。こうしたレシピデータに対して、502、504において生成された測定値が追加され、その結果、レシピとメトロロジーデータの完全なセット508を露光ステージに渡すことができる。510において、ウェーハW’とWが交換され、したがって、測定された基板W’が基板Wになって、露光装置に入る。この交換は、装置内でサポートWTとWT’を交換することにより実施され、したがって基板W、W’は、それらのサポート上に正確にクランプされ、配置されたままとなって、基板テーブルと基板自体の間の相対位置合せを保つ。したがって、テーブル同士が交換された後、投影システムPSと基板テーブルWT(以前はWT')の間の相対位置を求めることだけが、露光工程の制御において測定情報502、504を基板W(以前はW')に利用するために必要である。工程512において、マスクアライメントマークを用いてレチクル位置合せが実施される。工程514、516、518では、いくつかのパターンの露光を完了するために、スキャニング動作および放射パルスが、基板W全体にわたる連続するダイ位置で与えられる。位置合せおよびレベルマップデータのおかげで、これらのパターンが、所望の位置に対して、特に同じ基板上に先に置かれていたフィーチャに対して正確に位置合わせされる。この時点でW’’と表記される露光済み基板は、工程520において装置からアンロードされて、露光済みパターンに従ってエッチングまたは他のプロセスにかけられる。
[0056] 別々の基板テーブルを使用することによって、比較的時間のかかる測定一式を実施してウェーハおよびその上に先に堆積されたパターンをキャラクタライズできるようにしながらも、露光ステージを経る基板スループットの点から見た装置の性能が維持される。
[0057] 上述したように、図1に示すウェーハテーブルWT、および図5に示すウェーハテーブルWT、WT’は、基板Wを投影システムPSの出口レンズに対して正確に位置決めするための粗動アクチュエータ422および微動アクチュエータ424、426を有する。
[0058] ウェーハテーブルおよびマスクテーブルを移動させ、それらの位置を測定するための、様々な既知の機構がある。本発明の一実施形態によれば、そのような1つのシステム(本明細書ではNXTと呼ぶ)が図7に概略的に示されており、このシステムは、平面モータを使用して2つのウェーハテーブルWTおよびWT’を駆動するものである。バランスマス428が、この実施形態では磁石プレートを備え、ウェーハテーブルWTおよびWT’の下面が、テーブルをx、y、およびz方向(z方向は、ページの平面から外に出る)に移動させるための力アクチュエータ(force actuator)を備える。図7に示すタイプのシステムでは、テーブルWTおよびWT’の位置が、(図5にFMとして示す)メトロロジーフレームの下面上にあるエンコーダによって測定され、その位置をテーブルのエンコーダによって監視するために、関連するウェーハチャック上にイメージセンサが設けられる。エンコーダ同士が協働して、ウェーハテーブルの位置を(x,y)座標で出力する。
[0059] 本発明の一代替実施形態が図8に示されている(本明細書ではXTと呼ぶ)。この場合、バランスマス428上でのウェーハテーブルWT、WT’の位置が、テーブルをx方向(図中に示すように左右)に移動させる場合にはアクチュエータ800、800’によって、またテーブルをy方向、例えば図中に示すように上下に移動させる場合にはアクチュエータ802、802’によって制御される。テーブルWT、WT’の位置は、ビームをウェーハテーブルの鏡付き側壁表面上に投影する干渉計によって測定される。典型的には、1つの「x」干渉計が、1つのウェーハテーブルのx軸方向の位置をもたらし、1つの「y」干渉計が、1つのウェーハテーブルのy軸方向の位置をもたらす。「x」および「y」干渉計はそれぞれ、バランスマス428の両側に、干渉計ビームをウェーハテーブルの両側に向かって誘導するように構成されたトランスミッタを備えることができる。
[0060] 正確なリソグラフィの主要な要素は、リソグラフィスキャナおよびスキャニング機能性を制御する能力の増大である。「スキャナ」に言及するとき、それが、本明細書で記載するあらゆるスキャンモードおよび機能性、ならびに他のスキャニング機能性を包含することを理解されたい。スキャナの焦点およびオーバーレイ(例えば層間位置合せ)の均一性に対する改善が、出願人のBaseliner(商標)スキャナ安定モジュールにより最近達成されており、それにより、所与のフィーチャサイズおよびチップ用途に合わせてプロセスウィンドウが最適化され、より小型でより先進のチップの形成を継続することが可能になっている。
[0061] リソグラフィシステムは、最初に設置されたとき、最適な動作を確保するために較正しなければならない。しかし、時間が経つにつれて、システム性能パラメータがドリフトする。わずかなドリフトは許容することができるが、ドリフトがあまりにも大きすぎると、システムが仕様から外れてしまう。したがって、製造業者は、再較正のために生産を定期的に停止する必要がある。システムを頻繁に較正した方が、定期的なダウンタイムがより多くなるという犠牲を払ってではあるが、より大きなプロセスウィンドウが得られる。
[0062] スキャナ安定モジュールは、こうした生産停止を大いに低減させる。その代わりに、スキャナ安定モジュールは、システムを予め定義されたベースラインに毎日自動的にリセットする。これを行うために、スキャナ安定モジュールは、メトロロジーツールを用いてモニタウェーハから得られた標準測定値を回復させる。モニタウェーハは、特別なスキャトロメトリマークを含む特別なレチクルを用いて露光される。その日の測定値から、スキャナ安定モジュールが、システムがそのベースラインからどの程度ドリフトしているかを求める。次いで、スキャナ安定モジュールは、ウェーハレベルのオーバーレイ補正セットおよび焦点補正セットを計算する。次いで、リソグラフィシステムが、それらの補正セットを、後続の生産ウェーハに対する各露光に固有の補正値に変換する。
[0063] 量産の場合、層をスキャナで露光するために割り当てる際に十分な自由度があることが望ましい。代替の層−スキャナ専用(layer-scanner dedication)の場合、月毎の生産能力がリスクにさらされる。というのも、リソクラスタのどんな小さな擾乱も、その月の生産量に直接現れるためである。このリスクに打ち勝つためにとり得る1つの手法が、オーバーレイグリッドマッチングによるものである。全てのスキャナがオーバーレイに関して同じまたは平均のグリッドを多かれ少なかれ有するように、全てのスキャナグリッドが意図的にわずかにオフセットされる。このグリッドはしばしば、「ホーリー(holy)」または「ゴールデン」グリッドと呼ばれる。この時点で、各製品層を同じタイプの各スキャナ上で露光することができる。この「ゴールデン」グリッドは、いわゆる「参照ウェーハ」上に露光され、エッチングされる。ランダムモニタウェーハの代わりにそうした「ゴールデン」マッチングウェーハがオーバーレイ安定制御用のベースラインとして使用される場合、オーバーレイグリッドマッチングと長期安定を、自動化された単一の工程内で達成することができる。
[0064] 本発明の一実施形態によれば、図9は、スキャナ安定モジュール500、例えば基本的にサーバ上で動作するアプリケーションを組み込んだ、リソグラフィおよびメトロロジー方法全体を示す。3つの主要なプロセス制御ループが示されている。第1のループは、スキャナ安定モジュール500およびモニタウェーハを用いて局所スキャナ制御を行うものである。モニタウェーハ505は、図では、主リソグラフィユニット510から、焦点およびオーバーレイのベースラインパラメータを設定するように露光されて渡されている。後に、メトロロジーユニット515がそうしたベースラインパラメータを読み取り、次いで、読み取られたベースラインパラメータは、スキャナ安定モジュール500によって解釈され、それにより補正ルーチン550が計算され、補正ルーチン550が、主リソグラフィユニット510に渡されて、さらなる露光を実施する際に使用される。
[0065] 第2の(APC)ループは、対製品(on-product)局所スキャナ制御(焦点、ドーズ、およびオーバーレイの確定)用である。露光済み製品ウェーハ520が、メトロロジーユニット515に渡され、次いで先進的プロセス制御(APC)モジュール525上に渡される。メトロロジーユニット515からのデータは、この場合もスキャナ安定モジュール500に渡される。プロセス補正値540が形成されてから、製造実行システム(MES)535が引き継ぎ、スキャナ安定モジュール500と通信して、主リソグラフィユニット510に対してスキャナ制御を行う。
[0066] 第3のループは、(例えばダブルパターニング用に)第2のAPCループへのメトロロジーの統合を可能にするためのものである。エッチング後のウェーハ530が、メトロロジーユニット515に渡され、次いで、先進的プロセス制御(APC)モジュール上に渡される。このループは、第2のループと同様に継続する。
[0067] 本発明は、リソグラフィプロセスのエラー、例えばオーバーレイを低減させるために、リソグラフィ装置、例えばスキャナによる露光を制御するための実施形態に関する。
スキャナエラー
[0068] 以下のオーバーレイエラーは、スキャナ安定モジュールアプリケーションによって補正すべきエラーの大きな原因であることが分かっている。
[0069] 1. 位置決めドリフト(XTの場合には鏡、NXTの場合にはグリッドプレート/エンコーダ)。
[0070] 2. XTの場合には移動依存の鏡の変形、NXTの場合には移動依存のエンコーダオフセットとして現れる、上/下へのスキャンおよび左/右へのステップの影響。NXTシステムおよびXTシステムの説明については、上記の図7および8の議論を参照されたい。
[0071] 3. ウェーハクランプフィンガープリントドリフト、ならびに露光中のウェーハ変形ドリフトによって引き起こされる、ウェーハ変形。
[0072] 4. (例えばレンズまたはレチクルステージのドリフトによる)フィールドフィンガープリントドリフト。
[0073] 5. スキャン方向依存のフィールド内(intrafield)フィンガープリント(例えばレンズの動的擾乱による「揺動(wiggle)」の影響)。
モデル
[0074] これらのエラーを補正するためのモデルでは、以下のように仮定している。
1. 位置決めエラーに関する、位置決めタイプに固有の低周波ドリフト。
a. 鏡の変形(XT):1つのチャックにつき1次元多項式dx〜P_n(y)およびdy〜P_n(x)(XT位置決めコンセプト)。
b. エンコーダ/グリッドプレートの変形(NXT)は、位置依存のエンコーダオフセットに関する多項式dh(x,y)〜P_n(x,y)によって定められ、ただしdxおよびdyは、(dx,dy)〜Encoder model(dh(x,y))=Encoder model(P_n(x,y))と定められる。2次元多項式表現が各エンコーダに使用される。
2. 上/下へのスキャンおよび左/右へのステップのエラーは、(同じ位置の場合)絶対値が同じで符号が反対である。これらのエラーは、移動履歴に応じた位置決めおよび変形の変化を表す。較正のノイズを低減させるために、これらのエラーが低周波性を有するとも仮定する。XTの場合、これらの位置決めエラーおよび変形エラーは、多項式によって表される。NXTの場合、位置決めは、チャックの特定の場所上に位置するエンコーダ信号から求められる。したがって、スキャン/ステップ依存エラーを表すには、エンコーダに関するエラーだけを考慮に入れなければならず、チャックの全体的な変形は考慮に入れなくてよい。したがって、NXTの場合、我々は、スキャン/ステップ依存位置決めエラーを、移動依存のエンコーダオフセットであると見なす。ウェーハ変形依存エラーは、XT/NXTシステムタイプが位置に依存しないため、それらについて類似していると考えられる。
3. ウェーハ上の位置に依存する残りの2次元エラーは、2次元多項式によって表され、その2次元多項式は、ウェーハグリッド変形を表すものである。
4. レンズ/RSによって生じるフィールドフィンガープリントは、あらゆるフィールドについて一定であり、残りのエラーとは無関係である。
5. スキャン方向依存のフィールド内エラーは、3つの1次元多項式dx〜P_n(y)、dy〜P_n(y)、dy〜x*P_n(y)(これは回転エラーを表す)によって、低周波レチクルステージ位置決めエラーとして表される。
[0075] オーバーレイエラーを求めるこのコンセプトは、較正したパラメータの絶対的な補正を確実にするものではない。このコンセプトは、求められるパラメータ同士が独立しており、かつそれらがスキャナの適用範囲(典型的なフィールドサイズの場合の動的露光)にとって正しいことを確実にするものである。また、1次元鏡形状較正は、鏡形状によって引き起こされることがある2次元ウェーハ変形項を考慮に入れないことによって、2次元ウェーハ変形とは無関係に行われる。
位置決めエラー
[0076] 鏡の変形は、存在する場合、スキャンまたはステップ方向とは無関係に露光に影響を及ぼす。したがって、鏡に関する多項式モデルは、次のようになる。
dx(y_w) = Sum(a_n*y_w^n)
dy(x) = Sum(b_n*x^n)
上式で、xはフィールドの中心点のx座標であり、y_wは、スキャトロメータターゲットマークのy座標である。この多項式モデルは、XTスキャナにより発生する物理的な位置決めエラーを表す。
[0077] 位置決めエラーに関するNXTモデルは、より複雑である。各エンコーダがxおよびyオフセットに影響を及ぼし得るため、モデルは2次元となる。さらに、モデルは、各エンコーダ/グリッドプレートについて別々に定めなければならない。
(dx,dy) = Encoder_model(Sum(Sum(c_i_n_m*x^n*y^m)))
上式で、iはエンコーダiを表す。
スキャン/ステップ依存エラー
[0078] 上/下へのスキャンおよび左/右へのステップのエラーは、露光チャックまたはウェーハの変形によって引き起こされることがある。この場合、その変形により、異なる移動に対して変形する鏡(XT)、またはエンコーダ位置のシフト(NXT)が生じる。したがって、XTの上/下へのスキャンおよび左/右へのステップの影響を表すために、以下の多項式が使用される。
dx_up(y) = Sum(d_n*y^n)
dx_down(y) = -dx_up(y)
dy_up(x) = Sum(e_n*x^n)
dy_down(x) = -dy_up(x)
dx_left(y) = Sum(f_n*y^n)
dx_right(y) = -dx_left(y)
dy_left(x) = Sum(g_n*x^n)
dy_right(x) = -dy_left(x)
上式で、(x,y)は露光するフィールドの位置(中心点)である。
[0079] NXTの場合、以下の表現を使用することができる。
(dx_up,dy_up) = Encoder model(h_up)
(dx_left,dy_left) = Encoder model(h_left)
(dx_down,dy_down) = -(dx_up,dy_up)
(dx_right,dy_right) = -(dx_left,dy_left)
[0080] また、露光中に、ウェーハまたはウェーハテーブルの変形が起こることが予想される。ウェーハおよびウェーハテーブルの変形を表すために、2次元多項式モデルが使用される。
dx_up = Sum(u_n_m*x^n*y^m)
dy_up = Sum(v_n_m*x^n*y^m)
dx_down(x,y) =-dx_up(x,y)
dy_down(x,y) =-dy_up(x,y)
ウェーハ変形
[0081] 残りのグリッドエラーは、機械的または熱的性質を有することがあるウェーハ変形によって引き起こされると考えられる。ウェーハは、例えばウェーハクランプ中の力によって静的に変形することがあり、または露光中に変形することがある。システムの標準的な用途は露光であるため、我々は、BLの較正用レイアウトが「典型」(フィールド数、フィールドサイズ、標準的な露光)になるように選択される場合、露光によるウェーハ変形が、製品と較正の間で大きくずれないはずだと仮定することができる。
[0082] したがって、ウェーハ変形の表現は以下のようになる。
dx = Sum(Sum(r_n_m*x^n*y^m))
dy = Sum(Sum(s_n_m*x^n*y^m))
上式で、(x,y)はウェーハ上でのYSマークの位置である。
[0083] また、このモデルは、1枚のウェーハが2パスで、例えば2つの像で露光されるダブルパターニングのような用途について拡張することができる。その場合、2番目の、またはそれよりも後の像が、例えば熱的擾乱のため、異なる変形を有することがある。こうした用途の場合、ウェーハ変形は、1枚のウェーハに対して複数回の露光を実施し、露光パスごとに(上述したように)ウェーハ変形を求めることによって、一致して較正することができる。
フィールドエラー
[0084] フィールド補正モデルは、以下のように表すことができる(注釈 P_n(x)=Sum(a_n*x^n))
dx ~ P_n(x) (n<4)
dx ~ P_n(y)
dy ~ P_n(x) (n<3)
dy ~ P_n(y)
dy ~ x*P_n(y)
[0085] 補正のメカニズムを(走査レンズエレメントまたは焦点オフセットによる)y依存のレンズエラーの導入で拡張する場合、我々はフィールド内補正を、スキャニング動作中にレンズまたは焦点オフセットを調整することだけで拡張することができ、したがって、
dx ~ P_n_m(x,y) = Sum(t_n_m*x^n*y^m), n<4
dy ~ P_n_m(x,y) = Sum(w_n_m*x^n*y^m), n<3
のようなエラーも補正することができる。xのべき乗に比例するエラーは、レンズエレメントを調整することによってのみ補正が可能であり、したがって、補正の可能性が限られていることに留意されたい。
スキャン方向依存フィールドエラー
[0086] スキャン方向エラーは、レチクルステージに対してスキャンの擾乱が起こり、それがレチクルの位置決めに影響を及ぼすものと仮定する。こうしたエラーが、スキャン方向依存の位置決めフィンガープリントを生じさせ、これは、フィールドレベルで、
dx_up ~ P_n(y)
dy_up ~ P_n(y)
dy_up ~ x*P_n(y)
dx_down = -dx_up
dy_down = -dy_up
のように補正される。
モデルパラメータ推定方法
[0087] これらの影響は、測定したデータから以下のように求めることができる。
1. まず第一に、フィールド歪みが求められる(フィールド内エラー)。スキャナエラーの知識に基づいて、我々は、フィールド歪みが、レチクルステージまたはレンズによって引き起こされ、残りのグリッドエラーとは無関係であると仮定することができる。
2. スキャン/ステップ方向エラー(ウェーハおよびレチクルステージ位置決めエラー)を求める。これらのエラーがオーバーレイに及ぼす影響は、高周波性を有するため(隣接するフィールドが大きな変化を示す)、これらのエラーは、位置および変形エラー(隣接するフィールドがわずかな変化を示す)とは別に求めることができる。これらのエラーを求めるために、スティッチングデータ(stitching data)または測定したオーバーレイデータを使用することができる。したがって、これらのエラーを求めるための方法がいくつかある。
3. スキャン/ステップ方向較正の残りのデータに対して位置決めエラーと変形エラーを同時に求める。フィールドエラーおよびスキャン/ステップ方向エラーとは異なり、位置決めエラーおよびウェーハ変形エラーは、しっかりと分離することができない。したがって、最良の補正を行うために、それらを同時に求めなければならない。任意選択により、スキャン/ステップ方向エラーを、グリッドエラーと同時に求めてもよい。その場合、独立した参照基準、例えばエッチングした参照ウェーハを使用することができる。
[0088] 補正値を求めることができるように、1つのフィールドについて十分な数の点を測定しなければならない(xおよびy座標において約7個)。また、露光パターンは、スキャン/ステップ方向を表すものを選択しなければならない。
補正方法
[0089] 本発明の一実施形態による補正方法は、上でモデリングしたパラメータ全てを組み合わせたものが、スキャナの異なるサブシステムに別々に与えられるものである。
1. 位置決めエラーは、1回の露光中の急速なグリッド変化を補正することができるように、低レベル位置決めコントローラによって補正される。これは、補正時に実行されると、最良の性能を発揮する。これは新規の機能性である。
2. スキャン/ステップ方向依存エラーは、位置決めコントローラまたはメトロロジーコントローラによって補正される。また、工程1と同様に、フィールド内の急速な変化についてエラーを補正する必要がある場合、補正は、低レベル位置決めモジュールに対して実施される。
3. グリッド変形エラーは、露光するフィールドの設定値を調整することにより補正することができる。
4. フィールドエラーは、レンズ/レチクルステージ設定値を調整することにより補正することができる。
5. スキャン依存のレチクルステージ位置決めフィンガープリント(YTX、YTY、およびYRZ)は、スキャニングドライバにおいて、方向依存のレチクルステージスキャンマップ補正として補正することができる。
[0100] 図10を参照すると、本発明の一実施形態によれば、リソグラフィ装置を制御する方法が本発明の一実施形態に従って示されている。
[0101] リソグラフィ露光プロセス1000が、スキャナ1006を用いて基板1004に対して実施1002される。スキャナは、いくつかのサブシステム1008、1010、1012を備える。露光中にサブシステムから生じるオーバーレイエラーがある。オーバーレイエラーをスキャトロメータを用いて測定1014すると、オーバーレイ測定値1016が取得される。オーバーレイ測定値から、様々な推定モデルパラメータサブセット、例えばフィールド歪みモデルパラメータ1020、スキャン/ステップ方向モデルパラメータ1022、および位置/変形モデルパラメータ1024を別々に求めるように、モデリングが実施1018される。各サブセットは、上述したように、リソグラフィ装置の対応する特定のサブシステムから生じるオーバーレイエラーに関係する。最後に、スキャナ1006において、スキャナの特定のサブシステム1008、1010、1012の制御をそれぞれその対応する推定モデルパラメータサブセット1024、1022、1020を用いて行うことによって、露光が制御1026される。この結果、製品ウェーハ1028が、十分に制御されたオーバーレイ1030で露光される。
[0102] スキャナエラーの特定のフィンガープリントを考慮に入れるようにオーバーレイエラーを考慮することから、本発明の実施形態を適用すると維持管理がより効果的になる。スキャナ固有のエラーに関する知識を、本発明の一実施形態によるオフラインモデリングアプリケーションに供給することにより、維持管理の正確さが上がる。したがって、システムに対してオンライン再較正をそれほど実施する必要がなく、そのことがまた、維持管理時間の短縮の恩恵を受ける。本発明の実施形態は、広範囲にわたる測定を実施するスキャナ時間を必要としない、効果的な維持管理を実現する。
[0103] 本文中では、IC製造時におけるリソグラフィ装置の使用に具体的に言及することがあるが、本明細書で説明したリソグラフィ装置には、集積光学システム、磁気ドメインメモリ用の誘導パターンおよび検出パターン、フラットパネルディスプレイ、液晶ディスプレイ(LCD)、薄膜磁気ヘッド等の製造など、他の用途があり得ることを理解されたい。当業者なら、そのような代替用途の文脈では、本明細書における用語「ウェーハ」または「ダイ」のいかなる使用も、それぞれ、より一般的な用語「基板」または「ターゲット部分」と同義なものと見なしてよいことを理解するであろう。本明細書で言及する基板は、露光前または露光後に、例えばトラック(一般に基板にレジストの層を与え、露出したレジストを現像するツール)、メトロロジーツールおよび/またはインスペクションツール内で処理されてよい。適用可能であれば、本明細書における開示は、そのようなものおよび他の基板処理ツールに適用されてよい。その上、基板は、例えば多層ICを作成するために複数回処理されてよく、そのため、本明細書に用いられる用語の基板は、既に複数の処理層を含む基板も意味してよい。
[0104] ここまでは、光リソグラフィとの関連から本発明の実施形態の使用について具体的に言及していることがあるが、本発明は他の用途、例えばインプリントリソグラフィで使用することができ、状況が許す場合、光リソグラフィに限定されないことが理解されよう。インプリントリソグラフィでは、パターニングデバイス中のトポグラフィが基板に生成されるパターンを画定する。パターニングデバイスのトポグラフィは、基板に供給されたレジストの層にプレス加工することができ、その後、電磁放射、熱、圧力、またはそれらの組合せを適用することによってレジストは硬化される。レジストが硬化した後、パターニングデバイスはレジストから移動され、パターンがレジスト中に残る。
[0105] 本明細書で使用される「放射」および「ビーム」という用語は、(例えば、365、355、248、193、157もしくは126nmの波長、またはその近くの波長を有する)紫外(UV)放射、および(例えば、5〜20nmの範囲内の波長を有する)極端紫外(EUV)放射、ならびにイオンビームまたは電子ビームなどの粒子ビームを含む、あらゆるタイプの電磁放射を包含する。
[0106] 「レンズ」という用語は、状況において可能な場合、屈折光学コンポーネント、反射光学コンポーネント、磁気光学コンポーネント、電磁光学コンポーネント、および静電光学コンポーネントを含めて、様々なタイプの光学コンポーネントのいずれか1つ、または組合せを指すことがある。
[0107] 本発明の特定の実施形態が上記に説明されてきたが、本発明は、説明されたものと異なる様式で実行され得ることが理解されよう。例えば、本発明は、上記に開示された方法を記述した機械読取可能命令の1つまたは複数のシーケンスを含むコンピュータプログラムの形式、またはそのようなコンピュータプログラムが格納されているデータ記憶媒体(例えば半導体メモリ、磁気ディスクまたは光ディスク)の形式をとってよい。
[0108] 特許請求の範囲を解釈するために使用されることが意図されているのは「発明を実施するための形態」の節であり、「発明の概要」および要約書の節ではないことを理解されたい。「発明の概要」および要約書の節は、一人の発明者または複数の発明者が企図している本発明の1つまたは複数の例示的実施形態を示すことがあるが、必ずしも全ての例示的実施形態を示したものではなく、したがってこれらの節には本発明および添付の特許請求の範囲を限定することは何ら意図されていない。
[0109] 特定の諸機能およびそれらの関係の実装形態を示す機能ビルディングストアリングブロック(functional building storing block)を用いて、本発明が上記で説明されてきた。これらの機能ビルディングストアリングブロックの境界は、説明に好都合なように本明細書で便宜的に定義されたものである。特定の諸機能およびそれらの関係が適切に実行される限り、代替の境界を定義することができる。
[0110] 特定の実施形態の上記説明は、他者が、本発明の一般的な概念から逸脱することなく、必要以上の実験作業なしで、当技術の熟練範囲内の知識を適用することによって、そのような特定の実施形態を容易に変更し、かつ/または様々な用途に適合させることができるように、十分に本発明の一般的性質を示すはずである。したがって、そのような適合形態および変更形態は、本明細書に示された教示および手引に基づく開示された実施形態の等価物の意味および範囲内にあるように意図されている。本明細書の表現または用語は、上記教示および手引に照らして当業者によって解釈されるべきであり、そのような表現または用語は、限定するためではなく説明のためのものであることを理解されたい。
[0111] 本発明の広さおよび範囲は、前述の例示的実施形態のうちいかなるものによっても限定されるべきではなく、添付の特許請求の範囲およびそれらの等価物によってのみ定義されるべきである。

Claims (22)

  1. リソグラフィ装置を制御する方法であって、
    リソグラフィプロセスを、前記リソグラフィ装置を用いて基板に対して実施する工程と、
    前記リソグラフィプロセスから生じる基板特性を測定して、基板特性測定値を取得する工程と、
    前記基板特性測定値から、前記リソグラフィ装置の対応する特定のサブシステムから生じる前記基板特性のエラーにそれぞれが関係する、前記リソグラフィ装置の複数の推定モデルパラメータサブセットを別々に求める工程と、
    前記リソグラフィ装置によるリソグラフィ処理を、前記リソグラフィ装置の特定のサブシステムの制御を前記制御される特定のサブシステムの対応する推定モデルパラメータサブセットを用いて行うことによって制御する工程と
    を含む方法。
  2. 前記複数の推定モデルパラメータサブセットのうち少なくとも1つが、前記リソグラフィ装置の対応する位置決めサブシステムから生じる前記基板特性の位置決めエラーに関係するパラメータを含む、請求項1に記載の方法。
  3. 前記複数の推定モデルパラメータサブセットのうち少なくとも1つが、前記リソグラフィ装置の対応する機械的または熱的サブシステムから生じる前記基板特性の基板変形エラーに関係するパラメータを含む、請求項1または請求項2に記載の方法。
  4. 前記複数の推定モデルパラメータサブセットのうち少なくとも1つが、前記リソグラフィ装置の対応するフィールドスキャニングサブシステムから生じる前記基板特性のフィールド歪みエラーに関係するパラメータを含む、請求項1〜3のいずれかに記載の方法。
  5. 前記複数の推定モデルパラメータサブセットのうち少なくとも1つが、前記リソグラフィ装置の対応するスキャン方向サブシステムから生じる前記基板特性のスキャン方向依存フィールドエラーに関係するパラメータを含む、請求項1〜4のいずれかに記載の方法。
  6. 基板に対してリソグラフィプロセスを実施するために使用されるリソグラフィ装置によるリソグラフィ処理を制御するための装置であって、
    前記リソグラフィプロセスから生じる基板特性を測定して、基板特性測定値を取得するためのインスペクション装置と、
    前記基板特性測定値から、前記リソグラフィ装置の対応する特定のサブシステムから生じる前記基板特性のエラーにそれぞれが関係する、前記リソグラフィ装置の複数の推定モデルパラメータサブセットを別々に求め、
    前記リソグラフィ装置によるリソグラフィ処理を、前記リソグラフィ装置の特定のサブシステムの制御を前記制御される特定のサブシステムの対応する推定モデルパラメータサブセットを用いて行うことによって制御する
    ように構成されたプロセッサと
    を備える装置。
  7. 前記複数の推定モデルパラメータサブセットのうち少なくとも1つが、前記リソグラフィ装置の対応する位置決めサブシステムから生じる前記基板特性の位置決めエラーに関係するパラメータを含む、請求項6に記載の装置。
  8. 前記複数の推定モデルパラメータサブセットのうち少なくとも1つが、前記リソグラフィ装置の対応する機械的または熱的サブシステムから生じる前記基板特性の基板変形エラーに関係するパラメータを含む、請求項6または請求項7に記載の装置。
  9. 前記複数の推定モデルパラメータサブセットのうち少なくとも1つが、前記リソグラフィ装置の対応するフィールドスキャニングサブシステムから生じる前記基板特性のフィールド歪みエラーに関係するパラメータを含む、請求項6〜8のいずれかに記載の装置。
  10. 前記複数の推定モデルパラメータサブセットのうち少なくとも1つが、前記リソグラフィ装置の対応するスキャン方向サブシステムから生じる前記基板特性のスキャン方向依存フィールドエラーに関係するパラメータを含む、請求項6〜9のいずれかに記載の装置。
  11. 基板に対してリソグラフィプロセスを実施するために使用されるリソグラフィ装置によるリソグラフィ処理を制御するための機械読取可能命令の1つまたは複数のシーケンスを含むコンピュータプログラムプロダクトであって、前記命令が、1つまたは複数のプロセッサに、
    前記リソグラフィプロセスから生じる基板特性測定値を受け取らせ、
    前記基板特性測定値から、前記リソグラフィ装置の対応する特定のサブシステムから生じる前記基板特性のエラーにそれぞれが関係する、前記リソグラフィ装置の複数の推定モデルパラメータサブセットを別々に求めさせ、
    前記リソグラフィ装置によるリソグラフィ処理を、前記リソグラフィ装置の特定のサブシステムの制御を前記制御される特定のサブシステムの対応する推定モデルパラメータサブセットを用いて行うことによって制御させる
    ように適合される、コンピュータプログラムプロダクト。
  12. リソグラフィ装置を制御する方法であって、
    リソグラフィプロセスを、リソグラフィ装置を用いて基板に対して実施する工程と、
    前記リソグラフィプロセスから生じる基板特性を測定して、1つまたは複数の基板特性測定値を取得する工程と、
    前記基板特性測定値から、前記リソグラフィ装置の対応する特定のサブシステムから生じる前記基板特性のエラーにそれぞれが関係する、前記リソグラフィ装置の複数の推定モデルパラメータサブセットを別々に求める工程と、
    前記リソグラフィ装置によるリソグラフィ処理を、前記リソグラフィ装置の特定のサブシステムの制御を前記制御される特定のサブシステムの対応する推定モデルパラメータサブセットを用いて行うことによって制御する工程と
    を含む方法。
  13. 前記複数の推定モデルパラメータサブセットのうち少なくとも1つが、前記リソグラフィ装置の対応する位置決めサブシステムから生じる前記基板特性の位置決めエラーに関係するパラメータを含む、請求項12に記載の方法。
  14. 前記複数の推定モデルパラメータサブセットのうち少なくとも1つが、前記リソグラフィ装置の対応する機械的または熱的サブシステムから生じる前記基板特性の基板変形エラーに関係するパラメータを含む、請求項12に記載の方法。
  15. 前記複数の推定モデルパラメータサブセットのうち少なくとも1つが、前記リソグラフィ装置の対応するフィールドスキャニングサブシステムから生じる前記基板特性のフィールド歪みエラーに関係するパラメータを含む、請求項12に記載の方法。
  16. 前記複数の推定モデルパラメータサブセットのうち少なくとも1つが、前記リソグラフィ装置の対応するスキャン方向サブシステムから生じる前記基板特性のスキャン方向依存フィールドエラーに関係するパラメータを含む、請求項12に記載の方法。
  17. リソグラフィ処理を制御するための装置であって、
    リソグラフィプロセスから生じる基板特性を測定して、基板特性測定値を取得するように構成されたインスペクション装置と、
    前記基板特性測定値から、リソグラフィ装置の対応する特定のサブシステムから生じる前記基板特性の1つまたは複数のエラーにそれぞれが関係する、リソグラフィ装置の複数の推定モデルパラメータサブセットを別々に求め、
    リソグラフィ装置によるリソグラフィ処理を、リソグラフィ装置の特定のサブシステムの制御を前記制御される特定のサブシステムの対応する推定モデルパラメータサブセットを用いて行うことによって制御する
    ように構成されたプロセッサと
    を備える装置。
  18. 前記複数の推定モデルパラメータサブセットのうち少なくとも1つが、リソグラフィ装置の対応する位置決めサブシステムから生じる前記基板特性の位置決めエラーに関係するパラメータを含む、請求項17に記載の装置。
  19. 前記複数の推定モデルパラメータサブセットのうち少なくとも1つが、リソグラフィ装置の対応する機械的または熱的サブシステムから生じる前記基板特性の基板変形エラーに関係するパラメータを含む、請求項17に記載の装置。
  20. 前記複数の推定モデルパラメータサブセットのうち少なくとも1つが、リソグラフィ装置の対応するフィールドスキャニングサブシステムから生じる前記基板特性のフィールド歪みエラーに関係するパラメータを含む、請求項17に記載の装置。
  21. 前記複数の推定モデルパラメータサブセットのうち少なくとも1つが、リソグラフィ装置の対応するスキャン方向サブシステムから生じる前記基板特性のスキャン方向依存フィールドエラーに関係するパラメータを含む、請求項17に記載の装置。
  22. 基板に対してリソグラフィプロセスを実施するために使用されるリソグラフィ装置によるリソグラフィ処理を制御するための機械読取可能命令の1つまたは複数のシーケンスを含むコンピュータプログラムプロダクトであって、前記命令が、1つまたは複数のプロセッサに、
    前記リソグラフィプロセスから生じる基板特性測定値を受け取らせ、
    前記基板特性測定値から、前記リソグラフィ装置の対応する特定のサブシステムから生じる基板特性のエラーにそれぞれが関係する、前記リソグラフィ装置の複数の推定モデルパラメータサブセットを別々に求めさせ、
    前記リソグラフィ装置によるリソグラフィ処理を、前記リソグラフィ装置の特定のサブシステムの制御を前記制御される特定のサブシステムの対応する推定モデルパラメータサブセットを用いて行うことによって制御させる
    ように適合される、コンピュータプログラムプロダクト。
JP2011026880A 2010-02-19 2011-02-10 リソグラフィ装置を制御するための方法および装置 Active JP5178855B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US30611110P 2010-02-19 2010-02-19
US61/306,111 2010-02-19

Publications (2)

Publication Number Publication Date
JP2011171732A true JP2011171732A (ja) 2011-09-01
JP5178855B2 JP5178855B2 (ja) 2013-04-10

Family

ID=44262511

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011026880A Active JP5178855B2 (ja) 2010-02-19 2011-02-10 リソグラフィ装置を制御するための方法および装置

Country Status (8)

Country Link
US (1) US9310698B2 (ja)
EP (1) EP2392970A3 (ja)
JP (1) JP5178855B2 (ja)
KR (1) KR101208462B1 (ja)
CN (1) CN102163001B (ja)
IL (1) IL210774A (ja)
SG (1) SG173957A1 (ja)
TW (1) TWI427434B (ja)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015528125A (ja) * 2012-07-05 2015-09-24 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィのためのメトロロジ
KR20170037822A (ko) 2015-09-28 2017-04-05 도쿄엘렉트론가부시키가이샤 기판 처리 방법 및 기판 처리 장치
JP2017536584A (ja) * 2014-11-25 2017-12-07 ストリーム モザイク,インコーポレイテッド 半導体製造プロセスのための改善されたプロセス制御技術
KR20190058683A (ko) * 2016-10-26 2019-05-29 에이에스엠엘 네델란즈 비.브이. 리소그래피 프로세스의 최적화 방법
KR20190083973A (ko) 2018-01-05 2019-07-15 도쿄엘렉트론가부시키가이샤 기판 처리 장치, 기판 처리 방법 및 컴퓨터 기억 매체
JP2021505957A (ja) * 2017-12-06 2021-02-18 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置を制御する方法および関連する装置
JP2022140566A (ja) * 2017-12-19 2022-09-26 エーエスエムエル ネザーランズ ビー.ブイ. 計算メトロロジに基づく補正および制御
JP2022153592A (ja) * 2016-01-11 2022-10-12 ケーエルエー コーポレイション ホットスポット及びプロセスウィンドウ監視装置

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100053588A1 (en) * 2008-08-29 2010-03-04 Nikon Corporation Substrate Stage movement patterns for high throughput While Imaging a Reticle to a pair of Imaging Locations
IL210832A (en) * 2010-02-19 2016-11-30 Asml Netherlands Bv Lithographic facility and method of manufacturing facility
NL2009196A (en) * 2011-08-25 2013-02-27 Asml Netherlands Bv Position measurement system, lithographic apparatus and device manufacturing method.
US9543223B2 (en) 2013-01-25 2017-01-10 Qoniac Gmbh Method and apparatus for fabricating wafer by calculating process correction parameters
JP2013175500A (ja) 2012-02-23 2013-09-05 Toshiba Corp 露光装置、及び露光方法
CN103794451B (zh) * 2012-10-31 2016-03-16 中芯国际集成电路制造(上海)有限公司 监测扫描电子显微镜的电子束状态的方法和装置
NL2011683A (en) 2012-12-13 2014-06-16 Asml Netherlands Bv Method of calibrating a lithographic apparatus, device manufacturing method and associated data processing apparatus and computer program product.
KR101493013B1 (ko) * 2013-06-14 2015-02-13 에이피시스템 주식회사 빔 패터닝 방향 및 패터닝 위치 보정 방법
CN105223781B (zh) * 2014-06-26 2017-06-23 无锡华润上华科技有限公司 一种步进式光刻机对位监控方法
DE102015206448B4 (de) * 2015-04-10 2018-06-21 Carl Zeiss Smt Gmbh Steuerungsvorrichtung zur Steuerung mindestens eines Manipulators eines Projektionsobjektives, Justieranlage und Verfahren zum Steuern mindestens eines Manipulators
US10331040B2 (en) * 2015-10-08 2019-06-25 Asml Netherlands B.V. Method of controlling a lithographic apparatus and device manufacturing method, control system for a lithographic apparatus and lithographic apparatus
WO2021043519A1 (en) * 2019-09-04 2021-03-11 Asml Netherlands B.V. Method and apparatus for lithographic process performance determination
CN113946105A (zh) 2017-02-22 2022-01-18 Asml荷兰有限公司 计算量测法
KR102432667B1 (ko) 2017-05-15 2022-08-17 삼성전자주식회사 오버레이 보정방법 및 제어 시스템
EP3531207A1 (en) 2018-02-27 2019-08-28 ASML Netherlands B.V. Alignment mark positioning in a lithographic process
EP3614194A1 (en) * 2018-08-24 2020-02-26 ASML Netherlands B.V. Matching pupil determination
NL2024949A (en) 2019-03-21 2020-09-22 Asml Netherlands Bv Method for controlling a lithographic system
CN111146104B (zh) * 2019-11-29 2023-09-05 上海集成电路研发中心有限公司 一种关键尺寸误差分析方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04134813A (ja) * 1990-09-27 1992-05-08 Nikon Corp 投影露光装置、投影露光方法および回路製造方法
WO2007086316A1 (ja) * 2006-01-26 2007-08-02 Nikon Corporation 重ね合わせ管理方法及び装置、処理装置、測定装置及び露光装置、デバイス製造システム及びデバイス製造方法、並びにプログラム及び情報記録媒体

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5117255A (en) * 1990-09-19 1992-05-26 Nikon Corporation Projection exposure apparatus
US6753948B2 (en) * 1993-04-27 2004-06-22 Nikon Corporation Scanning exposure method and apparatus
US6238851B1 (en) * 1995-05-29 2001-05-29 Nikon Corporation Exposure method
EP1246014A1 (en) * 2001-03-30 2002-10-02 ASML Netherlands B.V. Lithographic apparatus
US6573986B2 (en) * 2000-12-08 2003-06-03 Litel Instruments Method and apparatus for self-referenced projection lens distortion mapping
US7046376B2 (en) * 2002-07-05 2006-05-16 Therma-Wave, Inc. Overlay targets with isolated, critical-dimension features and apparatus to measure overlay
TW594434B (en) * 2003-04-30 2004-06-21 Nanya Technology Corp Exposure system and method
US7671979B2 (en) * 2004-04-28 2010-03-02 Litel Instruments Apparatus and process for determination of dynamic lens field curvature
US7403264B2 (en) * 2004-07-08 2008-07-22 Asml Netherlands B.V. Lithographic projection apparatus and a device manufacturing method using such lithographic projection apparatus
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7239368B2 (en) * 2004-11-29 2007-07-03 Asml Netherlands B.V. Using unflatness information of the substrate table or mask table for decreasing overlay
US7433051B2 (en) * 2006-03-09 2008-10-07 Ultratech, Inc. Determination of lithography misalignment based on curvature and stress mapping data of substrates
US7683351B2 (en) 2006-12-01 2010-03-23 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7619737B2 (en) 2007-01-22 2009-11-17 Asml Netherlands B.V Method of measurement, an inspection apparatus and a lithographic apparatus
NL1036546A1 (nl) * 2008-02-26 2009-08-27 Asml Netherlands Bv Lithographic method to apply a pattern to a substrate and Lithographic Apparatus.
JP2009224523A (ja) * 2008-03-14 2009-10-01 Canon Inc 露光方法、露光装置及びデバイス製造方法
NL2003118A1 (nl) 2008-07-14 2010-01-18 Asml Netherlands Bv Alignment system, lithographic system and method.
US8260449B2 (en) * 2008-11-06 2012-09-04 Micron Technology, Inc. Photolithography systems and associated methods of overlay error correction

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04134813A (ja) * 1990-09-27 1992-05-08 Nikon Corp 投影露光装置、投影露光方法および回路製造方法
WO2007086316A1 (ja) * 2006-01-26 2007-08-02 Nikon Corporation 重ね合わせ管理方法及び装置、処理装置、測定装置及び露光装置、デバイス製造システム及びデバイス製造方法、並びにプログラム及び情報記録媒体

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11466980B2 (en) 2012-07-05 2022-10-11 Asml Netherlands B.V. Metrology method and apparatus, lithographic system, device manufacturing method and substrate
JP2017072861A (ja) * 2012-07-05 2017-04-13 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィのためのメトロロジ
US9714827B2 (en) 2012-07-05 2017-07-25 Asml Netherlands B.V. Metrology method and apparatus, lithographic system, device manufacturing method and substrate
JP2015528125A (ja) * 2012-07-05 2015-09-24 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィのためのメトロロジ
JP2017536584A (ja) * 2014-11-25 2017-12-07 ストリーム モザイク,インコーポレイテッド 半導体製造プロセスのための改善されたプロセス制御技術
KR20170037822A (ko) 2015-09-28 2017-04-05 도쿄엘렉트론가부시키가이샤 기판 처리 방법 및 기판 처리 장치
US10074542B2 (en) 2015-09-28 2018-09-11 Tokyo Electron Limited Substrate processing method and substrate processing apparatus
JP2022153592A (ja) * 2016-01-11 2022-10-12 ケーエルエー コーポレイション ホットスポット及びプロセスウィンドウ監視装置
JP7477564B2 (ja) 2016-01-11 2024-05-01 ケーエルエー コーポレイション ホットスポット及びプロセスウィンドウ監視装置
US10802408B2 (en) 2016-10-26 2020-10-13 Asml Netherlands B.V. Method for optimization of a lithographic process
KR102224672B1 (ko) * 2016-10-26 2021-03-08 에이에스엠엘 네델란즈 비.브이. 리소그래피 프로세스의 최적화 방법
KR20190058683A (ko) * 2016-10-26 2019-05-29 에이에스엠엘 네델란즈 비.브이. 리소그래피 프로세스의 최적화 방법
JP2021505957A (ja) * 2017-12-06 2021-02-18 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置を制御する方法および関連する装置
JP2022140566A (ja) * 2017-12-19 2022-09-26 エーエスエムエル ネザーランズ ビー.ブイ. 計算メトロロジに基づく補正および制御
JP7443431B2 (ja) 2017-12-19 2024-03-05 エーエスエムエル ネザーランズ ビー.ブイ. 計算メトロロジに基づく補正および制御
CN110021546A (zh) * 2018-01-05 2019-07-16 东京毅力科创株式会社 基片处理装置、基片处理方法和计算机存储介质
US11126086B2 (en) 2018-01-05 2021-09-21 Tokyo Electron Limited Substrate treatment apparatus, substrate treatment method, and computer storage medium
KR20190083973A (ko) 2018-01-05 2019-07-15 도쿄엘렉트론가부시키가이샤 기판 처리 장치, 기판 처리 방법 및 컴퓨터 기억 매체
CN110021546B (zh) * 2018-01-05 2024-04-12 东京毅力科创株式会社 基片处理装置、基片处理方法和计算机存储介质
KR20240068615A (ko) 2018-01-05 2024-05-17 도쿄엘렉트론가부시키가이샤 기판 처리 장치, 기판 처리 방법 및 컴퓨터 기억 매체

Also Published As

Publication number Publication date
US20110205510A1 (en) 2011-08-25
IL210774A0 (en) 2011-06-30
KR20110095833A (ko) 2011-08-25
TW201142534A (en) 2011-12-01
EP2392970A3 (en) 2017-08-23
TWI427434B (zh) 2014-02-21
CN102163001B (zh) 2013-09-11
IL210774A (en) 2017-09-28
EP2392970A2 (en) 2011-12-07
US9310698B2 (en) 2016-04-12
CN102163001A (zh) 2011-08-24
KR101208462B1 (ko) 2012-12-05
JP5178855B2 (ja) 2013-04-10
SG173957A1 (en) 2011-09-29

Similar Documents

Publication Publication Date Title
JP5178855B2 (ja) リソグラフィ装置を制御するための方法および装置
JP5583791B2 (ja) リソグラフィ装置及びデバイス製造方法
JP5266352B2 (ja) リソグラフィ装置及びデバイス製造方法
JP5288808B2 (ja) 測定方法、検査装置およびリソグラフィ装置
JP5284481B2 (ja) スキャトロメータおよびリソグラフィ装置
WO2016128190A1 (en) Method and apparatus for reticle optimization
JP5443405B2 (ja) リソグラフィ装置及びデバイス製造方法
KR101887924B1 (ko) 검사 방법 및 장치, 및 리소그래피 장치
US9069240B2 (en) Calibration of lithographic apparatus by exposing patterns on substrate positioned at different orientations
US8793099B2 (en) Calibration of lithographic apparatus
WO2023117263A1 (en) Method and apparatus for lithographic imaging
WO2023138892A1 (en) Method and apparatus for illumination adjustment
NL2006923A (en) Lithographic apparatus and device manufacturing method.

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110419

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110419

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120720

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120725

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121002

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20121214

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130108

R150 Certificate of patent or registration of utility model

Ref document number: 5178855

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250