JP2010074065A - Substrate cleaning method for removing oxide film - Google Patents

Substrate cleaning method for removing oxide film Download PDF

Info

Publication number
JP2010074065A
JP2010074065A JP2008242665A JP2008242665A JP2010074065A JP 2010074065 A JP2010074065 A JP 2010074065A JP 2008242665 A JP2008242665 A JP 2008242665A JP 2008242665 A JP2008242665 A JP 2008242665A JP 2010074065 A JP2010074065 A JP 2010074065A
Authority
JP
Japan
Prior art keywords
substrate
chamber
plasma
plasma generation
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2008242665A
Other languages
Japanese (ja)
Other versions
JP2010074065A5 (en
Inventor
Takuya Kiyono
拓哉 清野
Manabu Ikemoto
学 池本
Kimiko Mashita
公子 真下
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Canon Anelva Corp
Original Assignee
Canon Anelva Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Canon Anelva Corp filed Critical Canon Anelva Corp
Priority to JP2008242665A priority Critical patent/JP2010074065A/en
Publication of JP2010074065A publication Critical patent/JP2010074065A/en
Publication of JP2010074065A5 publication Critical patent/JP2010074065A5/en
Pending legal-status Critical Current

Links

Images

Abstract

<P>PROBLEM TO BE SOLVED: To provide a cleaning method for a surface treatment by removing natural oxide films or organic materials without impairing the flatness of a substrate surface. <P>SOLUTION: Radicals in plasma are introduced into a processing chamber through radical passage holes (111) of a plasma confining electrode plate (110) for separating plasma, and a processing gas is introduced to the processing chamber and is mixed with the radicals in the processing chamber (121). The substrate surface is cleaned by the mixed atmosphere of the radicals and the processing gas. <P>COPYRIGHT: (C)2010,JPO&INPIT

Description

本発明は、半導体素子製造における基板表面、特にIV族半導体表面の処理を含む装置及び製造方法に係る。 The present invention relates to an apparatus and a manufacturing method including processing of a substrate surface, particularly a group IV semiconductor surface, in manufacturing a semiconductor element.

従来、半導体Si基板はウェット洗浄が行われていた。しかし、乾燥時のウォーターマークの完全除去、極薄酸化膜エッチング制御ができない、装置が大型化する等の問題があった。また、半導体基板のウェット洗浄後、大気に露出する時間が長いと表面に自然酸化膜の形成や炭素原子が吸着され、Si単結晶の成膜がされない、凹凸のある膜になる、ゲート絶縁膜界面での不純物準位の発生等の問題が生じる。 Conventionally, wet cleaning has been performed on semiconductor Si substrates. However, there are problems such as complete removal of watermarks during drying, control of etching of an ultrathin oxide film, and an increase in the size of the apparatus. In addition, if the exposure time to the atmosphere is long after wet cleaning of the semiconductor substrate, a gate oxide film that forms a natural oxide film on the surface and carbon atoms are adsorbed to form an uneven film without forming a Si single crystal. Problems such as generation of impurity levels at the interface occur.

そこで、成膜前に750℃以上のUHV真空加熱あるいはH2雰囲気で800℃以上の加熱を行い表面の酸化膜を除去していた。しかし、デバイスの微細化が進み誘電体絶縁膜/金属電極を用いると、デバイスはより低温で作成されることが必要となる。今後、650℃以下の温度でのデバイス作成が要求される。したがって、ウェット洗浄では限界があり、真空中で半導体基板の成膜前処理を行うドライ洗浄方法が必要となってきている。例えば、アルゴンプラズマによる逆スパッタ法(特開平10−147877号)。しかし、この方法では、半導体基板表面のSi−Si結合も切断されると考えられる。この場合、Siの欠損部分には直ちに酸化膜が形成され、Siの未結合手には汚染物質が付着しやすく、また、スパッタされた酸化物や汚染物質が側壁に再付着するなどの問題が生じ、この結果、後工程に悪影響(エピタキシャル成長の阻害、シリサイド界面に高抵抗部分を形成)を与える。さらにデバイスへの損傷も問題となる。 Therefore, UHV vacuum heating at 750 ° C. or higher or heating at 800 ° C. or higher in an H 2 atmosphere was performed before film formation to remove the oxide film on the surface. However, as device miniaturization advances and dielectric insulating films / metal electrodes are used, the device needs to be manufactured at a lower temperature. In the future, devices will be required at temperatures below 650 ° C. Therefore, there is a limit in wet cleaning, and a dry cleaning method for performing pre-deposition processing of a semiconductor substrate in a vacuum is required. For example, reverse sputtering using argon plasma (Japanese Patent Laid-Open No. 10-147877). However, with this method, it is considered that the Si-Si bond on the surface of the semiconductor substrate is also broken. In this case, an oxide film is immediately formed on the Si deficient part, and contaminants are likely to adhere to the Si dangling bonds, and the sputtered oxide and contaminants reattach to the side wall. As a result, an adverse effect (inhibition of epitaxial growth, formation of a high resistance portion at the silicide interface) is exerted on the subsequent process. Furthermore, damage to the device is also a problem.

また、特開2001−144028号には、プラズマ化されたF2ガスを用いて基板表面のシリコン酸化膜を除去後、基板表面に付着したF成分を除去するための水素ラジカルを照射することが記載されている。さらに、特開平04−96226号には、F2ガスを用いてSi自然酸化膜を基板上から除去した後にラジカル化されて水素を基板に照射し、水素で終端させることが記載されている。また、特開平06−120181号には、HFプラズマで基板表面酸化膜を除去したのち、水素イオンにより基板表面を水素終端する技術が開示されている。しかし、プラズマ化されたF2ガスの中には、ラジカル化したフッ素ガスだけでなく、イオン化したフッ素ガスも含まれているため、基板表面のシリコン酸化膜を除去した際、表面に凹凸が生じるという問題を生じる。さらに、基板表面にシリコン酸化膜だけでなく基板自体を除去してしまう可能性もある。また、半導体基板をプラズマに露出しているため、Si−Si結合も切断される。この場合、Siの欠損部分には直ちに酸化膜が形成され、Siの未結合手には汚染物質が付着しやすく、さらにスパッタされた酸化物や汚染物質が側壁に再付着するなどの問題が生じ、この結果、後工程に悪影響(エピ成長の阻害、シリサイド界面に高抵抗部分を形成)を与える。さらにデバイスへの損傷も問題となる。また、本公知例は、プラズマにより積極的にガスを分解して、水素基(Hラジカル)および水素イオンを生成する構成である。水素基(Hラジカル)および水素イオンによって、基板表面のフッ素残留物を除去しようとすると、チャンバからの金属汚染の問題、下地Siエッチレートが大きく、エッチングし過ぎるなどの問題がある。また、反応生成物のHFは再付着し易いため、十分なF除去効果が得られない。 Japanese Patent Laid-Open No. 2001-144028 discloses that after removing a silicon oxide film on a substrate surface using plasmad F 2 gas, irradiation with hydrogen radicals for removing an F component adhering to the substrate surface is performed. Are listed. Further, Japanese Patent Application Laid-Open No. 04-96226 describes that after the Si natural oxide film is removed from the substrate using F 2 gas, it is radicalized, irradiated with hydrogen to the substrate, and terminated with hydrogen. Japanese Patent Laid-Open No. 06-120181 discloses a technique in which a substrate surface oxide film is removed by HF plasma, and then the substrate surface is hydrogen-terminated by hydrogen ions. However, since the plasmatized F 2 gas contains not only radicalized fluorine gas but also ionized fluorine gas, when the silicon oxide film on the substrate surface is removed, irregularities are generated on the surface. This causes a problem. Furthermore, not only the silicon oxide film but also the substrate itself may be removed on the substrate surface. Moreover, since the semiconductor substrate is exposed to plasma, the Si-Si bond is also broken. In this case, an oxide film is immediately formed on the Si deficient part, contaminants easily adhere to the Si dangling bonds, and problems such as spattered oxides and contaminants reattach to the sidewalls arise. As a result, an adverse effect (inhibition of epi growth, formation of a high resistance portion at the silicide interface) is exerted on the subsequent process. Furthermore, damage to the device is also a problem. Moreover, this known example is a configuration in which gas is actively decomposed by plasma to generate hydrogen groups (H radicals) and hydrogen ions. When the fluorine residue on the substrate surface is removed by hydrogen groups (H radicals) and hydrogen ions, there are problems such as metal contamination from the chamber, a large Si etch rate, and excessive etching. In addition, since the reaction product HF easily reattaches, a sufficient F removal effect cannot be obtained.

また、特開2001−102311号には、基板が配置される成膜室に対して導入孔を有するプレートで分離されたプラズマ生成室を有するプラズマ生成部にフッ素等洗浄ガスを供給し、このプラズマ生成部でプラズマを作ってラジカルを発生させ、このフッ素ラジカルを前記導入孔を介して基板が配置された成膜空間に導入し、基板に照射させ、基板を洗浄することが記載されている。また、特表2002−500276号には、遠隔プラズマ源で励起されたガスを分配するプレートを持ち、このプレートを通じてラジカルを供給して基板洗浄する方法が示されている。しかし、ラジカルの励起エネルギーを抑制した雰囲気に半導体基板表面を晒すことができないため、Siと高い選択性エッチングができず、そのため、表面粗さを損ねることなく自然酸化膜を除去できないという問題を生じる。 Japanese Patent Laid-Open No. 2001-102311 supplies a cleaning gas such as fluorine to a plasma generation unit having a plasma generation chamber separated by a plate having an introduction hole with respect to a film formation chamber in which a substrate is disposed. It is described that a plasma is generated in the generation unit to generate radicals, and the fluorine radicals are introduced into a film formation space in which the substrate is disposed through the introduction hole, irradiated onto the substrate, and the substrate is cleaned. Japanese Patent Application Publication No. 2002-500206 discloses a method of cleaning a substrate by having a plate for distributing a gas excited by a remote plasma source and supplying radicals through the plate. However, since the surface of the semiconductor substrate cannot be exposed to an atmosphere in which radical excitation energy is suppressed, high selective etching with Si cannot be performed, which causes a problem that a natural oxide film cannot be removed without impairing the surface roughness. .

特開2002−217169号には、高速ガス流の摩擦応力による物理的作用とを併用して異物を除去する洗浄工程を真空一貫で行う装置が開示されている。本公知例では、真空搬送での不純物の吸着や自然酸化の発生が抑制され、生産効率が向上することが記載されている。しかしながら、異物を除去できたとしても表面原子層オーダでは自然酸化膜や表面ラフネスが残ってしまう。すなわち、真空一貫での搬送によるデバイス特性向上の効果を得るためには、Siと自然酸化膜との高い選択性エッチングを原子層オーダで制御する洗浄技術と、大気に晒さずに搬送し成膜することが必要である。これにより、半導体/誘電絶縁膜接合における界面準位や膜中の固定電荷が少ない良好なデバイス特性が得られると考えられる。 Japanese Patent Application Laid-Open No. 2002-217169 discloses an apparatus that performs a cleaning process for removing foreign matter in a consistent vacuum using a physical action due to frictional stress of a high-speed gas flow. This known example describes that the adsorption of impurities and the occurrence of natural oxidation during vacuum conveyance are suppressed, and the production efficiency is improved. However, even if the foreign matter can be removed, a natural oxide film and surface roughness remain in the surface atomic layer order. In other words, in order to obtain the effect of improving the device characteristics by transporting in a consistent vacuum, cleaning technology that controls high selective etching of Si and natural oxide film with atomic layer order and deposition without exposing to the atmosphere It is necessary to. Thereby, it is considered that good device characteristics with few interface states in the semiconductor / dielectric insulating film junction and fixed charges in the film can be obtained.

また、特開平10−172957号には、遠隔プラズマ源で励起されたアルゴン、ヘリウム、キセノン、水素の励起ガスと、下流で導入されたHFガスの混合ガスにより、酸化膜を選択的に除去することができ、シリコン基板に損傷も見られなかったとしている。しかし、近年要求される平坦性のレベルを満足するものではなかった。 Japanese Patent Laid-Open No. 10-172957 discloses that an oxide film is selectively removed by a mixed gas of argon, helium, xenon, and hydrogen excited by a remote plasma source and HF gas introduced downstream. The silicon substrate was not damaged. However, it did not satisfy the level of flatness required in recent years.

特開2001−144028JP 2001-144028 A 特開平04−96226号JP 04-96226 特開平06−120181号JP-A-06-120181 特開2001−102311JP 2001-102311 A 特表2002−500276Special table 2002-500206

従来の基板表面の自然酸化膜や有機物を除去するウェット洗浄表面処理においては、洗浄後の基板を次の成膜工程まで大気搬送を伴うため大気中成分が基板表面に吸着し、界面に自然酸化膜や炭素原子等の不純物が残留するため、デバイス特性を劣化するという問題があった。また、ドライ洗浄表面処理をして、界面に自然酸化膜や炭素原子等の不純物が残留しないよう、洗浄後基板を真空中での基板処理を行なうと、基板表面の自然酸化膜、有機物、炭素などの不純物は除去できるが、ドライ洗浄により基板表面の平坦性が劣化するという問題があった。さらに、基板表面の平坦性が良くないと、作成するデバイスの特性が劣化してしまうという問題があった。 In the conventional wet cleaning surface treatment that removes natural oxide film and organic substances on the substrate surface, atmospheric components are adsorbed on the substrate surface and naturally oxidized at the interface because the substrate after cleaning is transported to the next film formation process. Since impurities such as films and carbon atoms remain, there is a problem that device characteristics are deteriorated. Also, if the substrate is cleaned in vacuum so that impurities such as natural oxide film and carbon atoms do not remain at the interface after dry cleaning surface treatment, natural oxide film on the substrate surface, organic matter, carbon However, there is a problem that the flatness of the substrate surface is deteriorated by dry cleaning. Furthermore, if the flatness of the substrate surface is not good, there is a problem that the characteristics of the device to be produced deteriorate.

本発明は、前述の問題を解決する目的でなされたものである。
本発明者らの検討結果によれば、プラズマによって生成したラジカルを、プラズマ生成室と処理室を分離する隔壁板に設けられた複数の孔から処理室に導入し、別途処理室に導入した処理ガスとこのラジカルを混合することで、上記ラジカルの励起エネルギーを抑制し、これによりSiと高い選択性を持った基板表面処理が可能となるため、基板表面の平坦性を損なうことなく自然酸化膜や有機物を除去する表面処理が可能となることが見出された。
The present invention has been made for the purpose of solving the aforementioned problems.
According to the examination results of the present inventors, radicals generated by plasma are introduced into the processing chamber through a plurality of holes provided in the partition plate separating the plasma generation chamber and the processing chamber, and the processing is separately introduced into the processing chamber. By mixing the gas and this radical, the excitation energy of the radical is suppressed, which makes it possible to treat the substrate surface with high selectivity to Si, so that the natural oxide film does not compromise the flatness of the substrate surface. It has been found that surface treatment to remove organic substances is possible.

本発明は
基板を処理室内に設置し、
プラズマ生成ガスをプラズマ化し、
該プラズマ中のラジカルをプラズマ分離用のプラズマ閉じ込め電極板のラジカル通過孔を通して該処理室に導入し、
該処理室に処理ガスを導入して該処理室内でラジカルと混合し、そして
該ラジカルと該処理ガスとの混合雰囲気により該基板表面を洗浄することからなる基板洗浄方法である。
The present invention installs a substrate in a processing chamber,
Plasma generated gas is turned into plasma,
Introducing radicals in the plasma into the processing chamber through radical passing holes of a plasma confining electrode plate for plasma separation;
A substrate cleaning method comprising introducing a processing gas into the processing chamber, mixing it with radicals in the processing chamber, and cleaning the substrate surface with a mixed atmosphere of the radicals and the processing gas.

また、該基板の表面はIV族半導体材料であり、該プラズマ生成ガスと処理ガスはHFを含有するものである基板洗浄方法である。 Further, the substrate cleaning method is such that the surface of the substrate is a group IV semiconductor material, and the plasma generation gas and the processing gas contain HF.

プラズマ生成ガスの総ガス流量に対するプラズマ生成ガスのHF比率は、0.2〜1.0、さらに望ましくは0.5〜1.0であることが、好ましい。また、処理ガスの総ガス流量に対する処理ガスのHF比率は、0.2〜1.0、さらに望ましくは0.75〜1.0であることが好ましい。 The HF ratio of the plasma generation gas to the total gas flow rate of the plasma generation gas is preferably 0.2 to 1.0, more preferably 0.5 to 1.0. Further, the HF ratio of the processing gas to the total gas flow rate of the processing gas is preferably 0.2 to 1.0, more preferably 0.75 to 1.0.

また、該プラズマ分離用のプラズマ閉じ込め電極板は該プラズマ中のラジカルを該処理室に導入する複数のラジカル導入孔と該処理ガスを該処理室内に導入する複数の処理ガス導入孔とを有し、該ラジカルと該処理ガスをそれぞれの導入孔から該処理室内の基板表面に向かって排出している基板洗浄方法である。 The plasma confining electrode plate for plasma separation has a plurality of radical introduction holes for introducing radicals in the plasma into the processing chamber and a plurality of processing gas introduction holes for introducing the processing gas into the processing chamber. The substrate cleaning method in which the radical and the processing gas are discharged from the respective introduction holes toward the substrate surface in the processing chamber.

また、前述の基板洗浄方法でIV族半導体基板表面を洗浄室にて洗浄し、洗浄された該基板を該洗浄室から大気に晒すことなくトランスファー室を介してエピタキシャル室へ移送し、該エピタキシャル室内で該基板表面上にエピタキシャル単結晶層をエピタキシャル成長させることからなる半導体素子製造方法である。 Further, the surface of the group IV semiconductor substrate is cleaned in the cleaning chamber by the above-described substrate cleaning method, and the cleaned substrate is transferred from the cleaning chamber to the epitaxial chamber through the transfer chamber without being exposed to the atmosphere. A method for manufacturing a semiconductor device comprising epitaxially growing an epitaxial single crystal layer on the surface of the substrate.

また、前述の基板洗浄方法で製造されたエピタキシャル層を有する基板を、該エピタキシャル室から大気に晒すことなくトランスファー室を介してスパッタ室に移送し、
該スパッタ室にて該エピタキシャル層上に誘電体膜をスパッタし、
該誘電体膜を有する基板を該スパッタ室から大気に晒すことなくトランスファー室を介して酸化・窒化室へ移送し、
該酸化・窒化室にて該誘電体膜を酸化・窒化又は酸窒化することからなる半導体素子製造方法である。
Further, the substrate having the epitaxial layer manufactured by the above-described substrate cleaning method is transferred from the epitaxial chamber to the sputtering chamber via the transfer chamber without being exposed to the atmosphere,
Sputtering a dielectric film on the epitaxial layer in the sputtering chamber,
The substrate having the dielectric film is transferred from the sputtering chamber to the oxidation / nitridation chamber via the transfer chamber without being exposed to the atmosphere,
A method of manufacturing a semiconductor device comprising oxidizing, nitriding or oxynitriding the dielectric film in the oxidation / nitridation chamber.

また、前述の半導体製造方法で、前記誘電体膜は、Hf、La、Ta、Al、W、Ti、Si、Geのグループから選択されたもの又はそれらの合金である半導体素子製造方法。
また前述の基板洗浄方法において、該プラズマガスをプラズマ化する際、プラズマガスに高周波電力を印加してプラズマ化しており、該高周波電力密度は0.001〜0.25W/cm2、望ましくは0.001〜0.125W/cm2、更に望ましくは0.001〜0.025W/cm2であることを特徴とする基板洗浄方法である。
Also, in the semiconductor manufacturing method described above, the dielectric film is a semiconductor element manufacturing method that is selected from the group of Hf, La, Ta, Al, W, Ti, Si, and Ge or an alloy thereof.
In the above-described substrate cleaning method, when the plasma gas is turned into plasma, high-frequency power is applied to the plasma gas to form plasma, and the high-frequency power density is 0.001 to 0.25 W / cm 2 , preferably 0. .001~0.125W / cm 2, more preferably is a substrate cleaning method which is a 0.001~0.025W / cm 2.

また、真空容器内でプラズマ生成ガスからプラズマを生成してラジカルを発生させ、このラジカルと処理ガスとで基板処理を行なうプラズマ分離型の基板処理装置であって、
導入されたプラズマ生成ガスをプラズマ化させるプラズマ生成室、
被処理基板を設置する基板ホルダーを含む処理室、及び
該プラズマ生成室と該処理室との間に複数のラジカル通過孔を備えたプラズマ分離用のプラズマ閉じ込め電極板とからなる基板処理装置であって、
該プラズマ閉じ込め電極板は中空構造であり、処理室側に開口された複数の処理ガス導入孔が設けられており、該プラズマ閉じ込め電極板には、処理ガスを供給するガス導入管が配置されている基板処理装置において、
該プラズマ生成室内部のプラズマ生成空間には高周波電源から供給される電力によりプラズマを発生させる高周波印加電極を備え、
該高周波印加電極は、該電極を貫く複数の貫通孔を有し、
該プラズマ生成室にプラズマ生成ガスを導入するプラズマ生成ガス導入シャワープレートを更に含み、
該プラズマ生成ガス導入シャワープレートは、該複数のラジカル通過孔を備えたプラズマ分離用のプラズマ閉じ込め電極板に沿って延在する電極上にプラズマ生成ガスを導入する複数のガス排出口を含むものである基板処理装置である。
Also, a plasma separation type substrate processing apparatus for generating plasma by generating plasma from a plasma generating gas in a vacuum vessel and performing substrate processing with the radical and the processing gas,
A plasma generation chamber for converting the introduced plasma generation gas into plasma,
A substrate processing apparatus comprising a processing chamber including a substrate holder for setting a substrate to be processed, and a plasma confining electrode plate for plasma separation having a plurality of radical passage holes between the plasma generation chamber and the processing chamber. And
The plasma confinement electrode plate has a hollow structure and is provided with a plurality of process gas introduction holes opened on the processing chamber side, and a gas introduction tube for supplying a process gas is disposed on the plasma confinement electrode plate. In the substrate processing apparatus
The plasma generation space in the plasma generation chamber includes a high frequency application electrode for generating plasma by power supplied from a high frequency power source,
The high-frequency application electrode has a plurality of through holes penetrating the electrode,
A plasma generation gas introduction shower plate for introducing a plasma generation gas into the plasma generation chamber;
The plasma generation gas introduction shower plate includes a plurality of gas discharge ports for introducing a plasma generation gas onto an electrode extending along a plasma confinement electrode plate for plasma separation having the plurality of radical passage holes. It is a processing device.

また、プラズマ生成ガス導入シャワープレートの複数のガス孔の直径は、2mm以下、さらに望ましくは1.5mm以下である基板処理装置である。 The diameter of the plurality of gas holes of the plasma generation gas introduction shower plate is 2 mm or less, more desirably 1.5 mm or less.

また、前述の基板処理室において、該電極の複数の貫通孔の全体積をV2、該貫通孔を含む電極の全体積をV1としたとき、堆積比率V2/V1が0.01〜0.8であることを特徴とする基板処理装置である。 In the above-described substrate processing chamber, when the total volume of the plurality of through holes of the electrode is V2, and the total volume of the electrodes including the through holes is V1, the deposition ratio V2 / V1 is 0.01 to 0.8. This is a substrate processing apparatus.

また、基板処理装置において、該高周波印加電極へ印加される高周波電力密度は0.001〜0.25W/cm2、望ましくは0.001〜0.125W/cm2、更に望ましくは0.001〜0.025W/cm2であることを特徴とする基板処理装置である。 Further, in the substrate processing apparatus, the high frequency power density 0.001~0.25W / cm 2 applied to the powered electrode, preferably 0.001~0.125W / cm 2, more desirably 0.001 The substrate processing apparatus is characterized by 0.025 W / cm 2 .

前述の基板処理装置において、該プラズマ生成室に導入されるプラズマ生成ガスがHFを含むガスであり、かつ該処理室に導入されるガスがHFを含むガスであることを特徴とする基板処理装置である。 In the above-described substrate processing apparatus, the plasma generating gas introduced into the plasma generating chamber is a gas containing HF, and the gas introduced into the processing chamber is a gas containing HF. It is.

また、前述の基板処理装置からなる基板洗浄室、
基板上にエピタキシャル層を形成するエピタキシャル成長室、及び
該基板洗浄室からの基板を大気に晒すことなく該エピタキシャル成長室に移送するトランスファー室とからなる半導体素子製造装置である。
Also, a substrate cleaning chamber comprising the above-described substrate processing apparatus,
A semiconductor device manufacturing apparatus comprising an epitaxial growth chamber for forming an epitaxial layer on a substrate and a transfer chamber for transferring the substrate from the substrate cleaning chamber to the epitaxial growth chamber without exposing it to the atmosphere.

また、前述の装置において、誘電体膜を形成するスパッタ室を更に含み、該洗浄室又は該エピタキシャル成長室からの基板を大気に晒すことなく該トランスファー室を介して該スパッタ室に移送されるよう構成されている半導体素子製造装置である。 The above-described apparatus further includes a sputtering chamber for forming a dielectric film, and is configured to be transferred to the sputtering chamber via the transfer chamber without exposing the substrate from the cleaning chamber or the epitaxial growth chamber to the atmosphere. This is a semiconductor device manufacturing apparatus.

また、前述の装置において、誘電体膜を酸化もしくは窒化もしくは酸窒化する酸化・窒化室を更に含み、該洗浄室又は該エピタキシャル成長室又は該スパッタ室からの基板を大気に晒すことなく該トランスファー室を介して該酸化・窒化室に移送されるよう構成されている半導体素子製造装置である。 The apparatus further includes an oxidation / nitridation chamber that oxidizes, nitrides, or oxynitrides the dielectric film, and the transfer chamber is formed without exposing the substrate from the cleaning chamber, the epitaxial growth chamber, or the sputtering chamber to the atmosphere. The semiconductor device manufacturing apparatus is configured to be transferred to the oxidation / nitridation chamber.

本発明により、半導体基板表面の自然酸化膜や有機不純物を従来のウェット洗浄よりも低減できる基板処理をすることができた。また、基板表面の平坦性を損ねることなく自然酸化膜や有機物を除去できた。 According to the present invention, it has been possible to perform substrate processing that can reduce the natural oxide film and organic impurities on the surface of the semiconductor substrate as compared with the conventional wet cleaning. In addition, natural oxide films and organic substances could be removed without impairing the flatness of the substrate surface.

半導体基板表面の自然酸化膜や有機不純物汚染を除去するために、プラズマ生成ガスと処理ガスとしてのHFまたは少なくともHFガスを含む混合ガスを用い、プラズマ生成室からラジカルを処理室に導入し、同時に処理室にHFを構成元素とするガス分子を導入することにより、上記ラジカルの励起エネルギーを抑制した雰囲気に半導体基板表面を晒して、基板表面の平坦性を損ねることなく自然酸化膜や有機物を除去できた。半導体基板の金属汚染やプラズマダメージを生じることも無い。また、従来のウェット洗浄では、アニール処理等の後処理を併用し、複数の工程を必要としていた基板処理が1つの工程で済むようになり効率よく所定の効果を得ることができるため、コストも低減でき、処理速度の大幅な向上が図れた。さらに、プラズマ生成ガスにシャワープレートを備えることにより均一に生成ガスを導入することができ、電極部に貫通する孔を備えることにより低電力でも放電でき、生成したプラズマ中のラジカルを複数のラジカル通過孔を備えたプラズマ分離用のプラズマ閉じ込め電極板を備えることにより処理室に均一にラジカルが導入できた。また、プラズマ生成ガスとしてHFを用い、プラズマ生成室からラジカルを処理室に導入し、同時に処理室にHFを導入することにより、原子層オーダーで表面荒さが少ない表面処理を実現することにより、その表面上に単結晶Si、SiGe膜を得ることが可能となった。 In order to remove the natural oxide film and organic impurity contamination on the surface of the semiconductor substrate, plasma generating gas and HF as processing gas or a mixed gas containing at least HF gas are used, radicals are introduced from the plasma generating chamber into the processing chamber, and at the same time By introducing gas molecules containing HF as a constituent element into the processing chamber, the surface of the semiconductor substrate is exposed to an atmosphere in which the excitation energy of the radicals is suppressed, and natural oxide films and organic substances are removed without impairing the flatness of the substrate surface. did it. There is no metal contamination or plasma damage on the semiconductor substrate. In addition, in the conventional wet cleaning, post-treatment such as annealing treatment is used in combination, so that the substrate processing which requires a plurality of steps can be completed in one step, and a predetermined effect can be obtained efficiently. The processing speed can be greatly improved. Furthermore, by providing a shower plate in the plasma generated gas, the generated gas can be introduced uniformly, and by providing a hole penetrating the electrode part, it can be discharged even at low power, and the radicals in the generated plasma pass through multiple radicals. By providing a plasma confinement electrode plate for plasma separation with holes, radicals could be introduced uniformly into the processing chamber. In addition, by using HF as a plasma generation gas and introducing radicals from the plasma generation chamber into the processing chamber and simultaneously introducing HF into the processing chamber, surface treatment with less surface roughness on the atomic layer order is realized. Single crystal Si and SiGe films can be obtained on the surface.

また、第1の工程により基板表面処理、第2の工程により単結晶成膜を大気に晒すことなく真空中を搬送することにより、界面の不純物が大気搬送の場合よりも少ないため、良好なデバイス特性を得ることができた。 In addition, since the substrate surface treatment is performed in the first step and the single crystal film formation in the second step is transported in vacuum without being exposed to the air, the interface has fewer impurities than in the case of air transport, so that a good device is obtained. The characteristics could be obtained.

また、第1の工程により基板表面処理、第2の工程により単結晶成膜、第3の工程により誘電体材料をスパッタ成膜、第4の工程により酸化、窒化、酸窒化し、更に第5の工程により金属材料をスパッタ成膜を、全て大気に晒すことなく真空中で搬送することで、半導体/絶縁膜接合の界面の不純物が大気搬送の場合よりも少ないため、従来の酸化膜同等の界面準位密度、膜中の固定電荷密度が得られ、ヒステリシスが小さいC−V曲線が得られ、リーク電流が小さくなり、良好なデバイス特性を得ることができた。 Also, the substrate surface treatment is performed in the first step, the single crystal film is formed in the second step, the dielectric material is sputtered in the third step, the oxidation, nitridation, and oxynitridation are performed in the fourth step. By carrying the sputter deposition of the metal material in a vacuum without exposing it to the atmosphere by this process, the impurities at the interface of the semiconductor / insulating film junction are less than in the case of the atmospheric transport. The interface state density and the fixed charge density in the film were obtained, a CV curve with small hysteresis was obtained, the leakage current was reduced, and good device characteristics could be obtained.

本発明の実施例を以下に説明する。
以下、添付図面を参照して、本発明の実施の形態を説明する。
本実施例では、図1に示した成膜装置1において、図3に示される表面処理装置100を用いた第1の工程を行いSi基板上に形成された自然酸化膜及び有機物を除去するプロセスに、本発明を適用した例について述べる。
Examples of the present invention will be described below.
Embodiments of the present invention will be described below with reference to the accompanying drawings.
In the present embodiment, in the film forming apparatus 1 shown in FIG. 1, the first process using the surface treatment apparatus 100 shown in FIG. 3 is performed to remove the natural oxide film and organic matter formed on the Si substrate. Next, an example to which the present invention is applied will be described.

サンプルとして用いた基板5は清浄空気中に放置して自然酸化膜が形成されている直径300mmのSi単結晶基板である。基板5は、図示しない基板搬送機構によりロードロック室50へ搬送され、載置される。次に、図示しない排気系によりロードロック室50は減圧される。所定の圧力、具体的には1Pa以下まで減圧後、ロードロック室と搬送室の間の図示しないゲートバルブが開かれ、トランスファー室の図示しない搬送機構によって、搬送室60を介して表面処理装置100のへ搬送し、基板ホルダー114上に載置する。 The substrate 5 used as a sample is a Si single crystal substrate having a diameter of 300 mm on which a natural oxide film is formed by leaving it in clean air. The substrate 5 is transported to and placed on the load lock chamber 50 by a substrate transport mechanism (not shown). Next, the load lock chamber 50 is depressurized by an exhaust system (not shown). After reducing the pressure to a predetermined pressure, specifically 1 Pa or less, a gate valve (not shown) between the load lock chamber and the transfer chamber is opened, and the surface treatment apparatus 100 is transferred via the transfer chamber 60 by a transfer mechanism (not shown) of the transfer chamber. And placed on the substrate holder 114.

<表面処理装置の説明>
図3Aは、本発明の表面処理装置100の説明図である。
表面処理装置100は、基板5を載置することができる基板ホルダー114を備えた処理室113と、プラズマ生成室108から構成されている。処理室113とプラズマ生成室108は、複数のラジカル通過孔111を備えたプラズマ分離用のプラズマ閉じ込め電極板110で仕切られ分離されている。プラズマ閉じ込め電極板110は、導電性材料で出来ており、接地されている。プラズマ生成室108はプラズマ生成ガス導入シャワープレート107がある。プラズマ生成ガス導入シャワープレート107とプラズマ閉じ込め電極板110との間には、高周波印加電極104がある。高周波印加電極104には、放電を均一に安定させるためのその表面から裏面へと貫通する複数の電極貫通孔105がある。高周波印加電極104は、高周波電源103に接続されており、高周波電力が供給可能なようになっている。高周波印加電極104は、絶縁体108aによってプラズマ生成室壁にプラズマ閉じ込め電極110と略平行して横方向に延在する板状の部材として支持され、固定されている。高周波印加電極104の両側には上部と下部プラズマ生成空間109a、109bがある。
<Description of surface treatment device>
FIG. 3A is an explanatory diagram of the surface treatment apparatus 100 of the present invention.
The surface processing apparatus 100 includes a processing chamber 113 including a substrate holder 114 on which a substrate 5 can be placed, and a plasma generation chamber 108. The processing chamber 113 and the plasma generation chamber 108 are separated and separated by a plasma confining electrode plate 110 for plasma separation having a plurality of radical passage holes 111. The plasma confinement electrode plate 110 is made of a conductive material and is grounded. The plasma generation chamber 108 has a plasma generation gas introduction shower plate 107. Between the plasma generation gas introduction shower plate 107 and the plasma confinement electrode plate 110, there is a high frequency application electrode 104. The high frequency application electrode 104 has a plurality of electrode through holes 105 penetrating from the front surface to the back surface in order to stabilize the discharge uniformly. The high frequency application electrode 104 is connected to a high frequency power source 103 so that high frequency power can be supplied. The high-frequency applying electrode 104 is supported and fixed by the insulator 108a as a plate-like member extending in the lateral direction substantially parallel to the plasma confining electrode 110 on the plasma generation chamber wall. There are upper and lower plasma generation spaces 109 a and 109 b on both sides of the high-frequency applying electrode 104.

上部プラズマ生成空間109aは、高周波印加電極104とプラズマ生成ガスシャワープレート107に接している。下部プラズマ生成空間109bは、高周波印加電極104とプラズマ閉じ込め電極板110に接している。 The upper plasma generation space 109 a is in contact with the high frequency application electrode 104 and the plasma generation gas shower plate 107. The lower plasma generation space 109 b is in contact with the high-frequency application electrode 104 and the plasma confinement electrode plate 110.

図3Bは、プラズマ閉じ込め電極板110の断面拡大図である。また、図3Cはプラズマ閉じ込め電極板110の処理室から見た拡大図である。このように、プラズマ閉じ込め電極板110は、基板ホルダー114の基板支持面と対面し、プラズマ生成空間109から基板洗浄処理空121へ貫通したラジカル導入孔111を持っている。複数のラジカル導入孔111が電極板110の面に分布して設けられている。
プラズマ閉じ込め電極板110は、基板洗浄処理空121へ処理ガスを導入するための処理ガス導入経路120、処理ガス導入空間119、ガス導入孔112を持っている。プラズマ閉じ込め電極板110の処理ガス導入経路120に連通した処理ガス導入空間119から、基板洗浄処理空121に向けて開口された、複数の処理ガス導入孔112がプラズマ閉じ込め電極110の面において複数のラジカル導入孔111の少なくとも一部と並置して設けられている。プラズマ閉じ込め電極板110は、電極板110を横断方向(プラズマ生成室108と処理室113とを仕切る方向)に通っている処理ガス導入経路120と経路120からの処理ガスが吹き込まれる処理ガス導入空間119という中空構造を持っている。この処理ガス導入経路120に処理ガスを導入することで、処理ガスは複数の処理ガス導入空間119を通り複数の処理ガス導入孔112から基板洗浄処理空121の基板5へ均一に供給される。
FIG. 3B is an enlarged cross-sectional view of the plasma confinement electrode plate 110. FIG. 3C is an enlarged view of the plasma confining electrode plate 110 viewed from the processing chamber. Thus, the plasma confinement electrode plate 110 faces the substrate support surface of the substrate holder 114 and has the radical introduction hole 111 penetrating from the plasma generation space 109 to the substrate cleaning processing space 121. A plurality of radical introduction holes 111 are distributed on the surface of the electrode plate 110.
The plasma confinement electrode plate 110 has a processing gas introduction path 120 for introducing a processing gas into the substrate cleaning processing space 121, a processing gas introduction space 119, and a gas introduction hole 112. A plurality of process gas introduction holes 112 opened from the process gas introduction space 119 communicating with the process gas introduction path 120 of the plasma confinement electrode plate 110 toward the substrate cleaning process space 121 are formed on the surface of the plasma confinement electrode 110. It is provided in parallel with at least a part of the radical introduction hole 111. The plasma confinement electrode plate 110 has a processing gas introduction path 120 passing through the electrode plate 110 in a transverse direction (direction in which the plasma generation chamber 108 and the processing chamber 113 are partitioned) and a processing gas introduction space into which the processing gas from the path 120 is blown. It has a hollow structure of 119. By introducing the processing gas into the processing gas introduction path 120, the processing gas passes through the plurality of processing gas introduction spaces 119 and is uniformly supplied from the plurality of processing gas introduction holes 112 to the substrate 5 in the substrate cleaning processing space 121.

これら処理ガス導入経路120、処理ガス導入空間119、および処理ガス導入孔112は、プラズマ生成空間109およびラジカル導入孔111とは直接つながっていない。従って、ラジカル導入孔111から処理空間へ導入されるラジカルと、処理ガス導入孔112から導入される処理ガスとは、略平行して基板洗浄処理室121内にプラズマ閉じ込め電極面から基板面に向かって導入され基板洗浄処理空121で初めて混合される構造となっている。 The processing gas introduction path 120, the processing gas introduction space 119, and the processing gas introduction hole 112 are not directly connected to the plasma generation space 109 and the radical introduction hole 111. Therefore, the radical introduced into the processing space from the radical introduction hole 111 and the processing gas introduced from the processing gas introduction hole 112 are substantially parallel to the substrate cleaning processing chamber 121 from the plasma confinement electrode surface toward the substrate surface. The substrate is first mixed in the substrate cleaning processing space 121.

プラズマ生成ガスは、プラズマ生成ガス供給系101とプラズマ生成ガス供給管102を輸送され、プラズマ生成ガス導入シャワープレート107のプラズマ生成ガス導入孔106からプラズマ生成室108のプラズマ生成空間109a、電極貫通孔105、プラズマ生成空間109bへ導入され、所定の圧力に上昇する。 The plasma generation gas is transported through the plasma generation gas supply system 101 and the plasma generation gas supply pipe 102, and from the plasma generation gas introduction hole 106 of the plasma generation gas introduction shower plate 107 to the plasma generation space 109a of the plasma generation chamber 108, the electrode through hole. 105, is introduced into the plasma generation space 109b and rises to a predetermined pressure.

高周波電源103から高周波印加電極104へ電力が供給されると、上部と下部プラズマ生成空間109a、109bで放電が開始する。このとき、プラズマ閉じ込め電極板110は、接地電極として働く。また、プラズマ生成ガスシャワープレート107も、これが接続されたプラズマ生成室108のチャンバ壁が接地されているため、やはり接地電極として働く。プラズマ閉じ込め電極板110が接地されていることによって、放電が安定に維持される。プラズマ生成ガスシャワープレート107も、また同様に接地されていることにより、放電が安定に維持されている。 When power is supplied from the high-frequency power source 103 to the high-frequency application electrode 104, discharge starts in the upper and lower plasma generation spaces 109a and 109b. At this time, the plasma confinement electrode plate 110 serves as a ground electrode. The plasma generation gas shower plate 107 also functions as a ground electrode because the chamber wall of the plasma generation chamber 108 to which the plasma generation gas shower plate 107 is connected is grounded. Since the plasma confinement electrode plate 110 is grounded, the discharge is stably maintained. Since the plasma generation gas shower plate 107 is also grounded in the same manner, the discharge is stably maintained.

シャワープレートは絶縁性材料でもよく、この場合、その裏に位置するチャンバ壁が接地電極となる。プラズマ生成室108と基板洗浄処理室121とを、プラズマ閉じ込め電極板110が仕切っている。被洗浄処理室基板5はプラズマ閉じ込め電極板の面に相対して置かれる。プラズマ生成空間109a、109bのプラズマ中で生成したラジカルは、プラズマ閉じ込め電極板110のプラズマ生成室と基板洗浄処理室を連通する複数のラジカル導入孔111を通って、基板洗浄処理空121へ導入される。中性のラジカルはラジカル導入孔を通って基板洗浄処理空121に導入されるが、イオンなどの荷電粒子は、プラズマ閉じ込め電極板110のラジカル導入孔111をほとんど通り抜けることができないようになっている。プラズマ閉じ込め電極板110のラジカル導入孔111は、プラズマを閉じ込め、中性のラジカルを通過させるようになっている。なお、プラズマ閉じ込め電極板110が接地されることで、前述のプラズマを閉じ込め中性のラジカルを通過させる機能がより高まっている。また、プラズマ閉じ込め電極板110が接地されていることによって、高周波が基板洗浄処理空121にもれないようにシールドされている。もしもプラズマ閉じ込め電極板110が接地されていなければ、高周波印加電極104へ印加された高周波は、プラズマ閉じ込め電極板110で遮蔽されず、プラズマ閉じ込め電極板110は電極として機能し、従って処理室の基板洗浄処理空121でも放電する可能性がある。プラズマ閉じ込め電極板110を接地し、処理室の基板洗浄処理空121へのプラズマの侵入、および発生を防止することができる。 The shower plate may be made of an insulating material. In this case, the chamber wall located behind the shower plate serves as a ground electrode. A plasma confining electrode plate 110 partitions the plasma generation chamber 108 and the substrate cleaning processing chamber 121. The substrate 5 to be cleaned is placed relative to the surface of the plasma confinement electrode plate. The radicals generated in the plasma in the plasma generation spaces 109a and 109b are introduced into the substrate cleaning processing space 121 through a plurality of radical introduction holes 111 communicating with the plasma generation chamber of the plasma confining electrode plate 110 and the substrate cleaning processing chamber. The Neutral radicals are introduced into the substrate cleaning processing space 121 through the radical introduction holes, but charged particles such as ions can hardly pass through the radical introduction holes 111 of the plasma confinement electrode plate 110. . The radical introduction hole 111 of the plasma confinement electrode plate 110 confines plasma and allows neutral radicals to pass therethrough. Note that the plasma confinement electrode plate 110 is grounded, thereby further enhancing the function of confining the plasma and passing neutral radicals. Further, since the plasma confinement electrode plate 110 is grounded, it is shielded so that a high frequency does not enter the substrate cleaning processing space 121. If the plasma confinement electrode plate 110 is not grounded, the high frequency applied to the high frequency application electrode 104 is not shielded by the plasma confinement electrode plate 110, and the plasma confinement electrode plate 110 functions as an electrode, and thus the substrate of the processing chamber. There is a possibility of discharging even in the cleaning processing empty 121. The plasma confinement electrode plate 110 can be grounded to prevent plasma from entering and generating into the substrate cleaning processing space 121 in the processing chamber.

一方で、導入されたラジカルの励起エネルギーを抑制するための、励起されていない処理ガスは、処理ガス供給系116から処理ガス供給管115を通じて処理ガス導入経路120へ導入されて拡散し、処理ガス導入空間119へ導入され、さらに処理ガス導入孔112から基板洗浄処理空121へ導入される。
ラジカル導入孔111から処理空間へ導入されるラジカルと、処理ガス導入孔112から導入される処理ガスとは、基板洗浄処理空121で初めて混合され、基板洗浄処理空121に面して置かれた基板5に対して所望の処理をする。
そして基板洗浄処理空121のガスは、排気系117により排気される。
On the other hand, the non-excited processing gas for suppressing the excitation energy of the introduced radical is introduced from the processing gas supply system 116 through the processing gas supply pipe 115 into the processing gas introduction path 120 and diffused, thereby processing gas. It is introduced into the introduction space 119 and further introduced into the substrate cleaning processing space 121 from the processing gas introduction hole 112.
The radical introduced into the processing space from the radical introduction hole 111 and the processing gas introduced from the processing gas introduction hole 112 were mixed for the first time in the substrate cleaning processing space 121 and placed facing the substrate cleaning processing space 121. A desired process is performed on the substrate 5.
Then, the gas in the substrate cleaning processing space 121 is exhausted by the exhaust system 117.

なお、ラジカル導入孔111の形状は、プラズマを遮蔽し中性のラジカルを通過できる機能を持っていれば、図に示した形状でなくても構わない。例えば、図3Bと図3Cでは、ラジカル導入孔111の形状は、プラズマ生成空間109に面した径より基板洗浄処理空121側の径が大きいが、同じ径であってもよいし、又はプラズマ生成空間109に面した径よりも基板洗浄処理空121側の径が小さくても良い。また、図3Bと図3Cでは、ラジカル導入孔111の形状は基板洗浄処理空121側からザグリがあり、その底から1本の細孔がプラズマ生成空間に向けて開いているが、この細孔が複数であってもよい。また、ザグリがプラズマ空間側から開いていて、その底から処理室側に向けて細孔が貫通していても良いし、もちろんその細孔が複数あってもよい。 Note that the shape of the radical introduction hole 111 is not limited to the shape shown in the drawing as long as it has a function of shielding plasma and passing neutral radicals. For example, in FIGS. 3B and 3C, the shape of the radical introduction hole 111 is larger in diameter on the substrate cleaning processing space 121 side than the diameter facing the plasma generation space 109, but may be the same diameter or plasma generation. The diameter on the substrate cleaning processing sky 121 side may be smaller than the diameter facing the space 109. 3B and 3C, the shape of the radical introduction hole 111 has a counterbore from the substrate cleaning processing space 121 side, and one pore opens from the bottom toward the plasma generation space. There may be a plurality. Further, the counterbore may be open from the plasma space side, and the pores may penetrate from the bottom toward the processing chamber, or of course, there may be a plurality of the pores.

図3Dは、このプラズマ閉じ込め電極板110を処理室側から見た模式図である。
このように、ラジカル導入孔111と処理ガス導入孔112は、プラズマ閉じ込め電極板110に対して全面に分布して開口している。このように径方向に均一に分布することにより、プラズマ生成室で生成したラジカルを基板上に均一に供給することができ、さらに別途導入した処理ガスを同時に均一に基板へ向けて供給できる。処理ガスの導入が、基板洗浄処理室121の例えば側壁から一本の供給管で導入される場合に比べ、本発明のようにラジカル導入と平行してプラズマ閉じ込め電極板の面から供給されることは、基板面の洗浄処理の基板面全体についての均一性だけでなく、ラジカルの励起エネルギー抑制によるSiに対する自然酸化膜の選択的エッチングにより効果的である。
FIG. 3D is a schematic view of the plasma confinement electrode plate 110 viewed from the processing chamber side.
As described above, the radical introduction holes 111 and the processing gas introduction holes 112 are distributed and opened over the entire surface of the plasma confinement electrode plate 110. By uniformly distributing in the radial direction in this way, radicals generated in the plasma generation chamber can be supplied uniformly onto the substrate, and a separately introduced processing gas can be supplied simultaneously and uniformly toward the substrate. Compared with the case where the processing gas is introduced from a side wall of the substrate cleaning processing chamber 121 through a single supply pipe, the processing gas is supplied from the surface of the plasma confinement electrode plate in parallel with the radical introduction as in the present invention. This is effective not only in the uniformity of the cleaning process of the substrate surface over the entire substrate surface but also by the selective etching of the natural oxide film with respect to Si by suppressing radical excitation energy.

なお、ラジカル導入孔111と処理ガス導入孔112の分布状況は、この通りでなくても良く、例えば、基板洗浄処理空121における基板処理の反応の結果によるガス濃度比の変動へ対応するためなど、基板処理の面内分布を真に均一にするための要請に応じて変化させることも可能である。例えば、ラジカル導入孔111の密度を中心部よりも周辺部で大きくしても良いし、また逆に減らしても構わない。同様に処理ガス導入孔112の密度を中心部よりも周辺部で大きくしても良いし、また逆に減らしても構わない。この場合の中心部から周辺部にかけてのラジカル導入孔111の密度の減少あるいは増加は、直線的であっても良いし、指数関数的でも構わない。 The distribution status of the radical introduction holes 111 and the process gas introduction holes 112 may not be as described above. For example, in order to cope with a variation in the gas concentration ratio due to the result of the substrate processing reaction in the substrate cleaning processing space 121. It is also possible to change the in-plane distribution of the substrate processing in response to a request for making the distribution uniform. For example, the density of the radical introduction holes 111 may be increased at the peripheral portion than at the central portion, or may be decreased conversely. Similarly, the density of the processing gas introduction holes 112 may be increased at the peripheral portion than at the central portion, or may be decreased conversely. In this case, the decrease or increase in the density of the radical introduction holes 111 from the central part to the peripheral part may be linear or exponential.

プラズマ生成ガスは、プラズマ生成ガス供給系101とプラズマ生成ガス供給管102を輸送され、プラズマ生成ガス導入シャワープレート107のプラズマ生成ガス導入孔106からプラズマ生成室108のプラズマ生成空間109へ導入される。これにより、プラズマ生成ガスがプラズマ生成室108のプラズマ生成空間109に均一に導入することが可能である。 The plasma generation gas is transported through the plasma generation gas supply system 101 and the plasma generation gas supply pipe 102 and is introduced into the plasma generation space 109 of the plasma generation chamber 108 from the plasma generation gas introduction hole 106 of the plasma generation gas introduction shower plate 107. . Thereby, the plasma generation gas can be uniformly introduced into the plasma generation space 109 of the plasma generation chamber 108.

前述のように、処理室113とプラズマ生成室108を分離しているプラズマ閉じ込め電極板110に設けられているラジカル導入孔111から、プラズマ生成ガスに由来するラジカルが、処理室113へ導入される。ここで、プラズマ生成室108からプラズマ閉じ込め電極板110のラジカル導入孔111を通過して処理室113に導入されるのは、ラジカルなどの電気的に中性な分子、あるいは原子であり、プラズマ中のイオンは処理室113にほとんど導入されない。プラズマ生成室108において、イオン密度がおよそ1×1010個/cmであるとき、処理室113において観測される電流値から計算されるイオン密度はおよそ5×10個/cmであり、実に1000万分の1以下にイオンの密度は減少させられており、実質処理室113に導入されるイオンはほとんどないと言って良い。これに対してラジカルは、その寿命にもよるが、プラズマ生成室で発生したラジカルのうち数%から数十%程度が処理室113へ輸送される。 As described above, radicals derived from the plasma generation gas are introduced into the processing chamber 113 from the radical introduction holes 111 provided in the plasma confinement electrode plate 110 separating the processing chamber 113 and the plasma generation chamber 108. . Here, what is introduced into the processing chamber 113 from the plasma generation chamber 108 through the radical introduction hole 111 of the plasma confining electrode plate 110 is an electrically neutral molecule or atom such as a radical, and is in the plasma. Ions are hardly introduced into the processing chamber 113. In the plasma generation chamber 108, when the ion density is approximately 1 × 10 10 ions / cm 3 , the ion density calculated from the current value observed in the processing chamber 113 is approximately 5 × 10 2 ions / cm 3 . The density of ions is actually reduced to 1 / 10,000 or less, and it can be said that substantially no ions are introduced into the processing chamber 113. On the other hand, the radicals are transported to the processing chamber 113 by several percent to several tens of percent of the radicals generated in the plasma generation chamber, depending on the lifetime.

なお、荷電粒子がプラズマとしてふるまうためには、デバイ長が装置内部寸法よりも十分小さい必要がある。前述の処理室のイオン密度でプラズマが存在すると仮定すると、電子温度1〜5eVと仮定したときデバイ長は約0.3〜0.7mと計算される。現実的な半導体製造装置の装置内部寸法は一般にはせいぜい0.3m以下であるので、前述の処理室のイオン密度は、処理室の荷電粒子はプラズマとしての性質を持たないことを示唆している。 In order for charged particles to behave as plasma, the Debye length needs to be sufficiently smaller than the internal dimensions of the apparatus. Assuming that plasma exists at the ion density in the processing chamber, the Debye length is calculated to be about 0.3 to 0.7 m when the electron temperature is assumed to be 1 to 5 eV. Since the internal dimensions of a practical semiconductor manufacturing apparatus are generally 0.3 m or less, the ion density in the processing chamber described above suggests that charged particles in the processing chamber do not have plasma properties. .

図4Aは図1に示すようなプラズマ生成室108内に横方向に延在する板状の高周波印加電極104を装置上部から見たときの模式図である。また、図4Bは高周波印加電極の鳥瞰図である。高周波印加電極104には、その表面から裏面に貫通する電極貫通孔105が複数ある。高周波印加電極104の貫通孔105は、図4A、図4Bに示すような形態のものを用いた。この電極貫通孔105により、電極は0.25W/cm2以下の低電力でもより均一に放電できるので、処理室113に均一にラジカルが導入される。電極貫通孔105を含む高周波印加電極104の全体堆積V1と電極貫通孔105の体積V2の体積比率は、V2/V1 = 0.01〜0.8であることが好ましい。V2/V1 = 0.01〜0.8の状態では、図4Cのように、均一に放電するため、ラジカルは処理室に均一に供給される。複数の電極貫通孔105の全体積V2があまり小さいと、つまりV2/V1<0.01では図4Dのように、放電が片寄るため、ラジカル分布の悪化が確認された。また、V2/V1>0.8では、図4Eのように放電ができないため、ラジカル供給ができなかった。低い高周波電力密度(0.001W/cm2〜0.25W/cm2)にあって安定で均一なラジカル分布を得るには少なくともV2/V1は0.01〜0.8の範囲であるべきであるが、好ましくは0.04〜0.37の範囲であり、特に本実施例では0.14〜0.16の範囲に選択された。 4A is a schematic view of a plate-like high-frequency application electrode 104 extending in the lateral direction in the plasma generation chamber 108 as shown in FIG. FIG. 4B is a bird's eye view of the high frequency application electrode. The high-frequency applying electrode 104 has a plurality of electrode through holes 105 that penetrate from the front surface to the back surface. The through-hole 105 of the high frequency application electrode 104 has a configuration as shown in FIGS. 4A and 4B. The electrode can be discharged more uniformly by the electrode through-hole 105 even with a low power of 0.25 W / cm 2 or less, so that radicals are uniformly introduced into the processing chamber 113. The volume ratio of the total deposition V1 of the high-frequency application electrode 104 including the electrode through hole 105 and the volume V2 of the electrode through hole 105 is preferably V2 / V1 = 0.01 to 0.8. In the state of V2 / V1 = 0.01 to 0.8, as shown in FIG. 4C, the radicals are uniformly supplied to the processing chamber in order to discharge uniformly. When the total volume V2 of the plurality of electrode through holes 105 is too small, that is, when V2 / V1 <0.01, the discharge is offset as shown in FIG. Further, when V2 / V1> 0.8, the radical could not be supplied because the discharge was not possible as shown in FIG. 4E. In order to obtain a stable and uniform radical distribution at a low high-frequency power density (0.001 W / cm 2 to 0.25 W / cm 2 ), at least V2 / V1 should be in the range of 0.01 to 0.8. However, it is preferably in the range of 0.04 to 0.37, and in this example, it was selected in the range of 0.14 to 0.16.

なお、プラズマ生成ガス導入シャワープレート107のプラズマ生成ガス導入孔106の直径は、2mm以下、さらに望ましくは1.5mm以下であることが好ましい。プラズマ生成ガス導入孔106の直径を、2mm以下、さらに望ましくは1.5mm以下とした場合、基板5の表面処理の均一性を顕著に改善することができた。またその結果、処理時間を短縮できることが明らかになった。前述のプラズマ生成空間109a、109b及び高周波印加電極104の電極貫通孔105に発生するプラズマからのラジカルを、基板洗浄処理空121の基板5へ、均一に安定供給することが可能となったことを示唆するものである。プラズマ生成ガス導入孔106の直径の最小値については、プラズマ生成ガスを通過させる機能がある寸法であれば良い。 The diameter of the plasma generation gas introduction hole 106 of the plasma generation gas introduction shower plate 107 is preferably 2 mm or less, and more preferably 1.5 mm or less. When the diameter of the plasma generation gas introduction hole 106 is 2 mm or less, more desirably 1.5 mm or less, the uniformity of the surface treatment of the substrate 5 can be remarkably improved. As a result, it became clear that the processing time can be shortened. The radicals from the plasma generated in the plasma generation spaces 109a and 109b and the electrode through hole 105 of the high-frequency applying electrode 104 can be uniformly and stably supplied to the substrate 5 in the substrate cleaning processing space 121. It is a suggestion. The minimum value of the diameter of the plasma generation gas introduction hole 106 may be a dimension having a function of allowing the plasma generation gas to pass therethrough.

なお、プラズマ生成ガス導入孔106の直径が2mmよりも大きい場合には、基板5の表面処理の均一性が著しく悪化した。均一性の悪化により、長い処理時間が必要となった。基板洗浄処理空121へのラジカル供給を均一にすることができなくなったことが示唆される。 When the diameter of the plasma generation gas introduction hole 106 is larger than 2 mm, the uniformity of the surface treatment of the substrate 5 is remarkably deteriorated. Long processing time is required due to the deterioration of uniformity. It is suggested that the radical supply to the substrate cleaning processing space 121 cannot be made uniform.

プラズマ生成ガス導入シャワープレート107のプラズマ生成ガス導入孔106の直径が2mm以下である場合の具体的な形状の例を図5A〜5Eに示す。図5A〜5Eは、プラズマ生成ガス導入シャワープレート107のプラズマ生成ガス導入孔106付近の拡大断面の概略図である。図5Aのようにプラズマ生成ガス導入孔106が垂直孔であっても良いし、図5Bや図5Cのように片方からザグリがあっても良く、そのザグリは図5Cのようにプラズマ生成空間109aの側から開口していても良いし、図5Bのように他方の側から開口していても良い。また、図5Dや図5Eのようにプラズマ生成ガス導入孔106はテーパー形状の孔であってもよく、図5Eのようにプラズマ生成空間109aの側の径が他方の径より大きくても良いし、図5Dのように他方の側の径がプラズマ生成空間109aの側の径よりも大きくても良い。なお、プラズマ生成ガス導入孔106の直径が1.5mm以下である場合にも、その形状例は同様である。 Examples of specific shapes in the case where the diameter of the plasma generation gas introduction hole 106 of the plasma generation gas introduction shower plate 107 is 2 mm or less are shown in FIGS. 5A to 5E are schematic views of enlarged cross sections near the plasma generation gas introduction hole 106 of the plasma generation gas introduction shower plate 107. The plasma generation gas introduction hole 106 may be a vertical hole as shown in FIG. 5A, or there may be a counterbore from one side as shown in FIGS. 5B and 5C. The counterbore is a plasma generation space 109a as shown in FIG. 5C. It may be opened from the other side, or may be opened from the other side as shown in FIG. 5B. 5D and 5E, the plasma generation gas introduction hole 106 may be a tapered hole, and the diameter of the plasma generation space 109a side may be larger than the other diameter as shown in FIG. 5E. As shown in FIG. 5D, the diameter on the other side may be larger than the diameter on the plasma generation space 109a side. The shape example is the same when the diameter of the plasma generation gas introduction hole 106 is 1.5 mm or less.

図5Fは、本実施例における、プラズマ生成ガス導入シャワープレート107の効果を説明する図である。プラズマ生成ガスとしてHFガス100sccmを使用し、高周波電力密度0.01W/cm2、処理室圧力50Paの条件において、処理室に置いた基板のシリコン酸化膜エッチング速度を測定した。図5Fにおいて、横軸は基板面内の位置を示し、縦軸は中心のエッチング速度で規格化したシリコン酸化膜のエッチング速度を示す。図5Fに示すように、プラズマ生成ガス導入シャワープレートを使用した場合901と、従来の導入方法であるシャワープレートを使用しない横方向からの導入の場合902とを比較すると、シャワープレートによる導入の場合901の方が、エッチング速度面内均一性が良好であった。これは、プラズマ生成空間109への均一なガス導入により、プラズマ生成空間109で均一な活性種濃度分布が得られ、これが反映されたためと推察される。従って、後述の高周波印加電極104の貫通孔105による均一なプラズマ生成と相乗された効果により、処理室に導入されるラジカル供給がより均一となることが示された。 FIG. 5F is a diagram for explaining the effect of the plasma generation gas introduction shower plate 107 in this embodiment. The etching rate of the silicon oxide film on the substrate placed in the processing chamber was measured using HF gas of 100 sccm as a plasma generation gas, under the conditions of a high frequency power density of 0.01 W / cm 2 and a processing chamber pressure of 50 Pa. In FIG. 5F, the horizontal axis indicates the position in the substrate surface, and the vertical axis indicates the etching rate of the silicon oxide film normalized by the central etching rate. As shown in FIG. 5F, when the plasma generation gas introduction shower plate 901 is used and the introduction from the horizontal direction without using the shower plate 902 which is a conventional introduction method is compared, the case of introduction by the shower plate is compared. 901 had better etching rate in-plane uniformity. This is presumably because the uniform active species concentration distribution was obtained in the plasma generation space 109 by the uniform gas introduction into the plasma generation space 109, and this was reflected. Accordingly, it has been shown that the radical supply introduced into the processing chamber becomes more uniform due to the synergistic effect with the uniform plasma generation by the through-hole 105 of the high-frequency applying electrode 104 described later.

次に本発明の図1の成膜装置1を使用した半導体素子の製造方法について説明する。
まず、第1の工程の基板処理工程とその条件について説明する。第1の工程で使用する装置は図3に示す基板処理装置100である。
Next, the manufacturing method of the semiconductor element using the film-forming apparatus 1 of FIG. 1 of this invention is demonstrated.
First, the substrate processing process and its conditions in the first process will be described. The apparatus used in the first step is a substrate processing apparatus 100 shown in FIG.

プラズマ生成ガスとしてHFを100sccmプラズマ生成室108に供給し、プラズマ生成部でプラズマを発生させ、生成したプラズマ中のラジカルを複数のラジカル通過孔111を備えたプラズマ分離用のプラズマ閉じ込め電極板110に形成されたラジカル導入孔111を介して処理室113に供給した。上記ラジカルの励起エネルギーを抑制するため、処理ガスとしてHFを100sccmを処理室113に処理ガス導入孔112から供給した。プラズマ生成のための高周波電力密度は0.01W/cm2、圧力は50Pa、処理時間は5min、基板5の温度は25℃とした。基板洗浄処理のためのプラズマは、膜堆積処理の場合のプラズマよりも約数10分の1から数分の1の電力密度である0.001W/cm2〜0.25W/cm2の高周波電力密度で生成される。それより高い高周波電力密度であると自然酸化膜の選択的エッチングが困難となる。 HF is supplied as a plasma generation gas to the 100 sccm plasma generation chamber 108, plasma is generated in the plasma generation unit, and radicals in the generated plasma are applied to a plasma confinement electrode plate 110 for plasma separation having a plurality of radical passage holes 111. It was supplied to the processing chamber 113 through the formed radical introduction hole 111. In order to suppress the excitation energy of the radical, 100 sccm of HF was supplied to the processing chamber 113 from the processing gas introduction hole 112 as a processing gas. The high frequency power density for plasma generation was 0.01 W / cm 2 , the pressure was 50 Pa, the treatment time was 5 min, and the temperature of the substrate 5 was 25 ° C. Plasma for substrate cleaning process, 0.001W / cm 2 ~0.25W / cm 2 of RF power is the power density of the fraction from 1 to about several 10 minutes than in the plasma when the film deposition process Generated with density. If the frequency is higher than that, selective etching of the natural oxide film becomes difficult.

図12に、本発明の第1の工程後の表面粗さを調べ、従来のドライ処理、およびウェット処理の結果と比較して示す。図12に示される通り、本発明の第1の工程を使用して得られた表面粗さRaは0.18nmと、希フッ酸溶液によるウェット処理(Wet洗浄)を行った場合の表面粗さRaの0.17nmとほぼ同等の良好な表面粗さが得られている。また、処理ガスにHFガスを供給しない場合、表面粗さRaは2.0nmと荒れている。さらに、処理時間を10minと延長した場合でも表面粗さRaは0.19nmと荒れていないことが確認された。表面平坦性が向上した理由については、Siに対し表面自然酸化膜や有機物が選択的に除去された為である。プラズマにより生成した励起エネルギーの高いHFと別途処理ガスとして導入した励起していないHFを衝突させることにより、励起エネルギーが抑制されたHFが生成され、これが表面のSi原子をエッチングすることなく、表面自然酸化膜を選択的に除去していると推察される。これらの結果から、本発明を使用することにより、高温の前処理を必要としないドライ洗浄において、ウェット洗浄と同等の表面平坦性を実現できることが確認された。 FIG. 12 shows the surface roughness after the first step of the present invention, and shows a comparison with the results of the conventional dry treatment and wet treatment. As shown in FIG. 12, the surface roughness Ra obtained by using the first step of the present invention is 0.18 nm, and the surface roughness when wet treatment (wet cleaning) with a diluted hydrofluoric acid solution is performed. A good surface roughness almost equal to Ra of 0.17 nm is obtained. Further, when the HF gas is not supplied to the processing gas, the surface roughness Ra is as rough as 2.0 nm. Furthermore, even when the treatment time was extended to 10 min, it was confirmed that the surface roughness Ra was not as rough as 0.19 nm. The reason why the surface flatness is improved is that the surface natural oxide film and organic substances are selectively removed from Si. By colliding HF with high excitation energy generated by plasma and unexcited HF introduced as a separate processing gas, HF with suppressed excitation energy is generated, and this etches the surface without etching Si atoms on the surface. It is assumed that the natural oxide film is selectively removed. From these results, it was confirmed that by using the present invention, surface flatness equivalent to wet cleaning can be realized in dry cleaning that does not require high-temperature pretreatment.

なお、本発明における表面平坦性は、プラズマにより生成した励起エネルギーの高いHFと別途処理ガスとして導入した励起していないHFを混合して衝突させることにより、励起エネルギーが抑制されたHFが生成されれば良い。従って、これが実現される状態であれば、本実施例の構成に限定されるものではない。 The surface flatness in the present invention is such that HF with suppressed excitation energy is generated by mixing and colliding HF having high excitation energy generated by plasma and unexcited HF introduced as a separate processing gas. Just do it. Therefore, the configuration of this embodiment is not limited as long as this is realized.

すなわち、本実施例ではプラズマにより生成したラジカルを、プラズマ閉じ込め電極板110にある複数の貫通孔であるラジカル導入孔111を通じて基板に向けて供給しながら、同時に電極板に設けた複数の処理ガス供給孔から処理ガスを供給している。均一性の観点からは、とりわけ大口径の基板に対して均一な処理をすることが必要である場合には、ラジカルと励起しない処理ガスの両方を均一に基板へ供給する必要がある。そのため、本実施例のように、基板に対向した位置にある電極板からラジカルをシャワー供給し、さらに同時に処理ガスをシャワー供給できるような構造が望ましい。 That is, in this embodiment, radicals generated by plasma are supplied toward the substrate through radical introduction holes 111 which are a plurality of through holes in the plasma confining electrode plate 110, and simultaneously, a plurality of processing gas supplies provided on the electrode plate are supplied. Process gas is supplied from the hole. From the viewpoint of uniformity, particularly when it is necessary to perform uniform processing on a large-diameter substrate, it is necessary to supply both radicals and a non-excited processing gas to the substrate uniformly. Therefore, as in this embodiment, it is desirable to have a structure in which radicals can be supplied by shower from an electrode plate located at a position facing the substrate, and further, process gas can be supplied by shower.

なお、本実施例でのラジカル生成は、高周波印加によるプラズマ生成により行なったが、マイクロ波によるプラズマ生成、その他の方法でも良く、具体的には図7に示すUV、X線、マイクロ波励起や、図8に示す触媒化学励起で発生させてもよい。図7ではUV、X線、マイクロ波が導入室203からプラズマガスに照射されプラズマガスをプラズマ化している。5は基板、201はプラズマ生成ガス供給系、202はプラズマガス生成供給管、204は複数のラジカル通過孔を備えたプラズマ分離用のプラズマ閉じ込め電極板、205はラジカル導入孔、206は処理ガス導入孔、207は処理室、208は基板ホルダー、209は処理ガス供給管、210は処理ガス供給系、211は排気系である。処理ガスシステムは図3の構成と同じである。図8は加熱触媒体303によりガスをプラズマ化させる構成である。5は基板、301はプラズマ生成ガス供給系、302はプラズマ生成ガス供給管、304は複数のラジカル通過孔を備えたプラズマ分離用のプラズマ閉じ込め電極板、305はラジカル導入孔、306は処理ガス導入孔、307は処理室、308は基板ホルダー、309は処理ガス供給管、310は処理ガス供給系、311は排気系である。処理ガスシステムは図3の構成と同じである。 Note that radical generation in this example was performed by plasma generation by applying high frequency, but plasma generation by microwaves or other methods may be used. Specifically, UV, X-rays, microwave excitation shown in FIG. Alternatively, it may be generated by catalytic chemical excitation shown in FIG. In FIG. 7, UV, X-rays, and microwaves are irradiated to the plasma gas from the introduction chamber 203 to convert the plasma gas into plasma. 5 is a substrate, 201 is a plasma generation gas supply system, 202 is a plasma gas generation supply pipe, 204 is a plasma confinement electrode plate for plasma separation having a plurality of radical passage holes, 205 is a radical introduction hole, and 206 is a process gas introduction A hole, 207 is a processing chamber, 208 is a substrate holder, 209 is a processing gas supply pipe, 210 is a processing gas supply system, and 211 is an exhaust system. The processing gas system has the same configuration as that shown in FIG. FIG. 8 shows a configuration in which the gas is converted into plasma by the heating catalyst body 303. 5 is a substrate, 301 is a plasma generation gas supply system, 302 is a plasma generation gas supply pipe, 304 is a plasma confinement electrode plate for plasma separation having a plurality of radical passage holes, 305 is a radical introduction hole, and 306 is a process gas introduction A hole, 307 is a processing chamber, 308 is a substrate holder, 309 is a processing gas supply pipe, 310 is a processing gas supply system, and 311 is an exhaust system. The processing gas system has the same configuration as that shown in FIG.

プラズマ生成室に導入するプラズマ生成ガスは、本実施例ではHFのみを用いたが、少なくともHFを含んでいれば良く、具体的にはHFをArで希釈したものを用いても良い。プラズマを発生させ、プラズマ閉じ込め電極板110を介すことにより、処理室113にはラジカルが導入される。さらに処理室113に導入する処理ガスは、本実施例ではHFのみを用いたが、少なくともHFを含んでいれば良く、具体的にはHFをArで希釈したものを用いても良い。プラズマ閉じ込め電極板110のラジカル導入孔111から処理室113に導入されたラジカルと、処理ガス導入孔112から導入される処理ガスが混合されることによりラジカルの励起エネルギーが抑制された雰囲気を作り出して、基板であるSiに対して基板表面の自然酸化膜と有機物を選択的に除去することによって、表面粗さを抑えながら基板表面処理を行なうことができる。 In this embodiment, only HF is used as the plasma generation gas introduced into the plasma generation chamber. However, it is sufficient that at least HF is included, and specifically, HF diluted with Ar may be used. By generating plasma and passing through the plasma confinement electrode plate 110, radicals are introduced into the processing chamber 113. Furthermore, although only HF is used as the processing gas introduced into the processing chamber 113 in this embodiment, it is sufficient if it contains at least HF, and specifically, HF diluted with Ar may be used. A radical introduced into the processing chamber 113 from the radical introduction hole 111 of the plasma confinement electrode plate 110 and a processing gas introduced from the processing gas introduction hole 112 are mixed to create an atmosphere in which radical excitation energy is suppressed. The substrate surface treatment can be performed while suppressing the surface roughness by selectively removing the natural oxide film and organic matter on the substrate surface with respect to Si as the substrate.

図13は、本発明であるプラズマ生成ガスにHFを含む場合と、従来のプラズマ生成ガスがArのみである場合を比較した、表面粗さ(Ra)の処理ガスHF比率依存性のグラフである。
従来技術の特開平10−172957号では、遠隔プラズマ源で励起されたアルゴン(Ar)、ヘリウム(He)、キセノン(Xe)、水素(H2)の励起ガスと、下流で導入されたHFガスの混合ガスにより、酸化膜を選択的に除去することができ、シリコン基板に損傷も見られなかったとしている。しかし、近年要求される平坦性のレベルを満足するものではない。プラズマ生成ガス比率 HF/(HF+Ar)=0とは、プラズマ生成ガスがArガスのみである従来の場合を示す。図13に示すように、従来のプラズマ生成ガスがArの場合、すなわちHF/(HF+Ar)=0の場合と比較して、本発明のプラズマ生成ガスにHFを含む場合では、表面粗さ(Ra)は顕著に良好である。また、プラズマ生成ガスと処理ガスのHFとArの混合比率をそれぞれ変えることにより、自然酸化膜除去後の表面粗さが変化した。プラズマ生成ガスの総ガス流量に対するプラズマ生成ガスのHF比率は、0.2〜1.0、さらにウェット洗浄の表面粗さと同等である表面粗さを0.5nm以下にするには0.6〜1.0の範囲が好ましいことが判明した。プラズマ生成ガスと処理ガスがHFのみの場合に自然酸化膜除去後の表面粗さが最も小さい、すなわち平坦であった。
FIG. 13 is a graph of the dependence of surface roughness (Ra) on the processing gas HF ratio, comparing the case where HF is included in the plasma generation gas according to the present invention and the case where the conventional plasma generation gas is only Ar. .
In Japanese Patent Laid-Open No. 10-172957 of the prior art, argon (Ar), helium (He), xenon (Xe), and hydrogen (H2) excited gases excited by a remote plasma source and HF gas introduced downstream are used. It is said that the oxide film can be selectively removed by the mixed gas, and the silicon substrate is not damaged. However, it does not satisfy the level of flatness required in recent years. The plasma generation gas ratio HF / (HF + Ar) = 0 indicates a conventional case where the plasma generation gas is only Ar gas. As shown in FIG. 13, in the case where HF is contained in the plasma generation gas of the present invention as compared with the case where the conventional plasma generation gas is Ar, that is, HF / (HF + Ar) = 0, the surface roughness (Ra ) Is significantly better. Further, the surface roughness after removal of the natural oxide film was changed by changing the mixing ratio of HF and Ar in the plasma generation gas and the processing gas. The HF ratio of the plasma generation gas with respect to the total gas flow rate of the plasma generation gas is 0.2 to 1.0, and 0.6 to less than 0.5 nm for the surface roughness equivalent to the surface roughness of the wet cleaning. A range of 1.0 has been found to be preferred. When the plasma generation gas and the processing gas were only HF, the surface roughness after removal of the natural oxide film was the smallest, that is, flat.

なお、プラズマ生成室108に供給するプラズマ生成ガスとしてHFガスを使用し、複数のラジカル通過孔111を備えたプラズマ分離用のプラズマ閉じ込め電極板110に形成されたラジカル導入孔111を介してラジカルを供給した場合でも、処理ガスがArのみの場合には、基板表面の自然酸化膜は除去できず、表面処理の目的を達することができなかった。また、プラズマ生成ガスとしてHFガスを使用し、処理ガスとして何も流さなかった場合について調べると、表面粗さのRaは2.5nmと、HFを流した場合と比べ悪化した。 In addition, HF gas is used as a plasma generation gas supplied to the plasma generation chamber 108, and radicals are passed through the radical introduction holes 111 formed in the plasma confinement electrode plate 110 for plasma separation having a plurality of radical passage holes 111. Even when supplied, when the processing gas was only Ar, the natural oxide film on the substrate surface could not be removed, and the purpose of the surface treatment could not be achieved. Further, when HF gas was used as the plasma generation gas and nothing was flowed as the processing gas, the surface roughness Ra was 2.5 nm, which was worse than when HF was flowed.

また、本実施例ではSi基板を用いたが、本発明の基板表面処理はSi基板の表面処理に限るものではない。具体的にはSi、SiGeなどのIV族半導体で基板の表面が構成されていれば良く、さらに具体的にはガラス基板上に貼り合わせられたり、又は堆積された薄いSi層などのIV族半導体の表面の自然酸化膜や有機物汚染除去などの基板表面処理に適用できるものである。
なお、高周波印加電極104に印加する高周波電力密度は、0.001〜0.25W/cm2であることが好ましい。
In this embodiment, the Si substrate is used. However, the substrate surface treatment of the present invention is not limited to the surface treatment of the Si substrate. Specifically, the surface of the substrate may be composed of a group IV semiconductor such as Si or SiGe, and more specifically, a group IV semiconductor such as a thin Si layer bonded or deposited on a glass substrate. It can be applied to substrate surface treatments such as natural oxide film and organic contaminant removal on the surface of the substrate.
The high frequency power density applied to the high frequency application electrode 104 is preferably 0.001 to 0.25 W / cm 2 .

図6は、プラズマ生成ガスにHFガス、処理ガスにHFを用いた場合の、自然酸化膜とSiのエッチング速度比である自然酸化膜/Siの高周波電力密度依存性である。高周波電力密度を減少させることにより、Siのエッチングが抑制され、自然酸化膜のみ選択的にエッチングされる。ここで、自然酸化膜のエッチング量をSiのエッチング量で割った値を自然酸化膜/Siとする。高周波電力密度が小さくなるとSiのエッチング量が相対的に減少するため自然酸化膜/Siが増加する。一方、高周波電力密度が大きくなるとSiのエッチングが顕著に起こり、自然酸化膜/Siは減少する。ここで、高周波電力密度が大きくなるとSiのエッチングが起こるため、表面が荒れてしまう。表面の荒れを少なくするためには、自然酸化膜/Siを大きくし、高周波電力密度を少なくする必要がある。このため、高周波電力密度は上述の0.001〜0.25W/cm2の範囲内、望ましくは0.001〜0.125W/cm2、さらに望ましくは0.001〜0.025W/cm2が選ばれる。 FIG. 6 shows the high-frequency power density dependence of the natural oxide film / Si, which is the etching rate ratio between the natural oxide film and Si, when HF gas is used as the plasma generation gas and HF is used as the processing gas. By reducing the high frequency power density, the etching of Si is suppressed and only the natural oxide film is selectively etched. Here, a value obtained by dividing the etching amount of the natural oxide film by the etching amount of Si is defined as a natural oxide film / Si. When the high-frequency power density is reduced, the amount of Si etching is relatively reduced, so that the natural oxide film / Si is increased. On the other hand, when the high-frequency power density is increased, Si is significantly etched, and the natural oxide film / Si is decreased. Here, since the etching of Si occurs when the high-frequency power density increases, the surface becomes rough. In order to reduce the surface roughness, it is necessary to increase the natural oxide film / Si and decrease the high-frequency power density. For this reason, the high frequency power density is within the range of 0.001 to 0.25 W / cm 2 described above, preferably 0.001 to 0.125 W / cm 2 , more preferably 0.001 to 0.025 W / cm 2. To be elected.

次に第2の工程のSi及びSiGeエピタキシャル単結晶成長工程とその条件について説明する。
図1に示した成膜装置1を用いて、図3に示される表面処理装置100を用いた第1の工程を行うことにより、Si基板上に形成された自然酸化膜を除去した後、真空搬送室60を通して第2の工程を行うCVD装置20に搬送し、表面処理処理後の表面にSi及びSiGeの単結晶膜を成長するプロセスについて述べる。
Next, the Si and SiGe epitaxial single crystal growth step and its conditions in the second step will be described.
The first step using the surface treatment apparatus 100 shown in FIG. 3 is performed using the film forming apparatus 1 shown in FIG. 1 to remove the natural oxide film formed on the Si substrate, and then the vacuum process is performed. A process of transferring a single crystal film of Si and SiGe to the CVD apparatus 20 performing the second step through the transfer chamber 60 and growing the surface after the surface treatment will be described.

第1の工程により表面処理し、第2の工程を行うCVD装置20内で基板温度600℃、Si2H6を36sccm供給し、圧力を2E-3Paで保持し、3min処理した。その後、基板温度600℃、Si2H6とGeH4を36sccm供給し、圧力を4E-3Paで保持し、3min処理した。この結果、図14に示すようにSi上のSiGe単結晶成長表面は、希フッ酸処理を用いたウェット洗浄(Wet洗浄)を行った表面と同等の表面粗さとなっており、良好なSiGe単結晶膜が得られた。また、図15に示すように、ウェット洗浄を行った後に上記Si/SiGe成長を行った場合に比べて、本実施例の方がSi基板と成長したSi界面の酸素及び炭素の原子密度が少ない。具体的には、界面の酸素及び炭素の原子密度が2×1020atoms/cm3以下である。これは、基板洗浄後の表面を大気に晒すことなく真空搬送を行うことにより、表面への酸素、炭素不純物の吸着を抑制できたためである。CVD装置20におけるSi及びSiGeの単結晶膜を成長するプロセスにおいては、Si26とGeH4などの水素化物ガスや、それにB26、PH3、AsH3などのドーピング材料ガスを混合して使用しても良い。又、Si26の代わりにSiH4も用い得る。 Surface treatment was performed in the first step, and the substrate temperature was 600 ° C., Si 2 H 6 was supplied at 36 sccm in the CVD apparatus 20 performing the second step, the pressure was maintained at 2E-3 Pa, and the treatment was performed for 3 minutes. Thereafter, the substrate temperature was 600 ° C., Si 2 H 6 and GeH 4 were supplied at 36 sccm, the pressure was maintained at 4E-3 Pa, and the treatment was performed for 3 minutes. As a result, as shown in FIG. 14, the SiGe single crystal growth surface on Si has the same surface roughness as the surface subjected to wet cleaning (wet cleaning) using dilute hydrofluoric acid treatment, and good SiGe single crystal surface. A crystal film was obtained. Further, as shown in FIG. 15, the oxygen density and carbon atomic density of the Si interface and the grown Si interface are smaller in this example than in the case where the Si / SiGe growth is performed after the wet cleaning. . Specifically, the atomic density of oxygen and carbon at the interface is 2 × 10 20 atoms / cm 3 or less. This is because adsorption of oxygen and carbon impurities to the surface can be suppressed by carrying out vacuum transfer without exposing the surface after substrate cleaning to the atmosphere. In the process of growing a single crystal film of Si and SiGe in the CVD apparatus 20, a hydride gas such as Si 2 H 6 and GeH 4 and a doping material gas such as B 2 H 6 , PH 3 and AsH 3 are mixed. May be used. SiH 4 can also be used instead of Si 2 H 6 .

次に第3の工程の誘電体膜スパッタ成膜工程と、第4の形成誘電体膜の工程の酸化・窒化工程と、第5の工程の電極スパッタ工程について説明する。第2の工程後、基板を搬送室60を通してスパッタ装置40で誘電体材料をスパッタ成膜する第3の工程を行い、この基板を搬送室60を通して酸化・窒化装置30で誘電体材料を酸化する第4の工程を行い、その後、基板を搬送室60を通してスパッタ装置40で金属電極材料をスパッタする第5の工程を行うプロセスを行い、FETデバイスを製造する。なお、装置10〜50は、それぞれの搬送又はプロセスコントローラ70〜74により制御されている。 Next, the dielectric film sputter film forming process of the third process, the oxidation / nitriding process of the fourth forming dielectric film process, and the electrode sputtering process of the fifth process will be described. After the second step, a third step is performed in which the substrate is sputtered through the transfer chamber 60 with the sputtering device 40 and the substrate is oxidized through the transfer chamber 60 with the oxidation / nitridation device 30 to oxidize the dielectric material. A fourth step is performed, and then a process is performed in which a fifth step of sputtering the metal electrode material with the sputtering apparatus 40 is performed through the transfer chamber 60 to manufacture the FET device. In addition, the apparatuses 10 to 50 are controlled by respective conveyance or process controllers 70 to 74.

尚、第3の工程における誘電体材料成膜は、スパッタリングの他、CVDによるものであっても良い。同様に、第5の工程における金属電極材料成膜は、スパッタリングの他、CVDによるものであっても良い。 The dielectric material film formation in the third step may be performed by CVD in addition to sputtering. Similarly, the metal electrode material film formation in the fifth step may be performed by CVD in addition to sputtering.

図3Aに示される表面処理装置100において、第1の工程を行い、自然酸化膜を除去し、第2の工程を行い、Si単結晶膜を成長させ、その後、基板5を真空搬送室60を通して誘電体・電極スパッタ装置40に大気に晒すことなく搬送し、Hfをスパッタ成膜し、成膜した誘電体材料を酸化するため真空の搬送室60を通して酸化・窒化装置30に誘電体材料表面を大気に晒すことなく搬送し、プラズマ及びラジカル酸化を行い、さらに基板5を真空搬送室60を通して誘電体・電極スパッタ装置40に大気に晒すことなく搬送し、TiN電極をスパッタ成膜しデバイス特性評価を行った結果、図16、図17、図18に示すデータが得られた。 In the surface treatment apparatus 100 shown in FIG. 3A, the first step is performed, the natural oxide film is removed, the second step is performed, the Si single crystal film is grown, and then the substrate 5 is passed through the vacuum transfer chamber 60. The dielectric material / electrode sputtering apparatus 40 is transported without being exposed to the atmosphere, Hf is sputter-deposited, and the dielectric material surface is transferred to the oxidization / nitriding apparatus 30 through the vacuum transport chamber 60 in order to oxidize the deposited dielectric material. Transport without exposure to the atmosphere, plasma and radical oxidation are performed. Further, the substrate 5 is transported to the dielectric / electrode sputtering apparatus 40 through the vacuum transport chamber 60 without exposure to the atmosphere, and TiN electrodes are sputtered to form device characteristics. As a result, the data shown in FIGS. 16, 17, and 18 were obtained.

図15は、本発明と従来の技術(第1の工程の変わりにウェット洗浄した場合)により作成したサンプルの電極部に電圧を印加し、キャパシタンスを測定したC−V曲線である。従来の技術と比較し、従来が30mV程のヒステリシスであったものが、ヒステリシスが10mVと小さい結果が得られている。 FIG. 15 is a CV curve obtained by applying a voltage to the electrode portion of a sample prepared by the present invention and the conventional technique (when wet cleaning is performed instead of the first step) and measuring the capacitance. Compared with the conventional technology, the conventional hysteresis of about 30 mV has a small hysteresis of 10 mV.

図17は、本発明によって得られた界面準位密度と固定電荷密度の従来の技術(第1の工程の変わりにウェット洗浄した場合)との比較結果である。本発明のプロセスでサンプルを作成し、C−V曲線から界面準位密度と固定電荷密度を計算した結果、固定電荷密度、界面準位密度が従来よりも小さくなっている。これは、図15に示すように、第1の工程の基板洗浄後に第2の工程により成膜したSi表面の酸素、炭素の表面不純物が少ないためである。即ち、ドライ洗浄後の真空一貫処理の効果である。 FIG. 17 shows a comparison result between the interface state density obtained by the present invention and the conventional technique of fixed charge density (when wet cleaning is performed instead of the first step). A sample was prepared by the process of the present invention, and the interface state density and the fixed charge density were calculated from the CV curve. As a result, the fixed charge density and the interface state density were smaller than the conventional one. This is because, as shown in FIG. 15, the surface impurities of oxygen and carbon on the Si surface formed by the second step after the substrate cleaning in the first step are small. That is, the effect of the integrated vacuum processing after dry cleaning.

図1の成膜装置1は、一連のプロセスを真空一貫で行うためのコントローラを各プロセス装置と搬送装置毎に設けられており、すなわち、搬送コントローラ70は、装置からの入力信号を入力部で信号を受け取り、プロセッサでフローチャートで動作させるようにプログラムされた搬送用プログラムを動かし、真空トランスファーを通しての基板の各プロセス装置への移動の動作指示を装置に出力できるようになっている。また、プロセスコントローラA71〜D74は、プロセス装置からの入力信号を受け取り、処理をフローチャートで動作させるようにプログラムされたプログラムを動かし、動作指示を装置に出力できるようになっている。コントローラ70又は71〜74の構成は図2の81として示すもので、入力部82、プログラム及びデータを有する記憶部83、プロセッサ84及び出力部85からなり、基本的にはコンピュータ構成であり、対応の装置を制御している。 The film forming apparatus 1 of FIG. 1 is provided with a controller for performing a series of processes in a consistent vacuum for each process apparatus and each transfer apparatus. That is, the transfer controller 70 receives an input signal from the apparatus at an input unit. A transfer program programmed to receive a signal and operate according to a flowchart by a processor is moved, and an operation instruction for moving the substrate to each process apparatus through vacuum transfer can be output to the apparatus. In addition, the process controllers A71 to D74 can receive an input signal from the process apparatus, move a program programmed to operate the process according to a flowchart, and output an operation instruction to the apparatus. The configuration of the controller 70 or 71 to 74 is shown as 81 in FIG. 2, and includes an input unit 82, a storage unit 83 having a program and data, a processor 84, and an output unit 85. The device is controlled.

図10に搬送コントローラ70とプロセスコントローラA〜D71〜74の行う制御を示す。ステップ610で自然酸化膜付きSi基板が準備される。搬送コントローラ70はロードロック装置40の真空度を1Pa以下になるように指示し(ステップ611)、更に表面処理装置100の真空度を1E−4Pa以上になるように指示をし、基板Sを表面処理装置100内に搬送室60を介して移動し、基板ホルダー上に配置する。プロセスコントローラA71は、前述の第1の工程の表面処理を基板5に行う手順を制御する(ステップ613)。 FIG. 10 shows the control performed by the transport controller 70 and the process controllers A to D 71 to 74. In step 610, a Si substrate with a natural oxide film is prepared. The transfer controller 70 instructs the vacuum degree of the load lock device 40 to be 1 Pa or less (Step 611), and further instructs the vacuum degree of the surface treatment apparatus 100 to be 1E-4 Pa or more, so that the substrate S is placed on the surface. It moves through the transfer chamber 60 into the processing apparatus 100 and is placed on the substrate holder. The process controller A71 controls a procedure for performing the surface treatment of the first step on the substrate 5 (step 613).

搬送コントローラ70は、CVD成膜装置20の真空度を1E−4Pa以下になるよう真空排気する制御を行い、表面処理装置100内の基板5を搬送室60を介してCVD成膜装置20内に配置する。 The transfer controller 70 performs control to evacuate the CVD film forming apparatus 20 so that the degree of vacuum is 1E-4 Pa or less, and the substrate 5 in the surface treatment apparatus 100 is transferred into the CVD film forming apparatus 20 through the transfer chamber 60. Deploy.

プロセスコントローラB72は、CVD成膜装置20内で上述した第2の工程の単結晶成長処理を行う制御をする(ステップ615)。その後直ちに第3の工程の誘電体・電極スパッタ成膜をするため搬送室60を介して誘電体・電極スパッタ装置40内に移動させる(ステップ616)。 The process controller B72 controls the single crystal growth process of the second step described above in the CVD film forming apparatus 20 (step 615). Immediately thereafter, the film is moved into the dielectric / electrode sputtering apparatus 40 through the transfer chamber 60 in order to deposit the dielectric / electrode sputtering film in the third step (step 616).

プロセスコントローラC73は、誘電体・電極スパッタ装置40内で、第3の工程の成膜処理を行う制御をする(ステップ617)。搬送コントローラ70は酸化・窒化装置30内の真空度を1E−4Pa以下にして、誘電体・電極スパッタ装置40内の基板5を酸化・窒化装置30内へ搬送室60を介して移動させる(ステップ618)。プロセスコントローラD74は、酸化・窒化装置30内で第4の工程を行う制御をする(ステップ619)。その後直ちに第5の工程の金属電極スパッタ成膜をするため搬送室60を介して誘電体・電極スパッタ装置40内に移動させる(ステップ620)。プロセスコントローラC73は、誘電体・電極スパッタ装置40内で、実施例3の成膜処理を行う制御をする(ステップ621)。その後、搬送コントローラ70は、ロードロック装置50により搬送室60内を大気に開放する(ステップ622)。 The process controller C73 controls the film forming process of the third step in the dielectric / electrode sputtering apparatus 40 (step 617). The transfer controller 70 reduces the degree of vacuum in the oxidation / nitridation apparatus 30 to 1E-4 Pa or less, and moves the substrate 5 in the dielectric / electrode sputtering apparatus 40 into the oxidation / nitridation apparatus 30 via the transfer chamber 60 (step). 618). The process controller D74 controls to perform the fourth step in the oxidation / nitridation apparatus 30 (step 619). Immediately after that, the film is moved into the dielectric / electrode sputtering apparatus 40 through the transfer chamber 60 in order to form a metal electrode sputtering film in the fifth step (step 620). The process controller C73 controls the film forming process of the third embodiment in the dielectric / electrode sputtering apparatus 40 (step 621). Thereafter, the transfer controller 70 opens the inside of the transfer chamber 60 to the atmosphere by the load lock device 50 (step 622).

上述の本発明の処理によって、図19のMOS電界効果トランジスタ(FET)90が製造された。Si基板91におけるソース領域92とドレイン領域93との間のゲート電極94下の誘電体ゲート絶縁膜95として、HfO膜が用いられた。このゲート絶縁膜95として、他に、Hf、La、Ta、Al、W、Ti、Si、Geもしくはそれらの合金膜であることが望ましく、さらに具体的にはHfN、HfON、HfLaO、HfLaN、HfLaON、HfAlLaO、HfAlLaN、HfAlLaON、LaAlO、LaAlN、LaAlON、LaO、LaN、LaON、HfSiO、HfSiONが採用され得、比誘電率は3.9〜100の範囲にある。そして固定電荷密度は0〜1×1011cm-2である。又、ゲート絶縁層の膜厚は0.5nm〜5.0nmとされている。 The MOS field effect transistor (FET) 90 of FIG. 19 was manufactured by the above-described processing of the present invention. An HfO film was used as the dielectric gate insulating film 95 under the gate electrode 94 between the source region 92 and the drain region 93 in the Si substrate 91. In addition, the gate insulating film 95 is preferably Hf, La, Ta, Al, W, Ti, Si, Ge, or an alloy film thereof, more specifically, HfN, HfON, HfLaO, HfLaN, HfLaON. HfAlLaO, HfAlLaN, HfAlLaON, LaAlO, LaAlN, LaAlON, LaO, LaN, LaON, HfSiO, HfSiON can be employed, and the relative dielectric constant is in the range of 3.9-100. The fixed charge density is 0 to 1 × 10 11 cm −2 . The film thickness of the gate insulating layer is 0.5 nm to 5.0 nm.

ここで、「固定電荷」とは、固定酸化膜電荷とも称するが、SiO2膜中に存在し、電界などで移動せず固定された状態の電荷を云う。固定酸化膜電荷は酸化膜の構造欠陥により生じ、酸化膜の形成状態や熱処理に依存する。また通常Si−SiO2界面近傍にはシリコンの未結合手(ダングリングボンド)に起因するプラスの固定電荷が存在する。固定酸化膜電荷は、MOS構造のC−V特性をゲート電圧軸に沿って平行移動させる。固定電荷密度は、C−V法で測定される。 Here, the “fixed charge” is also called a fixed oxide film charge, but means a charge that exists in the SiO 2 film and is fixed without being moved by an electric field or the like. The fixed oxide film charge is generated by a structural defect of the oxide film and depends on the oxide film formation state and heat treatment. Further, normally, positive fixed charges due to dangling bonds of silicon exist in the vicinity of the Si-SiO 2 interface. The fixed oxide film charges translate the CV characteristics of the MOS structure along the gate voltage axis. The fixed charge density is measured by the CV method.

図19のMOS−FETのゲート電極94として、Ti、Al、TiN、TaN、W等の金属、ポリシリコン(B(ボロン)−dope:p−Type又はP(リン)−dope:n−Type)又はNi−FUSI(フルシリサイド)が用いられる。 As the gate electrode 94 of the MOS-FET of FIG. 19, metal such as Ti, Al, TiN, TaN, W, polysilicon (B (boron) -dope: p-Type or P (phosphor) -dope: n-Type) Alternatively, Ni-FUSI (full silicide) is used.

本発明に従って、自然酸化膜が形成されたSi基板を表面処理し、大気に晒すことなくSi単結晶膜を成長し、さらに大気に晒すことなくHf等の誘電膜をスパッタ成膜し酸化・窒化した半導体/絶縁膜接合は、固定電荷や界面準位が大気搬送の接合よりも少ないため、図16のようなヒステリシスが小さいC−V曲線が得られ、リーク電流が小さくなり、良好なデバイス特性が得られた。「界面準位」とは、異種の半導体接合界面、半導体と金属や絶縁体との接合界面に形成される電子のエネルギー準位を云う。界面では半導体面は原子間の結合が切れた状態になるためダングリングボンドと呼ばれる未結合状態ができ、電荷を捕獲できるエネルギー準位を形成する。界面の不純物や欠陥も電荷を捕獲するエネルギー準位、即ち界面準位を形成する。一般に、界面準位は応答時間が遅く、また不安定であり、デバイス特性に悪影響を及ぼすことが多い。界面準位が少ないほどよい界面といえる。界面準位密度はC−V法で測定される。 In accordance with the present invention, a Si substrate on which a natural oxide film is formed is surface-treated, a Si single crystal film is grown without being exposed to the atmosphere, and a dielectric film such as Hf is sputter-deposited without being exposed to the atmosphere. Since the semiconductor / insulating film junction has a smaller fixed charge and interface state than the atmospheric transport junction, a CV curve having a small hysteresis as shown in FIG. 16 is obtained, a leakage current is reduced, and a good device characteristic is obtained. was gotten. The “interface level” refers to an energy level of electrons formed at different semiconductor junction interfaces, or junction interfaces between semiconductors and metals or insulators. At the interface, the semiconductor surface is in a state where the bonds between atoms are broken, so that an unbonded state called a dangling bond is formed, and an energy level capable of capturing charges is formed. Interfacial impurities and defects also form energy levels for capturing charges, that is, interface levels. In general, interface states have a slow response time and are unstable, and often adversely affect device characteristics. It can be said that the smaller the interface state, the better the interface. The interface state density is measured by the CV method.

なお、本発明の成膜装置では図1に示される通り、表面処理ユニット100、CVD成膜ユニット20、誘電体・電極スパッタユニット30、酸化・窒化ユニット40、ロードロック室50、搬送室60は各1つずつの構成を使用しているが、各ユニットは必ずしも1つずつである必要はなく、スループットや膜の構成などのために複数のユニットを備えても構わない。例えば、ロードロックはスループットを上げるためにロードとアンロードの機能を分けた複数のロードロックで置き換えても構わない。また例えば、スパッタユニット30は誘電体膜を形成するためのユニットと電極を形成するためのユニットの2つ以上のスパッタユニットで置き換えても構わない。 In the film forming apparatus of the present invention, as shown in FIG. 1, the surface treatment unit 100, the CVD film forming unit 20, the dielectric / electrode sputtering unit 30, the oxidation / nitridation unit 40, the load lock chamber 50, and the transfer chamber 60 are A single configuration is used, but each unit is not necessarily one, and a plurality of units may be provided for throughput, membrane configuration, and the like. For example, the load lock may be replaced with a plurality of load locks in which the load and unload functions are separated in order to increase the throughput. Further, for example, the sputter unit 30 may be replaced with two or more sputter units of a unit for forming a dielectric film and a unit for forming an electrode.

ただし本発明の平坦な表面を維持しながらドライ基板表面処理を行なうことが可能な基板処理方法を有効に使用するためには、表面処理ユニット100、CVD成膜ユニット20、ロードロック室50、搬送室60を少なくとも1つ以上備えていることが好ましい。この構成を持つことにより、ロードロックがあるため安定した雰囲気の減圧状態で高いスループットでドライ基板表面処理を行なうことが可能となり、大気中に基板を持ち出すことなく真空中を搬送室を通してCVD成膜ユニットへ搬送し、成膜を行なうことによって、Si基板表面とCVD成膜したSi/SiGe層との界面状態を良好に保つことが可能となるからである。 However, in order to effectively use the substrate processing method capable of performing the dry substrate surface treatment while maintaining the flat surface of the present invention, the surface treatment unit 100, the CVD film forming unit 20, the load lock chamber 50, the transfer It is preferable that at least one chamber 60 is provided. With this configuration, it is possible to perform dry substrate surface treatment with high throughput in a stable atmosphere under reduced pressure due to the load lock, and CVD film formation is performed in a vacuum through the transfer chamber without taking the substrate into the atmosphere. This is because the state of the interface between the surface of the Si substrate and the Si / SiGe layer formed by CVD can be kept good by carrying the film to the unit and performing film formation.

またさらに、本発明の平坦な表面を維持しながらドライ基板表面処理を行なうことが可能な基板処理方法を有効に使用するためには、表面処理ユニット100、誘電体・電極スパッタユニット30、ロードロック室50、搬送室60を少なくとも1つ以上備えていることが好ましい。この構成を持つことにより、ロードロックがあるため安定した雰囲気の減圧状態で高いスループットでドライ基板表面処理を行なうことが可能となり、大気中に基板を持ち出すことなく真空中を搬送室を通して誘電体・電極スパッタユニット30へ搬送し、成膜を行なうことによって、Si基板表面と、その上にスパッタ成膜した、絶縁膜の基礎となる誘電体膜または導電性膜との界面状態を、良好に保つことが可能となるからである。 Furthermore, in order to effectively use the substrate processing method capable of performing the dry substrate surface treatment while maintaining the flat surface of the present invention, the surface treatment unit 100, the dielectric / electrode sputtering unit 30, the load lock It is preferable that at least one chamber 50 and transfer chamber 60 are provided. By having this configuration, it is possible to perform dry substrate surface treatment with high throughput in a stable atmosphere of reduced pressure because there is a load lock, and without passing the substrate into the atmosphere, the dielectric and By transporting to the electrode sputtering unit 30 and performing film formation, the interface state between the Si substrate surface and the dielectric film or conductive film, which is the base of the insulating film, formed on the Si substrate by sputtering is kept good. Because it becomes possible.

本実施例ではCVD成膜ユニット20の詳細は図示していないが、チャンバと、基板を保持するための基板ホルダーと保持された基板を加熱するための基板加熱機構と、CVD成膜を行なうための原料ガスを含むガスを供給するためのガス導入機構と、チャンバ内部を排気するための排気手段を備えているエピタキシャル成膜ユニットであれば良い。 Although details of the CVD film forming unit 20 are not shown in the present embodiment, a chamber, a substrate holder for holding the substrate, a substrate heating mechanism for heating the held substrate, and CVD film formation are performed. Any epitaxial film forming unit having a gas introduction mechanism for supplying a gas containing the source gas and an exhaust means for exhausting the inside of the chamber may be used.

同様にスパッタユニット30の詳細は図示していないが、チャンバと、基板を保持するための基板ホルダーと、チャンバ内にガスを導入する機構と、チャンバ内を排気する排気手段、および誘電体又は導電性金属のターゲットを設置するためのスパッタリングカソード、そして高周波電力供給機構又は直流電力供給機構があれば良い。 Similarly, although details of the sputtering unit 30 are not shown, a chamber, a substrate holder for holding the substrate, a mechanism for introducing a gas into the chamber, an exhaust means for exhausting the chamber, and a dielectric or conductive material There may be a sputtering cathode for setting the target of the conductive metal and a high-frequency power supply mechanism or a DC power supply mechanism.

なお、スパッタユニット30の図示しない誘電体又は導電性金属のターゲットを設置するためのスパッタリングカソードは、1つである必要はない。連続または連続しない複数の膜を成膜するため、複数のターゲットを設置するための複数のスパッタリングカソードを備えても良い。また、成膜分布の均一性の観点から、基板ホルダーは載置された基板を回転させるために回転する機構を備えることが好ましい。また、反応性スパッタによる成膜が行なえるように、スパッタユニット30のガス導入機構は、Arなどの不活性ガスのみならず、NやOなどの反応性ガス、またはそれら反応性ガスとArガスとの混合ガスも導入できるようになっていることが好ましい。 It should be noted that the number of sputtering cathodes for installing a dielectric or conductive metal target (not shown) of the sputtering unit 30 is not necessarily one. In order to form a plurality of continuous or non-continuous films, a plurality of sputtering cathodes for installing a plurality of targets may be provided. In addition, from the viewpoint of uniformity of film formation distribution, the substrate holder preferably includes a mechanism that rotates to rotate the placed substrate. In addition, the gas introduction mechanism of the sputtering unit 30 is not limited to an inert gas such as Ar, but a reactive gas such as N 2 or O 2 , or these reactive gases so that film formation by reactive sputtering can be performed. It is preferable that a mixed gas with Ar gas can also be introduced.

本発明で使用する成膜装置の構成例を示す模式図である。It is a schematic diagram which shows the structural example of the film-forming apparatus used by this invention. 本発明で使用する装置に設置されているコントローラの模式図である。It is a schematic diagram of the controller installed in the apparatus used by this invention. 本発明で使用する表面処理装置の構成例を示す模式図である。It is a schematic diagram which shows the structural example of the surface treatment apparatus used by this invention. 本発明の表面処理装置におけるプラズマ閉じ込め電極板の断面拡大図である。It is a cross-sectional enlarged view of the plasma confinement electrode plate in the surface treatment apparatus of the present invention. 本発明の表面処理装置における、プラズマ閉じ込め電極板の、処理室側からみた拡大図である。It is the enlarged view seen from the process chamber side of the plasma confinement electrode plate in the surface treatment apparatus of this invention. 本発明のプラズマ閉じ込め電極板部の処理室側から見た模式図である。It is the schematic diagram seen from the process chamber side of the plasma confinement electrode plate part of this invention. 本発明の表面処理装置の、高周波印加電極部の構成例を示す模式図である。It is a schematic diagram which shows the structural example of the high frequency application electrode part of the surface treatment apparatus of this invention. 本発明の表面処理装置の、高周波印加電極部の構成例を示す鳥瞰図である。It is a bird's-eye view which shows the structural example of the high frequency application electrode part of the surface treatment apparatus of this invention. 本発明の表面処理装置において、V2/V1=0.01〜0.8である場合に、放電が放電室全体に発生することを説明する図である。In the surface treatment apparatus of this invention, when it is V2 / V1 = 0.01-0.8, it is a figure explaining discharge generate | occur | producing in the whole discharge chamber. 本発明の表面処理装置において、V2/V1<0.01である場合に、放電が偏り、基板に対して不均一なラジカル供給となる様子を説明する図である。In the surface treatment apparatus of this invention, when V2 / V1 <0.01, it is a figure explaining a mode that discharge is biased and becomes non-uniform radical supply with respect to a board | substrate. 本発明の表面処理装置において、V2/V1>0.8である場合に、放電せず、従って基板に対してラジカル供給がない様子を説明する図である。In the surface treatment apparatus of this invention, when it is V2 / V1> 0.8, it is a figure explaining a mode that it does not discharge and therefore there is no radical supply with respect to a board | substrate. プラズマ生成ガス導入シャワープレートのプラズマ生成ガス導入孔付近の拡大断面の概略図であり、プラズマ生成ガス導入孔の形状例1を示すものである。It is the schematic of the expanded cross section of the plasma generation gas introduction hole vicinity of a plasma generation gas introduction shower plate, and shows the example 1 of a shape of a plasma generation gas introduction hole. プラズマ生成ガス導入シャワープレートのプラズマ生成ガス導入孔付近の拡大断面の概略図であり、プラズマ生成ガス導入孔の形状例2を示すものである。It is the schematic of the expanded cross section of the plasma generation gas introduction hole vicinity of a plasma generation gas introduction shower plate, and shows the example 2 of a shape of a plasma generation gas introduction hole. プラズマ生成ガス導入シャワープレートのプラズマ生成ガス導入孔付近の拡大断面の概略図であり、プラズマ生成ガス導入孔の形状例3を示すものである。It is the schematic of the expanded cross section of the plasma generation gas introduction hole vicinity of a plasma generation gas introduction shower plate, and shows the example 3 of a shape of a plasma generation gas introduction hole. プラズマ生成ガス導入シャワープレートのプラズマ生成ガス導入孔付近の拡大断面の概略図であり、プラズマ生成ガス導入孔の形状例4を示すものである。It is the schematic of the expanded cross section of the plasma generation gas introduction hole vicinity of a plasma generation gas introduction shower plate, and shows the example 4 of a shape of a plasma generation gas introduction hole. プラズマ生成ガス導入シャワープレートのプラズマ生成ガス導入孔付近の拡大断面の概略図であり、プラズマ生成ガス導入孔の形状例5を示すものである。It is the schematic of the expanded cross section of the plasma generation gas introduction hole vicinity of a plasma generation gas introduction shower plate, and shows the example 5 of a shape of a plasma generation gas introduction hole. 本発明のプラズマ生成ガスのプラズマ室へのガス導入シャワープレートの効果を示す、シリコン酸化膜エッチング速度の基板面内分布の図である。It is a figure of substrate surface distribution of the silicon oxide film etching rate which shows the effect of the gas introduction shower plate to the plasma chamber of the plasma generation gas of the present invention. 本発明の実施例によって得られた高周波電力密度を変更した場合の自然酸化膜/Siを示すグラフである。It is a graph which shows the natural oxide film / Si at the time of changing the high frequency electric power density obtained by the Example of this invention. 本発明で使用するUV、X線、マイクロ波励起ラジカル表面処理装置の構成例を示す模式図である。It is a schematic diagram which shows the structural example of UV, an X-ray, and a microwave excitation radical surface treatment apparatus used by this invention. 本発明で使用する触媒化学励起ラジカル表面処理装置の構成例を示す模式図である。It is a schematic diagram which shows the structural example of the catalytic chemical excitation radical surface treatment apparatus used by this invention. 本発明で使用する表面処理方法を示す模式図である。It is a schematic diagram which shows the surface treatment method used by this invention. 本発明で使用する搬送コントローラプログラムのフローチャート図である。It is a flowchart figure of the conveyance controller program used by this invention. 本発明で使用する成膜コントローラプログラムのフローチャート図である。It is a flowchart figure of the film-forming controller program used by this invention. 本発明の実施例によって得られた基板処理後の表面粗さ(Ra)を示すグラフと表面のSEM像の写真である。It is the graph which shows the surface roughness (Ra) after the board | substrate process obtained by the Example of this invention, and the photograph of the surface SEM image. 本発明のプラズマ生成ガスにHFを含む場合と、従来のプラズマ生成ガスがArのみである場合を比較した、表面粗さ(Ra)の処理ガスHF比率依存性 のグラフ図である。FIG. 5 is a graph showing the dependence of surface roughness (Ra) on the treatment gas HF ratio, comparing the case where HF is included in the plasma generation gas of the present invention and the case where the conventional plasma generation gas is only Ar. 本発明の実施例によって得られたSi及びSiGe成長後の表面のSEM像の写真である。It is a photograph of the SEM image of the surface after Si and SiGe growth obtained by the Example of this invention. 本発明の実施例によって得られた界面の酸素及び炭素の原子密度を示すグラフ図である。It is a graph which shows the atomic density of the oxygen of the interface obtained by the Example of this invention, and carbon. 本発明の実施例によって得られたC−V曲線図である。It is a CV curve figure obtained by the Example of this invention. 本発明の実施例によって得られた界面準位密度と固定電荷密度の従来の酸化膜との比較例を示す図である。It is a figure which shows the comparative example of the conventional oxide film of the interface state density obtained by the Example of this invention, and a fixed charge density. 本発明の実施例によって得られた等価酸化膜厚(EOT)とリーク電流の関係を示すグラフ図である。It is a graph which shows the relationship between the equivalent oxide film thickness (EOT) obtained by the Example of this invention, and leakage current. 本発明の処理によりつくられたMOS−FETの図である。It is a figure of MOS-FET made by the process of this invention.

Claims (14)

プラズマ生成室(108)、基板ホルダーをその内部に含む基板洗浄処理室(121)及び該プラズマ生成室と基板洗浄処理室を仕切るプラズマ閉じ込め電極板(110)とからなる基板洗浄装置において、
該プラズマ生成室は該プラズマ生成室内に該プラズマ閉じ込め電極と平行して横方向に延在する板状の高周波印加電極を含み、該高周波印加電極はその表面から裏面へと貫通する複数の貫通孔(105)が設けられており、該貫通孔を含む高周波印加電極の全体積V1と貫通孔の全体積V2との比V2/V1は0.01〜0.8に選ばれており、
該プラズマ閉じ込め電極は、その面に分布されて設けられた該プラズマ生成室と基板洗浄処理室とを連通する複数のラジカル導入孔(11)を有しており、
該プラズマ閉じ込め電極は更にその面に分布されて該ラジカル導入孔の少なくとも一部と並置して設けられた複数の処理ガス導入孔を有し、
該プラズマ閉じ込め電極は該基板ホルダーの基板支持面と対面するよう構成され、該プラズマ生成室で生成されたプラズマ中のラジカルが該ラジカル導入孔を通して該基板洗浄処理室内へと導入され、処理ガスが該処理ガス導入孔を通して該基板洗浄処理室内へと導入され、該ラジカルと処理ガスとが該プラズマ閉じ込め電極の面から該基板ホルダー上に配置される基板面に向かって導入されるよう構成されている基板洗浄装置。
In a substrate cleaning apparatus comprising a plasma generation chamber (108), a substrate cleaning processing chamber (121) including a substrate holder therein, and a plasma confining electrode plate (110) separating the plasma generation chamber and the substrate cleaning processing chamber,
The plasma generation chamber includes a plate-shaped high-frequency application electrode extending in the lateral direction in parallel with the plasma confinement electrode, and the high-frequency application electrode has a plurality of through holes penetrating from the front surface to the back surface. (105) is provided, and the ratio V2 / V1 between the total volume V1 of the high-frequency applying electrode including the through hole and the total volume V2 of the through hole is selected from 0.01 to 0.8,
The plasma confinement electrode has a plurality of radical introduction holes (11) communicating with the plasma generation chamber and the substrate cleaning processing chamber provided distributed on the surface thereof,
The plasma confinement electrode further has a plurality of processing gas introduction holes distributed on the surface and provided in parallel with at least a part of the radical introduction holes,
The plasma confinement electrode is configured to face the substrate support surface of the substrate holder, radicals in the plasma generated in the plasma generation chamber are introduced into the substrate cleaning processing chamber through the radical introduction holes, and a processing gas is supplied. The substrate is introduced into the substrate cleaning processing chamber through the processing gas introduction hole, and the radical and the processing gas are introduced from the surface of the plasma confinement electrode toward the substrate surface disposed on the substrate holder. Substrate cleaning device.
請求項1の基板洗浄処理装置において、該プラズマ閉じ込め電極は、該プラズマ生成室と該基板洗浄処理室とを仕切る方向に、該プラズマ閉じ込め電極を横断的に通る処理ガス導入経路を有し、該処理ガス導入経路に該複数の処理ガス導入孔の各々は接続され、該処理ガス導入経路に供給された処理ガスが該処理ガス導入孔から該基板洗浄処理室内に導入されるよう構成されている基板洗浄処理装置。   2. The substrate cleaning apparatus according to claim 1, wherein the plasma confinement electrode has a processing gas introduction path that traverses the plasma confinement electrode in a direction to partition the plasma generation chamber and the substrate cleaning processing chamber, Each of the plurality of processing gas introduction holes is connected to the processing gas introduction path, and the processing gas supplied to the processing gas introduction path is introduced into the substrate cleaning processing chamber from the processing gas introduction hole. Substrate cleaning processing equipment. 基板洗浄処理室(121)内に配置された半導体基板の表面の酸化膜を洗浄処理する方法であって、
プラズマ生成室(108)内においてHFを含有するプラズマ生成ガスを励起して、プラズマを生成し、
該プラズマ中のラジカルを、該プラズマ生成室と該基板洗浄処理室とを仕切るプラズマ閉じ込め電極(110)にその面に分布して設けられた複数のプラズマ導入孔を通して該プラズマ生成室から該処理室へと選択的に導入し、
該処理室内に、励起されていないHFを含有する処理ガスを導入し、
該処理室内に導入されたラジカルと該処理ガスとの混合した雰囲気によって、該半導体基板の表面の酸化膜を洗浄処理しており、
該処理ガスは、該プラズマ閉じ込め電極の面に分布して該ラジカル導入孔の少なくとも一部と並置して設けられた複数の処理ガス導入孔を通して該プラズマ閉じ込め電極の面から該ラジカルの導入と略平行して該基板洗浄処理室内に導入されている基板洗浄処理方法。
A method for cleaning an oxide film on a surface of a semiconductor substrate disposed in a substrate cleaning processing chamber (121),
A plasma generation gas containing HF is excited in the plasma generation chamber (108) to generate plasma;
Radicals in the plasma are distributed from the plasma generation chamber through a plurality of plasma introduction holes provided on the surface of the plasma confinement electrode (110) that partitions the plasma generation chamber and the substrate cleaning processing chamber. Selectively introduced into the
Introducing a processing gas containing unexcited HF into the processing chamber;
The oxide film on the surface of the semiconductor substrate is cleaned by an atmosphere in which radicals introduced into the processing chamber and the processing gas are mixed,
The processing gas is distributed substantially on the surface of the plasma confinement electrode and is substantially the same as introduction of the radical from the surface of the plasma confinement electrode through a plurality of processing gas introduction holes provided in parallel with at least a part of the radical introduction hole. A substrate cleaning processing method introduced into the substrate cleaning processing chamber in parallel.
該半導体基板はSi基板であり、Si基板の酸化膜をエッチング除去して該Si基板を洗浄処理している請求項3の方法。   4. The method according to claim 3, wherein the semiconductor substrate is a Si substrate, and the Si substrate is cleaned by etching away an oxide film of the Si substrate. MOS構造におけるゲート絶縁膜を形成する方法において、
請求項4の方法にてSi基板の表面洗浄をし、
該表面洗浄されたSi基板を大気に晒すことなくエピタキシャル室に移動させ、該エピタキシャル室で該表面洗浄されたSi基板上にエピタキシャル層を形成し、
該エピタキシャル層が形成されたSi基板を大気に晒すことなくスパッタ室に移動させ、該エピタキシャル層上に誘電体膜をスパッタリングで形成し、そして
該誘電体膜の形成されたSi基板を大気に晒すことなく酸化・窒化室に移動させ、該誘電体膜を酸化・窒化又は酸窒化して該ゲート絶縁膜を形成している方法。
In a method of forming a gate insulating film in a MOS structure,
The surface of the Si substrate is cleaned by the method of claim 4,
The surface-cleaned Si substrate is moved to an epitaxial chamber without being exposed to the atmosphere, and an epitaxial layer is formed on the surface-cleaned Si substrate in the epitaxial chamber,
The Si substrate on which the epitaxial layer is formed is moved to a sputtering chamber without being exposed to the atmosphere, a dielectric film is formed on the epitaxial layer by sputtering, and the Si substrate on which the dielectric film is formed is exposed to the atmosphere. The gate insulating film is formed by moving to the oxidation / nitridation chamber without oxidization / nitridation or oxynitridation of the dielectric film.
該誘電体膜は、Hf、La、Ta、Al、W、Ti、Si、Geのグループから選択されたもの又はそれらの合金である請求項5の方法。   6. The method of claim 5, wherein the dielectric film is selected from the group consisting of Hf, La, Ta, Al, W, Ti, Si, and Ge, or an alloy thereof. プラズマ生成室(108)、基板洗浄処理室(121)及び該プラズマ生成室と基板洗浄処理室を仕切るプラズマ閉じ込め電極板(110)からなる基板洗浄装置内で、基板表面を洗浄処理する方法において、
該基板洗浄処理室内に該プラズマ閉じ込め電極板の面に相対して平板状の基板を配置し、
該プラズマ閉じ込め電極板の面に分布されて設けられた該プラズマ生成室と基板洗浄処理室とを連通する複数のラジカル導入孔(111)を通して、該プラズマ生成室で生成されたプラズマ中のラジカルを該プラズマ室から該基板洗浄処理室へ導入し、そして
該基板洗浄処理室に励起されていない処理ガスを導入することからなり、
該プラズマ生成室内に横方向に延在する高周波印加電極により該プラズマ生成室に0.001W/cm2〜0.25W/cm2の高周波電力密度の高周波電力を印加しており、該高周波印加電極の表面から裏面へと貫通する複数の貫通孔(105)が設けられており、該貫通孔を含む高周波印加電極の全体積V1と貫通孔の全体積V2との比V2/V1は、0.01〜0.8に選ばれており、
該処理ガスの導入は、該プラズマ閉じ込め電極板の面に分布されて設けられ、該ラジカル導入孔の少なくとも一部と並置して該基板面に相対した複数の処理ガス導入孔(112)から該基板洗浄室内に該ラジカル導入と平行して導入されている方法。
In a method for cleaning a substrate surface in a substrate cleaning apparatus comprising a plasma generation chamber (108), a substrate cleaning processing chamber (121), and a plasma confinement electrode plate (110) separating the plasma generation chamber and the substrate cleaning processing chamber,
A plate-shaped substrate is disposed in the substrate cleaning processing chamber relative to the surface of the plasma confinement electrode plate,
The radicals in the plasma generated in the plasma generation chamber are passed through a plurality of radical introduction holes (111) communicating with the plasma generation chamber and the substrate cleaning processing chamber provided distributed on the surface of the plasma confinement electrode plate. Introducing from the plasma chamber into the substrate cleaning chamber and introducing an unexcited processing gas into the substrate cleaning chamber;
A high-frequency power having a high-frequency power density of 0.001 W / cm 2 to 0.25 W / cm 2 is applied to the plasma generation chamber by a high-frequency application electrode extending laterally in the plasma generation chamber. A plurality of through-holes (105) penetrating from the front surface to the back surface are provided, and the ratio V2 / V1 between the total volume V1 of the high-frequency applying electrode including the through-hole and the total volume V2 of the through-hole is 0. It is chosen as 01-0.8,
The introduction of the processing gas is distributed and provided on the surface of the plasma confining electrode plate, and the processing gas is introduced from a plurality of processing gas introduction holes (112) arranged in parallel with at least a part of the radical introduction holes and facing the substrate surface. A method of introducing into the substrate cleaning chamber in parallel with the introduction of the radical.
請求項7に記載の基板表面を洗浄処理する方法において、該基板表面の酸化膜を洗浄処理している方法。   8. The method for cleaning a substrate surface according to claim 7, wherein an oxide film on the substrate surface is cleaned. 請求項8に記載の基板表面の酸化膜の洗浄処理方法において、IV族半導体材料基板表面の酸化膜を洗浄処理する方法であって、HFを含むプラズマ生成ガスを該プラズマ生成室に導入してプラズマを生成しており、そして該処理ガスはHFを含む処理ガスであることを特徴とする方法。   9. The method of cleaning an oxide film on a substrate surface according to claim 8, wherein the oxide film on the surface of the group IV semiconductor material substrate is cleaned by introducing a plasma generating gas containing HF into the plasma generating chamber. A method characterized in that a plasma is generated and the processing gas is a processing gas containing HF. 請求項9に記載の基板表面の酸化膜の洗浄処理方法において、該プラズマ生成ガスの総ガス流量に対するHF比率は、0.2〜1.0であることを特徴とする方法。   10. The method for cleaning an oxide film on a substrate surface according to claim 9, wherein the HF ratio of the plasma generation gas to the total gas flow rate is 0.2 to 1.0. 請求項10に記載の基板表面の洗浄処理方法において、該処理ガスはHFのみからなることを特徴とする方法。   11. The method for cleaning a substrate surface according to claim 10, wherein the processing gas comprises only HF. 請求項7に記載の基板表面の酸化膜の洗浄処理方法において、該プラズマ生成室にシャワープレート(107)を介してプラズマガスを導入しており、該シャワープレートは板状の該高周波印加電極に相対した内面を有し、該シャワープレートの内面に分布して設けられた複数のプラズマ生成ガス導入孔(106)からプラズマ生成ガスを該プラズマ生成室に導入している方法。   8. The method of cleaning an oxide film on a substrate surface according to claim 7, wherein plasma gas is introduced into the plasma generation chamber via a shower plate (107), and the shower plate is applied to the plate-like high-frequency application electrode. A method in which a plasma generation gas is introduced into the plasma generation chamber from a plurality of plasma generation gas introduction holes (106) having opposed inner surfaces and distributed on the inner surface of the shower plate. MOS構造におけるゲート絶縁膜を形成する方法において、
請求項9の方法にてSi基板表面の酸化膜を洗浄処理し、
該表面洗浄処理されたSi基板を大気に晒すことなくエピタキシャル室に移動させ、該エピタキシャル室で該表面洗浄処理されたSi基板上にエピタキシャル層を形成し、
該エピタキシャル層が形成されたSi基板を大気に晒すことなくスパッタ室に移動させ、該エピタキシャル層上に誘電体膜をスパッタリングで形成し、そして、
該誘電体膜の形成されたSi基板を大気に晒すことなく酸化・窒化室に移動させ、該誘電体膜を酸化・窒化又は酸窒化して該ゲート絶縁膜を形成している方法。
In a method of forming a gate insulating film in a MOS structure,
Cleaning the oxide film on the surface of the Si substrate by the method of claim 9;
The surface-cleaned Si substrate is moved to an epitaxial chamber without being exposed to the atmosphere, and an epitaxial layer is formed on the surface-cleaned Si substrate in the epitaxial chamber,
The Si substrate on which the epitaxial layer is formed is moved to a sputtering chamber without being exposed to the atmosphere, a dielectric film is formed on the epitaxial layer by sputtering, and
A method in which the Si substrate on which the dielectric film is formed is moved to an oxidation / nitridation chamber without being exposed to the atmosphere, and the gate insulating film is formed by oxidizing / nitriding or oxynitriding the dielectric film.
請求項13に記載のゲート絶縁膜形成法において、該誘電体膜は、Hf、La、Ta、Al、W、Ti、Si、Geのグループから選択されたもの又はそれらの合金である方法。   14. The method of forming a gate insulating film according to claim 13, wherein the dielectric film is selected from the group consisting of Hf, La, Ta, Al, W, Ti, Si, and Ge or an alloy thereof.
JP2008242665A 2008-09-22 2008-09-22 Substrate cleaning method for removing oxide film Pending JP2010074065A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2008242665A JP2010074065A (en) 2008-09-22 2008-09-22 Substrate cleaning method for removing oxide film

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2008242665A JP2010074065A (en) 2008-09-22 2008-09-22 Substrate cleaning method for removing oxide film

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2010003843A Division JP5006415B2 (en) 2010-01-12 2010-01-12 Substrate cleaning method for removing oxide film

Publications (2)

Publication Number Publication Date
JP2010074065A true JP2010074065A (en) 2010-04-02
JP2010074065A5 JP2010074065A5 (en) 2012-04-12

Family

ID=42205555

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008242665A Pending JP2010074065A (en) 2008-09-22 2008-09-22 Substrate cleaning method for removing oxide film

Country Status (1)

Country Link
JP (1) JP2010074065A (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2014100962A1 (en) * 2012-12-24 2014-07-03 中国科学院微电子研究所 Plasma discharge device
WO2015019765A1 (en) * 2013-08-09 2015-02-12 東京エレクトロン株式会社 Plasma processing device and plasma processing method
JP2016091821A (en) * 2014-11-05 2016-05-23 東京エレクトロン株式会社 Plasma processing device
WO2020087683A1 (en) * 2018-10-30 2020-05-07 武汉华星光电技术有限公司 Plasma generator, and plasma-based cleaning device

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06236850A (en) * 1993-02-10 1994-08-23 Sony Corp Plasma processing apparatus
JP2001135628A (en) * 1999-11-10 2001-05-18 Nec Corp Plasma cvd device
JP2002289596A (en) * 2001-01-08 2002-10-04 Apl Co Ltd Equipment and method for surface treatment by using plasma
JP2008515223A (en) * 2004-09-30 2008-05-08 東京エレクトロン株式会社 Method of forming a thin, high dielectric constant dielectric layer

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06236850A (en) * 1993-02-10 1994-08-23 Sony Corp Plasma processing apparatus
JP2001135628A (en) * 1999-11-10 2001-05-18 Nec Corp Plasma cvd device
JP2002289596A (en) * 2001-01-08 2002-10-04 Apl Co Ltd Equipment and method for surface treatment by using plasma
JP2008515223A (en) * 2004-09-30 2008-05-08 東京エレクトロン株式会社 Method of forming a thin, high dielectric constant dielectric layer

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2014100962A1 (en) * 2012-12-24 2014-07-03 中国科学院微电子研究所 Plasma discharge device
WO2015019765A1 (en) * 2013-08-09 2015-02-12 東京エレクトロン株式会社 Plasma processing device and plasma processing method
CN105379428A (en) * 2013-08-09 2016-03-02 东京毅力科创株式会社 Plasma processing device and plasma processing method
JPWO2015019765A1 (en) * 2013-08-09 2017-03-02 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing method
US9685305B2 (en) 2013-08-09 2017-06-20 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
CN105379428B (en) * 2013-08-09 2017-07-04 东京毅力科创株式会社 Plasma processing apparatus and method of plasma processing
KR101809150B1 (en) 2013-08-09 2017-12-14 도쿄엘렉트론가부시키가이샤 Plasma processing device and plasma processing method
JP2016091821A (en) * 2014-11-05 2016-05-23 東京エレクトロン株式会社 Plasma processing device
WO2020087683A1 (en) * 2018-10-30 2020-05-07 武汉华星光电技术有限公司 Plasma generator, and plasma-based cleaning device

Similar Documents

Publication Publication Date Title
JP5006938B2 (en) Surface treatment apparatus and substrate treatment method thereof
JP4914902B2 (en) Method and apparatus for forming silicide
US10192735B2 (en) Substrate processing method and substrate processing apparatus
US8309440B2 (en) Method and apparatus for cleaning a substrate surface
JP4708426B2 (en) Method for processing a semiconductor substrate
CN108573866B (en) Oxide film removing method and apparatus, and contact forming method and system
KR20200043449A (en) Method and apparatus for surface preparation prior to epitaxial deposition
TW202117931A (en) Gap fill deposition process
US20100297854A1 (en) High throughput selective oxidation of silicon and polysilicon using plasma at room temperature
US20140011339A1 (en) Method for removing native oxide and residue from a germanium or iii-v group containing surface
JP5006415B2 (en) Substrate cleaning method for removing oxide film
KR102118784B1 (en) Oxide film removing method, oxide film removing apparatus, contact forming method, and contact forming system
Mannequin et al. Comparative study of two atomic layer etching processes for GaN
JP4503095B2 (en) Manufacturing method of semiconductor device
JP6609535B2 (en) Plasma processing method
JP2010074065A (en) Substrate cleaning method for removing oxide film
JPH05343391A (en) Manufacture of semiconductor device
US9269584B2 (en) N-metal film deposition with initiation layer
JP3664472B2 (en) Coating processing method and aperture plate
US20220301887A1 (en) Ruthenium etching process
JP2004273991A (en) Semiconductor manufacturing method
US20160068969A1 (en) Integrated processing for microcontamination prevention
JP2006216774A (en) Method of forming insulating film
JP2006237640A (en) Manufacturing method for semiconductor
KR20220116254A (en) Selective Tungsten Deposition in Trench Structures

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100415

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110705

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120229

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20121022

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121115

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20130328