JP2009522796A - 同じ基板上に同じ導電型の低性能及び高性能デバイスを有する半導体デバイス構造体 - Google Patents

同じ基板上に同じ導電型の低性能及び高性能デバイスを有する半導体デバイス構造体 Download PDF

Info

Publication number
JP2009522796A
JP2009522796A JP2008548966A JP2008548966A JP2009522796A JP 2009522796 A JP2009522796 A JP 2009522796A JP 2008548966 A JP2008548966 A JP 2008548966A JP 2008548966 A JP2008548966 A JP 2008548966A JP 2009522796 A JP2009522796 A JP 2009522796A
Authority
JP
Japan
Prior art keywords
gate
spacer
semiconductor device
field effect
effect transistor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2008548966A
Other languages
English (en)
Other versions
JP4939548B2 (ja
Inventor
アーノルド、ジョン
チダンバラオ、デュレセティ
リー、イン
マリク、ラジーブ
ナラシンハ、シュリーシュ
パンダ、シッダールタ
テッシェ、ブライアン
ワイズ、リチャード
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2009522796A publication Critical patent/JP2009522796A/ja
Application granted granted Critical
Publication of JP4939548B2 publication Critical patent/JP4939548B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0922Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

【課題】 同じ基板上に同じ導電型の低性能及び高性能デバイスを有する半導体デバイス構造体を提供すること。
【解決方法】 半導体デバイス構造体を製造する方法は、基板(10)を準備するステップと、第1スペーサ(SP)を備える第1ゲート(G1)、第2スペーサ(SP)を備える第2ゲート(G2)、第1ゲート及び第2ゲートに隣接する、同じ導電型のそれぞれのソース(S)/ドレイン(D)領域、第1ゲートと第2ゲートとの中間に配置された分離領域(STI)、及び第1ゲート、第2ゲート、並びにそれぞれのソース及びドレイン領域の上にあるシリサイドを基板上に形成するステップと、中間構造体を製造するために第1スペーサ上に付加的なスペーサ(RSPS)を形成し、次いで、中間構造体全体の上に応力層を配置するステップと、を含む。
【選択図】 図7

Description

本発明は、金属酸化膜半導体(MOS)デバイス構造体を製造するための方法、より特定的には、(例えば、nFET、pFETのような)MOS電界効果トランジスタのチャネル応力及び性能に影響を与えるのにスペーサ及びフィルムを用いるための方法、及び結果として得られるデバイス構造体に関する。
MOSFET及びその種々の製造方法は周知である。通常、MOSFETは、好適な基板内又は基板上に形成された表面のソース及びドレイン領域と、その間に配置されたゲートとを含む。シリサイド電気層又はコンタクトはゲート上、並びに、ソース及びドレイン領域上に形成される。一般的に、通常の既知のシリサイド化プロセスは以下の通りである。ソース及びドレイン注入が行われた後で、金属堆積が行われ、次にアニール処理をして金属と注入された又はドープされたシリコンを反応させて、シリサイドを形成する。例えば基板は、他の基板の中でも特に、(例えば、シリコンのような)バルク半導体、シリコン・オン・インシュレータ(SOI)を含む。例えば、全体が引用によりここに組み入れられる、2005年8月16日に発行されたRausch他による「METHOD OF FORMING AN ELECTRONIC DEVICE ON A RECESS IN THE SURFACE OF THIN FILM OF SILICON ETCHED TO A PRECISE THICKNESS」という名称の特許文献1を参照されたい。本明細書では図8として部分的に再現される上記特許‘030号の図2Mは、SOI基板内に形成されたnFETデバイス20のポリシリコン・ゲート44の上面に配置された、シリサイド層/コンタクト54を示す。さらに、ゲート、及びゲートの側壁に配置されたスペーサ48の下に配置された酸化物層42が示される。
CMOS技術においては、nFET及びpFETデバイスは所要のCMOS性能を実現するように最適化される。nFETデバイス及びpFETデバイスには、それに応じて、非常に異なったドーパント種がそれに応じて用いられる。こうしたドーパント種は、拡散率及び最大活性濃度などの点で、非常に異なる物理的特性を有する。従来のCMOS技術では、nFET及びpFETの両方は、通常、同じスペーサ・プロセス及びトポロジを共有する。CMOS性能を最適化するために、スペーサは通常1つの最大幅であることが可能であり、nFETとpFETとの間の性能の妥協のもとで設計される。例えば、ヒ素及びホウ素が、それぞれnFET及びpFETのソース及びドレイン・ドーパントとして用いられる場合には、幅狭のスペーサがnFETに適しているが、幅広のスペーサがpFETに適していることが知られており、これはヒ素がホウ素に比べてはるかにゆっくりと拡散するためである。この場合、pFETは限定要因である。従って、全てのスペーサの最大幅は、pFETのために最適化され、nFET性能を犠牲にする。
さらに、nFETのチャネルには引張応力を与え、pFETのチャネルには圧縮応力を与え、及び/又は、例えば、チャネルからのシリサイド距離を制御するために異なるスペーサ幅を与えることにより、n型電界効果トランジスタ・デバイス(nFET)及びp型電界効果トランジスタ・デバイス(pFET)の性能を高めることも知られている。
例えば、すべて、全体が引用によりここに組み入れられる、2003年9月22日に出願され、2005年3月22日に発行されたChidambarrao他による「SILICIDE PROXIMITY STRUCTURES FOR CMOS DEVICE PERFORMANCE IMPROVEMENTS」という名称の特許文献2、2003年9月10日に出願され、2005年5月10日に発行されたChidambarrao他による「METHOD AND STRUCTURE FOR IMPROVED MOSFETS USING POLY/SILICIDE GATE HEIGHT CONTROL」という名称の特許文献3、及び2002年10月21日に出願され、2004年10月19日に発行されたFung他による「SEMICONDUCTOR DEVICE STRUCTURE INCLUDING MULTIPLE FETS HAVING DIFFERENT SPACER WIDTHS」という名称の特許文献4を参照されたい。
米国特許第6,930,030 B2 米国特許第6、869、866 B1 米国特許第6、890、808 B2 米国特許第6、806、584 B2 VLSI Technology, 2nd Edition(McGraw Hill Publishing Co., 1988) 「A Highly Dense,High−Performance 130nm node CMOS Technology for Large Scale System−on−a−Chip Applications」の23.5.1ページから23.5.4ページ
しかしながら、本発明者らは、従来技術による方法及び結果として得られるデバイス構造体をさらに改善して、同じ基板上に同じ導電型の低性能及び高性能デバイス両方を提供できると考える。
本発明の第1の態様は、同じ基板上に同じ導電型の低性能半導体デバイス及び高性能半導体デバイスを製造するための方法を提供し、その方法は半導体デバイス構造体を製造するための従来のプロセスと容易に適合するものである。
本発明の第2の態様は、両方ともnFETで形成される低性能半導体デバイス及び高性能半導体デバイスを含む半導体デバイス構造体を提供する。
本発明の第3の態様は、両方ともpFETで形成される低性能半導体デバイス及び高性能半導体デバイスを含む半導体デバイス構造体を提供する。
本発明の1つの実施形態によれば、半導体デバイス構造体を製造するための方法は、基板を準備するステップと、第1スペーサを備える第1ゲート、第2スペーサを備える第2ゲート、第1ゲート及び第2ゲートに隣接する、同じ導電型のそれぞれのソース/ドレイン領域、第1ゲートと第2ゲートとの中間に配置された分離領域、第1ゲート、第2ゲート、及びそれぞれのソース/ドレイン領域の上のシリサイドを基板上に形成するステップと、中間構造体を製造するために第1スペーサ上にのみ付加的なスペーサを形成し、次いで、中間構造体全体の上に応力層を配置するステップと、を含む。
別の実施形態によれば、本発明は、同じ基板上に配置され、同じ導電型であり、それぞれの側壁スペーサを含む、第1電界効果トランジスタ及び第2電界効果トランジスタと、第1電界効果トランジスタの側壁スペーサ上にのみ配置された付加的なスペーサであって、応力フィルムが第1電界効果トランジスタ、付加的なスペーサ、及び第2電界効果トランジスタの上に配置されて、第1電界効果トランジスタのチャネル内に生じる最大応力が第2電界効果トランジスタのチャネル内に生じる最大応力とは異なるものになる、付加的なスペーサと、を含む半導体デバイス構造体を提供する。
本発明の1つの好ましい実施形態においては、第1電界効果トランジスタのチャネル内に生じる最大応力は第2電界効果トランジスタのチャネル内に生じる最大応力より小さい。
本発明の付加的な特徴及び利点は、以下の詳細な説明を、以下の図面と併せて読まれたときにより容易に明らかになるであろう。
本発明の実施形態は、例示的なものとして、添付図面を参照しながら、以下に詳細に説明される。
ここで、2つのnFETを含む半導体デバイス構造体を製造するための本発明の好ましい実施形態及び最良の形態を、図1から図7を参照して説明する。しかし、当業者であれば、本開示を考慮すると、本出願者の方法は、2つのpFETを含む半導体デバイス構造体を製造するのにも適用可能であることが理解される。
最初に図1を参照すると、第1側壁スペーサSPを備えるゲートG1と、第2側壁スペーサSPを備える第2ゲートG2と、第1ゲート及び第2ゲートに隣接して形成され、好適に注入されたそれぞれのソース/ドレイン領域S、Dと、第1ゲートG1と第2ゲートG2との中間に配置された分離領域STIと、第1ゲート、第2ゲート、並びにそれぞれのソース領域及びドレイン領域の上に配置されたシリサイドとがその上に形成された基板10が提供されている。
半導体基板10は、例えば、バルク・シリコン(Si)基板又はシリコン・オン・インシュレータ(SOI)基板である。或いは、基板10は1つより多い表面配向を含むハイブリッド基板である。或いは、基板はGe、又はIII−V族元素或いはII−V族元素の任意の組み合わせのような、Si以外の半導体材料を含む。
最初の(従来の)基板洗浄手順の後で、分離法が実行される。半導体製造において周知のように、分離法は、選択された半導体デバイスを電気的に互いから分離するために用いられる。分離法は、標準型又は改良型の浅トレンチ分離(STI)法である。分離領域STIは、図1に示されている。或いは、分離は、半導体デバイス製造技術で周知のように、LOCOSプロセス又はメサ分離法を用いて達成される。半導体デバイス製造のための種々の既知の又は従来のプロセスに関しては、例えばS.M.Szeによる非特許文献1を参照されたい。
分離領域STIが形成された後で、従来のゲート酸化物前洗浄プロセスが実行される。既知の(例えば、論理のような)高性能又は(例えば、メモリ又はアナログのような)低性能製造プロセスの場合にそうであるように、種々の従来のゲート酸化物プロセスを、異なるゲート酸化物厚さを有するデバイスの製造に用いることができる。例えば、ゲート酸化物3は、従来の熱酸化プロセスを用いて形成される。ゲート酸化物3は、NO、NO、O又はそれらの任意の組み合わせを用いて形成される。酸化膜3は、従来のプラズマ・プロセスを用いて窒化することができる。或いは、ゲート酸化物3は、ベース酸化物を用いて形成され、その後で、酸化アルミニウム、酸化ハフニウム、又は別の高kゲート誘電体のような高kゲート誘電体材料の堆積が続く。例えば、ゲート誘電体材料は、約(±10%)0.6nmから約.7nmまでの範囲から選択された、1つのほぼ(±10%)一様な厚さを有する。
次にゲート電極又はゲートG1、G2は、従来の方法で形成される。各々のゲートG1、G2は、シラン(SiH)のような反応ガスを用いる低圧化学気相堆積(LPCVD)によって堆積されるポリシリコン層(図示せず)から形成されることが好ましい。層の厚さは、約1000から3000オングストロームまでの間である。層は次にヒ素(As75)又はリン(P31)をイオン注入することによって導電的にn型ドープされる。注入後の層の最終ドーパント濃度は、約1.0×1018から1.0×1021イオン/cmまでの間であることが好ましい。従来のフォトリソグラフィ技術及び異方性プラズマ・エッチングがポリシリコン層にパターン形成するのに用いられ、これはデバイス領域上にゲート電極を形成することを含む。ポリシリコン層は、反応性イオン・エッチング(RIE)又は高密度プラズマ(HDP)エッチング及び塩素(Cl)のようなエッチングガスを用いて、プラズマ・エッチングされる。
フォトレジスト・マスク(図示せず)を、例えば酸素(O)中でのプラズマ・エッチングによって除去した後で、低濃度ドープされたソース及びドレイン(LDD)又は延長領域(図示せず)が、As又はPといった第2の導電型ドーパントを用いて、イオン注入によって前述のゲート電極に隣接するデバイス領域内に形成される。ポリシリコン側壁の再酸化又はオフセット・スペーサを用いて、LDD注入をオフセットすることができる。通常、LDD領域は、約1.0×1019から5.0×1020原子/cmまでの間の濃度にドープされる。次に、コンフォーマルな絶縁層(図示せず)が、従来の技術により堆積され、異方性プラズマエッチバックを行ってゲート電極G1、G2の側壁上に側壁スペーサSPを形成する。
通常、絶縁層は酸化シリコン(SiO)であり、反応ガスとしてテトラエトシロキサン(TEOS)を用いる低圧CVD(LPCVD)によって堆積され、約200から1000オングストロームまでの好ましい厚さに堆積される。他の選択肢は、窒化物スペーサ又は窒化物及び酸化物材料をもつ複数のスペーサの組み合わせを含む。
次に従来のエッチバックがRIE及び四フッ化炭素(CF)、水素(H2)、又はフッ化メチル(CHF)のようなエッチングガスを用いて実行され、SiO層を、選択的に、シリコン基板及びポリシリコン・ゲート電極までエッチングする。次に、高濃度ドープされたソース及びドレイン・コンタクト領域S、Dが、ヒ素のような第2の導電型ドーパントをイオン注入することによって、絶縁側壁スペーサSPに隣接するデバイス領域内に形成される。コンタクト領域は1.0×1018及び1.0×1021原子/cmの最終濃度にドープされる。ソース/ドレイン・コンタクト領域及びポリシリコン・ゲート電極の露出された上面上に残るあらゆる残留自然酸化物は、例えばフッ化水素酸希釈溶液中での浸漬エッチングを用いて除去される。
次に両方のnFETが任意の従来の方法でシリサイド化され、それによって図1に示される場所にシリサイドが形成される。図1のより詳細な構造体については、例えば、図8に示される従来技術のnFETデバイス構造に示され、引用により本明細書に前に組み入れられた特許文献1に説明される。
上記の図1の説明は、nFETに対するものである。当然、当業者であれば、本開示を考慮すると、pFETが用いられるときにはドーパントの極性の型が逆になり、プロセス中には従来のマスキング、パターン形成等が必要とされることが理解される。
次に図2を参照すると、第1のコンフォーマルな誘電体層又は膜20は、基板10の上に堆積される。より具体的には、第1スペーサSPを備えるシリサイド化された第1ゲート、第2スペーサSPを備えるシリサイド化された第2ゲート、シリサイド化されたそれぞれのソース/ドレイン領域、及び分離領域STIの上に、第1のコンフォーマルな誘電体層又は層20を堆積する。層20は、窒化シリコンであることが好ましく、約20ナノメートルから約70ナノメートルまでの厚さの範囲から選択された、1つのほぼ(±10%)一様な厚さに堆積される。例えば、Siは、CVD、HDP、ALD又は他の従来の堆積技術によって堆積することができる。
次に図3を参照すると、第1スペーサSPを備えるシリサイド化された第1ゲートG1、シリサイド化されたそれぞれのソース/ドレイン領域S、D、及びSTI領域の一部の上に配置された誘電体層20を覆う。この覆うステップは、例えば従来のフォトレジスト・マスク(図示せず)を堆積することによって達成される。
次に、覆われていない領域、すなわち、スペーサを備えるシリサイド化された第2ゲートG2、シリサイド化されたそれぞれのソース/ドレイン領域、及びSTI領域の一部から層20を除去する。その結果生じる構造体は図3に示される。除去は、例えば従来のRIEによって達成される。次に、ストリッピング又はエッチングのような従来の技術を用いて、フォトレジスト・マスク(図示せず)を除去する。
フォトレジスト・マスクを除去した後で、スペーサを備えるシリサイド化されたゲートG2、隣接するシリサイド化された領域S、D、及びSTI領域の別の部分を覆う。従来のフォトレジスト・マスク(図示せず)は、シリサイド化されたゲートG2等を覆うために用いられる。次に、シリサイド化されたゲートG1、シリサイド化されたS、D、STI、及び図4に示すスペーサSP上に配置された層20の部分は除いたスペーサSPから、層20を選択的に除去して、シリサイド化されたゲートG1を有するnFETのスペーサSP上においてのみ、付加的なスペーサ又は逆応力近接スペーサRSPSを形成する。1つのデバイスに対して、スペーサRSPS及び側壁スペーサSPの最大合計幅(2W)は、90nm製造技術の場合には50nm以下であり、65nm製造技術の場合には30nm以下であることが好ましい。層20の除去は、RIEのような従来のエッチングによって達成される。
付加的なスペーサRSPSの形成後、適切な応力フィルム又は層30を、中間構造体全体の上に堆積して、図5に示される半導体デバイス構造体を形成する。層30は、例えば、窒化シリコン又は炭化シリコンであり、約10nmから約100nmまでの厚さの範囲から選択された、1つのほぼ(±10%)一様な厚さにコンフォーマルに堆積される。種々の応力フィルム又は層の組成、及びイオン堆積技術に関しては、例えばF.Ootsuka他による2000年IEDM発行の非特許文献2を参照されたい。
従って、一般的には、本発明による方法は、シリサイド化の後で、FET上にスペーサRSPSを選択的に形成して、応力ライナ/層の近接性、従ってFETデバイスの移動/駆動電流を制御する。
層30、側壁スペーサSP、及び付加的なスペーサRSPSは、シリサイド化されたゲートG1を有するデバイスのチャネルCに、適切な第1応力を生成するのに役立つ。例えば、図6の図表を参照されたい。図5及び図6から理解されるように、第1応力は、シリサイド化されたゲートG2を有するデバイスのチャネルCで生成された応力とは異なる(好ましくは、それよりも小さい)。
図7は、シリサイド化されたゲートG11、G22を有する2つのpFETと、ゲートG11の側壁スペーサ上にのみ配置されたスペーサRSPSと、構造体全体の上に配置された応力層300とを含む、結果として得られる半導体デバイス構造体の概略図である。
本発明は、その好ましい実施形態を参照することで特定的に示され、説明されたが、当業者であれば、本発明の範囲から逸脱することなく、形態及び詳細に種々の変更を加えることができることが理解される。
それぞれのチャネルCを有する2つのnFETを含み、側壁スペーサSP及び付加的なスペーサRSPSは組み合わされた最大幅Wを有する2つのデバイス構造体を製造するのに用いられるときの、本発明の1つの好ましい実施形態による種々の連続するステップによりもたらされる半導体デバイス構造体の概略側面図である。 それぞれのチャネルCを有する2つのnFETを含み、側壁スペーサSP及び付加的なスペーサRSPSは組み合わされた最大幅Wを有する2つのデバイス構造体を製造するのに用いられるときの、本発明の1つの好ましい実施形態による種々の連続するステップによりもたらされる半導体デバイス構造体の概略側面図である。 それぞれのチャネルCを有する2つのnFETを含み、側壁スペーサSP及び付加的なスペーサRSPSは組み合わされた最大幅Wを有する2つのデバイス構造体を製造するのに用いられるときの、本発明の1つの好ましい実施形態による種々の連続するステップによりもたらされる半導体デバイス構造体の概略側面図である。 それぞれのチャネルCを有する2つのnFETを含み、側壁スペーサSP及び付加的なスペーサRSPSは組み合わされた最大幅Wを有する2つのデバイス構造体を製造するのに用いられるときの、本発明の1つの好ましい実施形態による種々の連続するステップによりもたらされる半導体デバイス構造体の概略側面図である。 それぞれのチャネルCを有する2つのnFETを含み、側壁スペーサSP及び付加的なスペーサRSPSは組み合わされた最大幅Wを有する2つのデバイス構造体を製造するのに用いられるときの、本発明の1つの好ましい実施形態による種々の連続するステップによりもたらされる半導体デバイス構造体の概略側面図である。 90nm技術及び50nmの1.2GPa応力フィルム30に関して、1つのデバイスのチャネルにもたらされる最大応力と、両方の付加的なスペーサRSPS及び両方の従来の側壁スペーサ(SP)の組み合わされた最大幅(2w)との間の関係を示す、例示的な凡例をもつ図である。 本発明による、2つのpFETを含む半導体デバイス構造体の概略側面図である。 従来技術によるnFET概略側面図である。

Claims (20)

  1. 半導体デバイス構造体を製造するための方法であって、
    基板を提供するステップと、
    第1スペーサを備える第1ゲート、第2スペーサを備える第2ゲート、前記第1ゲート及び前記第2ゲートに隣接する同じ導電型のそれぞれのソース/ドレイン領域、前記第1ゲートと前記第2ゲートとの中間に配置された分離領域、並びに、前記第1ゲート、前記第2ゲート、及びそれぞれの前記ソース/ドレイン領域の上のシリサイドを、前記基板上に形成するステップと、
    中間構造体を製造するために前記第1スペーサ上にのみ付加的なスペーサを形成し、次いで、前記中間構造体全体の上に応力層を配置するステップと
    を含む方法。
  2. 前記付加的なスペーサを形成するステップは、
    前記第1スペーサを備えるシリサイド化された前記第1ゲート、前記第2スペーサを備えるシリサイド化された前記第2ゲート、シリサイド化されたそれぞれの前記ソース/ドレイン領域、及び前記分離領域の上に第1誘電体層を配置するステップと、
    前記第1スペーサを備えるシリサイド化された前記第1ゲート、前記第1ゲートに隣接するシリサイド化されたそれぞれの前記ソース/ドレイン領域、及び前記分離領域の一部の上に配置された前記第1誘電体層を覆い、次に前記第1誘電体層を前記覆うステップによって覆われなかった前記構造体の部分から除去するステップと
    をさらに含む、請求項1に記載の方法。
  3. 前記除去するステップは、前記第1誘電体層を前記構造体の前記部分から異方性エッチングするステップを含む、請求項2に記載の方法。
  4. 前記スペーサを備えるシリサイド化された前記第1ゲート、前記第1ゲートに隣接するシリサイド化されたそれぞれの前記ソース/ドレイン領域、及び前記分離領域の前記一部の上に配置された前記第1誘電体層の覆いを取るステップと、
    前記第2スペーサを備えるシリサイド化された前記第2ゲート、前記第2ゲートに隣接するシリサイド化されたそれぞれの前記ソース/ドレイン領域、及び前記分離領域の別の一部の上に配置された前記第1誘電体層を覆うステップと、
    前記付加的なスペーサを形成するために、前記第1スペーサ上に配置された特定の部分を除いて前記第1誘電体層を除去するステップと
    をさらに含む、請求項2に記載の方法。
  5. 前記異方性エッチングするステップは、前記第1誘電体層を反応性イオン・エッチングするステップを含む、請求項3に記載の方法。
  6. 前記第1スペーサは60ナノメートル以下の最大幅を有する、請求項1に記載の方法。
  7. 前記付加的なスペーサの各々は、15nm、30nm、及び50nmから成る群から選択される最大幅を有する、請求項1に記載の方法。
  8. 前記配置するステップは、本質的に、窒化ケイ素及び炭化ケイ素から成る群から選択される応力層を堆積させるステップをさらに含む、請求項1に記載の方法。
  9. 前記第1誘電体層を配置するステップは、本質的に、窒化シリコン、炭化シリコン、及び二酸化シリコンから成る群から選択される前記第1誘電体層を堆積させるステップをさらに含む、請求項2に記載の方法。
  10. 前記第1スペーサ及び前記第1誘電体層は共に70nm以下の最大厚さを有する、請求項2に記載の方法。
  11. 同じ導電型はn型である、請求項1に記載の方法。
  12. 同じ導電型はp型である、請求項1に記載の方法。
  13. 同じ基板上に配置され、同じ導電型であり、それぞれの側壁スペーサを含む、第1電界効果トランジスタ及び第2電界効果トランジスタと、
    前記第1電界効果トランジスタの前記側壁スペーサ上にのみ配置された付加的なスペーサであって、応力フィルムが前記第1電界効果トランジスタ、前記付加的なスペーサ、及び前記第2電界効果トランジスタの上に配置されて、前記第1電界効果トランジスタのチャネル内に生じる最大応力が前記第2電界効果トランジスタのチャネル内に生じる最大応力とは異なるものになる、付加的なスペーサと
    を含む半導体デバイス構造体。
  14. 前記第1電界効果トランジスタのチャネル内にもたらされる最大応力は、前記第2電界効果トランジスタのチャネル内にもたらされる最大応力より小さい、請求項13に記載の半導体デバイス構造体。
  15. 前記第1電界効果トランジスタは第1nFETであり、前記第2電界効果トランジスタは第2nFETである、請求項13に記載の半導体デバイス構造体。
  16. 前記第1電界効果トランジスタは第1pFETであり、前記第2電界効果トランジスタは第2pFETである、請求項13に記載の半導体デバイス構造。
  17. 前記付加的なスペーサ及び前記応力フィルムは本質的に窒化シリコンから成る、請求項13に記載の半導体デバイス構造体。
  18. 前記付加的なスペーサは前記応力フィルムの化学組成とは異なる化学組成を有する、請求項13に記載の半導体デバイス構造体。
  19. 前記付加的なスペーサの各々は複数の一体部品を含む、請求項13に記載の半導体デバイス構造体。
  20. 前記複数は2つである、請求項19に記載の半導体デバイス構造。
JP2008548966A 2006-01-09 2006-12-20 半導体デバイス構造体を製造するための方法 Expired - Fee Related JP4939548B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/306,716 US7776695B2 (en) 2006-01-09 2006-01-09 Semiconductor device structure having low and high performance devices of same conductive type on same substrate
US11/306,716 2006-01-09
PCT/EP2006/069984 WO2007080048A1 (en) 2006-01-09 2006-12-20 Semiconductor device structure having low and high performance devices of same conductive type on same substrate

Publications (2)

Publication Number Publication Date
JP2009522796A true JP2009522796A (ja) 2009-06-11
JP4939548B2 JP4939548B2 (ja) 2012-05-30

Family

ID=37896026

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008548966A Expired - Fee Related JP4939548B2 (ja) 2006-01-09 2006-12-20 半導体デバイス構造体を製造するための方法

Country Status (7)

Country Link
US (1) US7776695B2 (ja)
EP (1) EP1972006A1 (ja)
JP (1) JP4939548B2 (ja)
KR (1) KR101033700B1 (ja)
CN (1) CN101322239A (ja)
TW (1) TW200731422A (ja)
WO (1) WO2007080048A1 (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008130963A (ja) * 2006-11-24 2008-06-05 Sharp Corp 半導体装置及びその製造方法
JP2008182022A (ja) * 2007-01-24 2008-08-07 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
JP2011049361A (ja) * 2009-08-27 2011-03-10 Toshiba Corp 半導体記憶装置及びその製造方法
JP2012523691A (ja) * 2009-04-08 2012-10-04 ザイリンクス インコーポレイテッド ストレス低減層を有する集積回路装置
JP2013229597A (ja) * 2012-04-25 2013-11-07 Samsung Electronics Co Ltd 応力近接効果を有する集積回路

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7445978B2 (en) * 2005-05-04 2008-11-04 Chartered Semiconductor Manufacturing, Ltd Method to remove spacer after salicidation to enhance contact etch stop liner stress on MOS
US7888197B2 (en) * 2007-01-11 2011-02-15 International Business Machines Corporation Method of forming stressed SOI FET having doped glass box layer using sacrificial stressed layer
CN103178011A (zh) * 2011-12-22 2013-06-26 中芯国际集成电路制造(上海)有限公司 Cmos及其形成方法

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003151991A (ja) * 2001-08-23 2003-05-23 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
JP2003273240A (ja) * 2002-03-19 2003-09-26 Hitachi Ltd 半導体装置及びその製造方法
JP2004235332A (ja) * 2003-01-29 2004-08-19 Fujitsu Ltd 半導体装置
JP2005286341A (ja) * 2004-03-30 2005-10-13 Samsung Electronics Co Ltd 低ノイズ及び高性能のlsi素子、レイアウト及びその製造方法
JP2005294360A (ja) * 2004-03-31 2005-10-20 Nec Electronics Corp 半導体装置の製造方法
WO2005119760A1 (en) * 2004-05-28 2005-12-15 Advanced Micro Devices, Inc. Technique for creating different mechanical stress in different channel regions by forming an etch stop layer having differently modified intrinsic stress
JP2007536736A (ja) * 2004-05-05 2007-12-13 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド チャネルキャリア移動度向上のための高応力ライナーを備えたSi−Geに基づく半導体デバイス

Family Cites Families (88)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3602841A (en) 1970-06-18 1971-08-31 Ibm High frequency bulk semiconductor amplifiers and oscillators
US4853076A (en) 1983-12-29 1989-08-01 Massachusetts Institute Of Technology Semiconductor thin films
US4665415A (en) 1985-04-24 1987-05-12 International Business Machines Corporation Semiconductor device with hole conduction via strained lattice
ATE59917T1 (de) 1985-09-13 1991-01-15 Siemens Ag Integrierte bipolar- und komplementaere mostransistoren auf einem gemeinsamen substrat enthaltende schaltung und verfahren zu ihrer herstellung.
JPS6476755A (en) 1987-09-18 1989-03-22 Hitachi Ltd Semiconductor device
US4958213A (en) 1987-12-07 1990-09-18 Texas Instruments Incorporated Method for forming a transistor base region under thick oxide
US5354695A (en) 1992-04-08 1994-10-11 Leedy Glenn J Membrane dielectric isolation IC fabrication
US5459346A (en) 1988-06-28 1995-10-17 Ricoh Co., Ltd. Semiconductor substrate with electrical contact in groove
US5006913A (en) 1988-11-05 1991-04-09 Mitsubishi Denki Kabushiki Kaisha Stacked type semiconductor device
US5108843A (en) 1988-11-30 1992-04-28 Ricoh Company, Ltd. Thin film semiconductor and process for producing the same
US4952524A (en) 1989-05-05 1990-08-28 At&T Bell Laboratories Semiconductor device manufacture including trench formation
US5310446A (en) 1990-01-10 1994-05-10 Ricoh Company, Ltd. Method for producing semiconductor film
US5060030A (en) 1990-07-18 1991-10-22 Raytheon Company Pseudomorphic HEMT having strained compensation layer
US5081513A (en) 1991-02-28 1992-01-14 Xerox Corporation Electronic device with recovery layer proximate to active layer
US5371399A (en) 1991-06-14 1994-12-06 International Business Machines Corporation Compound semiconductor having metallic inclusions and devices fabricated therefrom
US5134085A (en) 1991-11-21 1992-07-28 Micron Technology, Inc. Reduced-mask, split-polysilicon CMOS process, incorporating stacked-capacitor cells, for fabricating multi-megabit dynamic random access memories
US5391510A (en) 1992-02-28 1995-02-21 International Business Machines Corporation Formation of self-aligned metal gate FETs using a benignant removable gate material during high temperature steps
US6008126A (en) 1992-04-08 1999-12-28 Elm Technology Corporation Membrane dielectric isolation IC fabrication
US5561302A (en) 1994-09-26 1996-10-01 Motorola, Inc. Enhanced mobility MOSFET device and method
US5679965A (en) 1995-03-29 1997-10-21 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact, non-nitride buffer layer and methods of fabricating same
US5670798A (en) 1995-03-29 1997-09-23 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact non-nitride buffer layer and methods of fabricating same
US5557122A (en) 1995-05-12 1996-09-17 Alliance Semiconductors Corporation Semiconductor electrode having improved grain structure and oxide growth properties
KR100213196B1 (ko) 1996-03-15 1999-08-02 윤종용 트렌치 소자분리
US6403975B1 (en) 1996-04-09 2002-06-11 Max-Planck Gesellschaft Zur Forderung Der Wissenschafteneev Semiconductor components, in particular photodetectors, light emitting diodes, optical modulators and waveguides with multilayer structures grown on silicon substrates
US5880040A (en) 1996-04-15 1999-03-09 Macronix International Co., Ltd. Gate dielectric based on oxynitride grown in N2 O and annealed in NO
US5882973A (en) * 1997-01-27 1999-03-16 Advanced Micro Devices, Inc. Method for forming an integrated circuit having transistors of dissimilarly graded junction profiles
US5861651A (en) 1997-02-28 1999-01-19 Lucent Technologies Inc. Field effect devices and capacitors with improved thin film dielectrics and method for making same
US5940736A (en) 1997-03-11 1999-08-17 Lucent Technologies Inc. Method for forming a high quality ultrathin gate oxide layer
US6309975B1 (en) 1997-03-14 2001-10-30 Micron Technology, Inc. Methods of making implanted structures
US6025280A (en) 1997-04-28 2000-02-15 Lucent Technologies Inc. Use of SiD4 for deposition of ultra thin and controllable oxides
JPH1167927A (ja) * 1997-06-09 1999-03-09 Mitsubishi Electric Corp 半導体装置およびその製造方法
US5960297A (en) 1997-07-02 1999-09-28 Kabushiki Kaisha Toshiba Shallow trench isolation structure and method of forming the same
JP3139426B2 (ja) 1997-10-15 2001-02-26 日本電気株式会社 半導体装置
US6066545A (en) 1997-12-09 2000-05-23 Texas Instruments Incorporated Birdsbeak encroachment using combination of wet and dry etch for isolation nitride
US6274421B1 (en) 1998-01-09 2001-08-14 Sharp Laboratories Of America, Inc. Method of making metal gate sub-micron MOS transistor
KR100257075B1 (ko) * 1998-01-13 2000-05-15 김영환 반도체 소자 및 그의 제조방법
KR100275908B1 (ko) 1998-03-02 2000-12-15 윤종용 집적 회로에 트렌치 아이솔레이션을 형성하는방법
US6361885B1 (en) 1998-04-10 2002-03-26 Organic Display Technology Organic electroluminescent materials and device made from such materials
US6165383A (en) 1998-04-10 2000-12-26 Organic Display Technology Useful precursors for organic electroluminescent materials and devices made from such materials
US5989978A (en) 1998-07-16 1999-11-23 Chartered Semiconductor Manufacturing, Ltd. Shallow trench isolation of MOSFETS with reduced corner parasitic currents
JP4592837B2 (ja) 1998-07-31 2010-12-08 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US6319794B1 (en) 1998-10-14 2001-11-20 International Business Machines Corporation Structure and method for producing low leakage isolation devices
US6235598B1 (en) 1998-11-13 2001-05-22 Intel Corporation Method of using thick first spacers to improve salicide resistance on polysilicon gates
US6117722A (en) 1999-02-18 2000-09-12 Taiwan Semiconductor Manufacturing Company SRAM layout for relaxing mechanical stress in shallow trench isolation technology and method of manufacture thereof
US6255169B1 (en) 1999-02-22 2001-07-03 Advanced Micro Devices, Inc. Process for fabricating a high-endurance non-volatile memory device
US6284626B1 (en) 1999-04-06 2001-09-04 Vantis Corporation Angled nitrogen ion implantation for minimizing mechanical stress on side walls of an isolation trench
US6362082B1 (en) 1999-06-28 2002-03-26 Intel Corporation Methodology for control of short channel effects in MOS transistors
US6656822B2 (en) 1999-06-28 2003-12-02 Intel Corporation Method for reduced capacitance interconnect system using gaseous implants into the ILD
US6281532B1 (en) 1999-06-28 2001-08-28 Intel Corporation Technique to obtain increased channel mobilities in NMOS transistors by gate electrode engineering
US6228694B1 (en) 1999-06-28 2001-05-08 Intel Corporation Method of increasing the mobility of MOS transistors by use of localized stress regions
KR100332108B1 (ko) 1999-06-29 2002-04-10 박종섭 반도체 소자의 트랜지스터 및 그 제조 방법
TW426940B (en) 1999-07-30 2001-03-21 United Microelectronics Corp Manufacturing method of MOS field effect transistor
US6483171B1 (en) 1999-08-13 2002-11-19 Micron Technology, Inc. Vertical sub-micron CMOS transistors on (110), (111), (311), (511), and higher order surfaces of bulk, SOI and thin film structures and method of forming same
US6284623B1 (en) 1999-10-25 2001-09-04 Peng-Fei Zhang Method of fabricating semiconductor devices using shallow trench isolation with reduced narrow channel effect
US6476462B2 (en) 1999-12-28 2002-11-05 Texas Instruments Incorporated MOS-type semiconductor device and method for making same
US6221735B1 (en) 2000-02-15 2001-04-24 Philips Semiconductors, Inc. Method for eliminating stress induced dislocations in CMOS devices
US6531369B1 (en) 2000-03-01 2003-03-11 Applied Micro Circuits Corporation Heterojunction bipolar transistor (HBT) fabrication using a selectively deposited silicon germanium (SiGe)
US6368931B1 (en) 2000-03-27 2002-04-09 Intel Corporation Thin tensile layers in shallow trench isolation and method of making same
US6493497B1 (en) 2000-09-26 2002-12-10 Motorola, Inc. Electro-optic structure and process for fabricating same
US6501121B1 (en) 2000-11-15 2002-12-31 Motorola, Inc. Semiconductor structure
US7312485B2 (en) 2000-11-29 2007-12-25 Intel Corporation CMOS fabrication process utilizing special transistor orientation
US6563152B2 (en) 2000-12-29 2003-05-13 Intel Corporation Technique to obtain high mobility channels in MOS transistors by forming a strain layer on an underside of a channel
US20020086497A1 (en) 2000-12-30 2002-07-04 Kwok Siang Ping Beaker shape trench with nitride pull-back for STI
US6265317B1 (en) 2001-01-09 2001-07-24 Taiwan Semiconductor Manufacturing Company Top corner rounding for shallow trench isolation
US6500765B2 (en) * 2001-03-23 2002-12-31 United Microelectronics Corp. Method for manufacturing dual-spacer structure
US6403486B1 (en) 2001-04-30 2002-06-11 Taiwan Semiconductor Manufacturing Company Method for forming a shallow trench isolation
US6531740B2 (en) 2001-07-17 2003-03-11 Motorola, Inc. Integrated impedance matching and stability network
US6498358B1 (en) 2001-07-20 2002-12-24 Motorola, Inc. Structure and method for fabricating an electro-optic system having an electrochromic diffraction grating
US6908810B2 (en) 2001-08-08 2005-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of preventing threshold voltage of MOS transistor from being decreased by shallow trench isolation formation
JP2003060076A (ja) 2001-08-21 2003-02-28 Nec Corp 半導体装置及びその製造方法
US6890824B2 (en) * 2001-08-23 2005-05-10 Matsushita Electric Industrial Co., Ltd. Semiconductor device and manufacturing method thereof
EP1428262A2 (en) 2001-09-21 2004-06-16 Amberwave Systems Corporation Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same
US20030057184A1 (en) 2001-09-22 2003-03-27 Shiuh-Sheng Yu Method for pull back SiN to increase rounding effect in a shallow trench isolation process
US6656798B2 (en) 2001-09-28 2003-12-02 Infineon Technologies, Ag Gate processing method with reduced gate oxide corner and edge thinning
US6635506B2 (en) 2001-11-07 2003-10-21 International Business Machines Corporation Method of fabricating micro-electromechanical switches on CMOS compatible substrates
US6461936B1 (en) 2002-01-04 2002-10-08 Infineon Technologies Ag Double pullback method of filling an isolation trench
US6621392B1 (en) 2002-04-25 2003-09-16 International Business Machines Corporation Micro electromechanical switch having self-aligned spacers
US6806584B2 (en) 2002-10-21 2004-10-19 International Business Machines Corporation Semiconductor device structure including multiple fets having different spacer widths
US6717216B1 (en) 2002-12-12 2004-04-06 International Business Machines Corporation SOI based field effect transistor having a compressive film in undercut area under the channel and a method of making the device
US6930030B2 (en) 2003-06-03 2005-08-16 International Business Machines Corporation Method of forming an electronic device on a recess in the surface of a thin film of silicon etched to a precise thickness
US7279746B2 (en) 2003-06-30 2007-10-09 International Business Machines Corporation High performance CMOS device structures and method of manufacture
US6890808B2 (en) 2003-09-10 2005-05-10 International Business Machines Corporation Method and structure for improved MOSFETs using poly/silicide gate height control
US6869866B1 (en) 2003-09-22 2005-03-22 International Business Machines Corporation Silicide proximity structures for CMOS device performance improvements
KR101025761B1 (ko) 2004-03-30 2011-04-04 삼성전자주식회사 디지탈 회로 및 아날로그 회로를 가지는 반도체 집적회로및 그 제조 방법
US7190033B2 (en) 2004-04-15 2007-03-13 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS device and method of manufacture
US6984564B1 (en) * 2004-06-24 2006-01-10 International Business Machines Corporation Structure and method to improve SRAM stability without increasing cell area or off current
US7446062B2 (en) * 2004-12-10 2008-11-04 International Business Machines Corporation Device having dual etch stop liner and reformed silicide layer and related methods
US7445978B2 (en) * 2005-05-04 2008-11-04 Chartered Semiconductor Manufacturing, Ltd Method to remove spacer after salicidation to enhance contact etch stop liner stress on MOS

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003151991A (ja) * 2001-08-23 2003-05-23 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
JP2003273240A (ja) * 2002-03-19 2003-09-26 Hitachi Ltd 半導体装置及びその製造方法
JP2004235332A (ja) * 2003-01-29 2004-08-19 Fujitsu Ltd 半導体装置
JP2005286341A (ja) * 2004-03-30 2005-10-13 Samsung Electronics Co Ltd 低ノイズ及び高性能のlsi素子、レイアウト及びその製造方法
JP2005294360A (ja) * 2004-03-31 2005-10-20 Nec Electronics Corp 半導体装置の製造方法
JP2007536736A (ja) * 2004-05-05 2007-12-13 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド チャネルキャリア移動度向上のための高応力ライナーを備えたSi−Geに基づく半導体デバイス
WO2005119760A1 (en) * 2004-05-28 2005-12-15 Advanced Micro Devices, Inc. Technique for creating different mechanical stress in different channel regions by forming an etch stop layer having differently modified intrinsic stress

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008130963A (ja) * 2006-11-24 2008-06-05 Sharp Corp 半導体装置及びその製造方法
JP2008182022A (ja) * 2007-01-24 2008-08-07 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
JP2012523691A (ja) * 2009-04-08 2012-10-04 ザイリンクス インコーポレイテッド ストレス低減層を有する集積回路装置
JP2011049361A (ja) * 2009-08-27 2011-03-10 Toshiba Corp 半導体記憶装置及びその製造方法
US8278717B2 (en) 2009-08-27 2012-10-02 Kabushiki Kaisha Toshiba Semiconductor memory device and method of manufacturing the same
JP2013229597A (ja) * 2012-04-25 2013-11-07 Samsung Electronics Co Ltd 応力近接効果を有する集積回路

Also Published As

Publication number Publication date
TW200731422A (en) 2007-08-16
JP4939548B2 (ja) 2012-05-30
EP1972006A1 (en) 2008-09-24
CN101322239A (zh) 2008-12-10
US20070158753A1 (en) 2007-07-12
US7776695B2 (en) 2010-08-17
WO2007080048A1 (en) 2007-07-19
KR20080082998A (ko) 2008-09-12
KR101033700B1 (ko) 2011-05-09

Similar Documents

Publication Publication Date Title
US7635620B2 (en) Semiconductor device structure having enhanced performance FET device
US9299719B2 (en) CMOS with dual raised source and drain for NMOS and PMOS
JP4375619B2 (ja) 半導体装置の製造方法
US7781290B2 (en) Complementary metal-oxide semiconductor (CMOS) devices including a thin-body channel and dual gate dielectric layers and methods of manufacturing the same
US6992357B2 (en) Semiconductor device and method of manufacturing the same
CN108470733B (zh) 半导体装置制造方法
US7075150B2 (en) Ultra-thin Si channel MOSFET using a self-aligned oxygen implant and damascene technique
JP4939548B2 (ja) 半導体デバイス構造体を製造するための方法
US20070228473A1 (en) ULTRA-THIN Si MOSFET DEVICE STRUCTURE AND METHOD OF MANUFACTURE
JP2009503902A (ja) 半導体金属合金への完全変換により得られる金属ゲートmosfet及びその製造方法
JP2006278488A (ja) 半導体装置及びその製造方法
JP2004079874A (ja) 半導体装置及びその製造方法
JP2008103579A (ja) 半導体装置及びその製造方法
WO2012035684A1 (ja) 半導体装置及びその製造方法
US20010053580A1 (en) Inverted MOSFET process
JPH1145995A (ja) 半導体装置およびその製造方法
JP2004281494A (ja) 半導体装置の製造方法
JP5676111B2 (ja) 半導体装置及びその製造方法
US7439123B2 (en) Low resistance contact semiconductor device structure
JP4152265B2 (ja) 半導体装置の製造方法
JP2006073859A (ja) 半導体装置及びその製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090917

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110902

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110913

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111111

RD12 Notification of acceptance of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7432

Effective date: 20111111

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20111112

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120207

RD14 Notification of resignation of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7434

Effective date: 20120207

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120224

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150302

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 4939548

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees