JP2009293134A - Electro-chemical deposition system - Google Patents

Electro-chemical deposition system Download PDF

Info

Publication number
JP2009293134A
JP2009293134A JP2009214692A JP2009214692A JP2009293134A JP 2009293134 A JP2009293134 A JP 2009293134A JP 2009214692 A JP2009214692 A JP 2009214692A JP 2009214692 A JP2009214692 A JP 2009214692A JP 2009293134 A JP2009293134 A JP 2009293134A
Authority
JP
Japan
Prior art keywords
electrolyte
wafer
substrate
anode
chemical
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2009214692A
Other languages
Japanese (ja)
Inventor
Yezdi Dordi
イェツディ ドーディ
Donald J Olgado
ドナルド ジェイ オルガド
Ratson Morad
ラットソン モラド
Peter Hey
ピーター ヘイ
Mark Denome
マーク デノーム
Michael Sugarman
マイケル シュガーマン
Mark Lloyd
マーク ロイド
Joseph Stevens
ジョー スティーヴンス
Dan Marohl
ダン マロール
Ho Seon Shin
ホー セオン シン
Eugene Ravinovich
ユージーン ラヴィノヴィッチ
Robin Cheung
ロビン チェウン
Ashok K Sinha
アショック ケイ シンハ
Avi Tepman
アヴィ テプマン
Dan Carl
ダン カール
George Birkmaier
ジョージ バークマイアー
Ben Shen
ベン シェン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/263,649 external-priority patent/US6254760B1/en
Priority claimed from US09/289,074 external-priority patent/US6258220B1/en
Priority claimed from US09/350,210 external-priority patent/US6267853B1/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2009293134A publication Critical patent/JP2009293134A/en
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/22Secondary treatment of printed circuits
    • H05K3/24Reinforcing the conductive pattern
    • H05K3/241Reinforcing the conductive pattern characterised by the electroplating method; means therefor, e.g. baths or apparatus

Abstract

<P>PROBLEM TO BE SOLVED: To provide an electro-chemical deposition system which is designed with a flexible architecture expandable to accommodate future designs and gap fill requirements and to provide satisfactory throughput to meet the demands of other processing systems. <P>SOLUTION: The electro-chemical deposition system generally includes: a mainframe having a mainframe wafer transfer robot; a loading station disposed so as to contact with the mainframe; one or more processing cells disposed so as to contact with the mainframe; and an electrolyte supply connected to the one or more processing cells with fluid. <P>COPYRIGHT: (C)2010,JPO&INPIT

Description

本発明は一般に、ウェーハ/基板上への金属層の堆積に関する。特に、本発明は、金属層をウェーハ/基板上に形成する電気化学堆積装置(electro-chemical deposition :ECDと略称されている)に関し、これは電気めっき装置とも呼ばれている。   The present invention generally relates to the deposition of a metal layer on a wafer / substrate. In particular, the present invention relates to an electrochemical deposition apparatus (abbreviated as ECD) for forming a metal layer on a wafer / substrate, which is also called an electroplating apparatus.

サブクォーターミクロン(0.25μm以下)のマルチレベルメタライゼーションは、超大規模集積(ULSI)デバイスの次世代の基幹技術のうちの1つである。この技術の核心部分であるマルチレベルインターコネクション(相互接続)は、高アスペクト比の孔に形成された構造的な相互接続特徴部の平坦化を必要とし、かかる構造的特徴部としては、コンタクト、バイア、ライン及び他の特徴部が挙げられる。これら相互接続特徴部の信頼性の高い形成は、ULSIの成功及び個々の基板及びダイ上の回路密度及び品質を向上させる技術的努力の継続にとって非常に重要である。   Sub-quarter micron (less than 0.25 μm) multi-level metallization is one of the next generation core technologies for ultra large scale integration (ULSI) devices. The core part of this technology, multilevel interconnection, requires the planarization of structural interconnect features formed in high aspect ratio holes, such as contacts, Vias, lines and other features are included. Reliable formation of these interconnect features is critical to the success of ULSI and continued technical efforts to improve circuit density and quality on individual substrates and dies.

回路密度の増大につれ、バイア、接点又はコンタクト及び他の特徴部並びにこれら相互の誘電体の幅は、250nm未満に減少し、これに対し誘電体層の厚さは実質的に一定のままであり、その結果、特徴部のアスペクト比、即ち、高さを幅で割った値が増大している。伝統的な薄膜形成法、例えば物理的気相成長(PCD)及び化学的気相成長(CVD)は、アスペクト比が4:1を越える場合、特に10:1を越える場合、構造的特徴部のフィリング(埋めること)が困難である。したがって、特徴部の高さと幅の比が4.1以上である高いアスペクト比を備えたボイドフリーのナノメートルサイズの特徴部の形成に向けて目下多大な努力がなされている。さらに、特徴部の幅の減少につれ、デバイス電流は、一定のままか又は増大しており、その結果、特徴部の電流密度が増大する。   As the circuit density increases, vias, contacts or contacts and other features and their mutual dielectric widths decrease to less than 250 nm, whereas the thickness of the dielectric layer remains substantially constant. As a result, the aspect ratio of the feature portion, that is, the value obtained by dividing the height by the width increases. Traditional thin film formation methods, such as physical vapor deposition (PCD) and chemical vapor deposition (CVD), can be used for structural features when the aspect ratio exceeds 4: 1, especially when the aspect ratio exceeds 10: 1. Filling is difficult. Therefore, great efforts are currently being made to form void-free nanometer-sized features with high aspect ratios where the height-to-width ratio of the features is 4.1 or higher. Furthermore, as the feature width decreases, the device current remains constant or increases, resulting in an increase in feature current density.

金属元素としてのアルミニウム(Al)及びその合金は、半導体処理においてライン及びプラグを形成するのに伝統的に用いられている金属である。というのは、アルミニウムの電気固有抵抗又は抵抗率はかなり低く、二酸化シリコン(SiO2 )への密着性が優れており、パターン形成が容易であり、しかも非常に純粋な形態で得ることができるからである。しかしながら、アルミニウムは、導電性のより高い他の金属、例えば銅よりは抵抗率が高く、アルミニウムも又、導体中にボイドを形成する原因となるエレクトロマイグレーションを生じるという欠点がある。 Aluminum (Al) as a metal element and its alloys are metals traditionally used to form lines and plugs in semiconductor processing. This is because the electrical resistivity or resistivity of aluminum is quite low, the adhesion to silicon dioxide (SiO 2 ) is excellent, the pattern formation is easy, and it can be obtained in a very pure form. It is. However, aluminum has a higher resistivity than other more conductive metals, such as copper, and aluminum also has the disadvantage of causing electromigration that causes voids to form in the conductor.

銅及びその合金は、アルミニウムよりも抵抗率が小さく、しかもアルミニウムと比較してエレクトロマイグレーションに対する耐性が著しく高い。これらの特徴は、高集積レベル及び高デバイス速度で生じる高い電流密度に耐える上で重要である。銅は又、熱伝導率が良好であり、非常に高い純度の状態で得ることができる。したがって、銅は、半導体基板上へのサブクォーターミクロンで高アスペクト比の相互接続特徴部のフィリングに向いた金属としての一選択肢である。   Copper and its alloys have a lower resistivity than aluminum and are significantly more resistant to electromigration than aluminum. These features are important in withstanding the high current densities that occur at high integration levels and high device speeds. Copper also has good thermal conductivity and can be obtained in a very high purity state. Thus, copper is an alternative metal for filling sub-quarter micron, high aspect ratio interconnect features on a semiconductor substrate.

半導体デバイスの製造に銅を用いることが望ましいことであるにもかかわらず、銅を非常に高いアスペクト比の構造的特徴部、例えばアスペクト比が4:1で幅が0.35μm(又はこれ以下)の特徴部中に堆積させる製造方法の選択枝は限られている。これらプロセス上の制約の結果として、従来は回路基板上への配線形成に限られていためっき法が今や、半導体デバイス上のバイア及びコンタクトのフィリングに用いられている。   Despite the desirability of using copper in the manufacture of semiconductor devices, copper has very high aspect ratio structural features, such as an aspect ratio of 4: 1 and a width of 0.35 μm (or less). There are limited choices of manufacturing methods to deposit in the features. As a result of these process constraints, plating methods that were previously limited to wiring formation on circuit boards are now used for filling vias and contacts on semiconductor devices.

金属の電気めっき法は、一般に知られており、種々の手法で達成できる。代表的な方法では一般に、バリア層を特徴部の表面上に物理的気相成長させ、導電性金属シード層、好ましくは銅をバリア層上に物理的気相成長させ、次に、導電性金属をシード層上に電気めっきして構造部/特徴部のフィリングを行う。最終的に、堆積層及び誘電体層を例えば化学機械研磨(CMP)によって平坦化して導電性相互接続特徴部を形成する。   Metal electroplating methods are generally known and can be accomplished by various techniques. A typical method generally involves physical vapor deposition of a barrier layer on the surface of the feature, and physical vapor deposition of a conductive metal seed layer, preferably copper, on the barrier layer, followed by conductive metal. Is electroplated onto the seed layer to fill the structure / feature. Finally, the deposited layer and dielectric layer are planarized by, for example, chemical mechanical polishing (CMP) to form conductive interconnect features.

図1は、コンタクトピンを備えた単純化された代表的なファウンテン(fountain)形めっき装置10の断面図である。一般に、ファウンテン形めっき装置10は、頂部開口部を備えた電解液入り容器12、電解液入り容器12の上に設けられた基板ホルダ14、電解液容器12の底部に設けられた陽極16及び基板22に接触する接点リング20を有している。複数の溝24が、基板ホルダ14の下面に形成されている。真空ポンプ(図示せず)が、基板ホルダ14に結合されていて、溝24と連通して処理中、基板22を基板ホルダ14に固定できる真空条件を生じさせるようになっている。接点リング20は、中央基板めっき表面を構成するよう基板22の周囲部分の周りに分布して設けられた複数の金属製又は半金属製コンタクトピン26を有している。複数のコンタクトピン26は、基板22の幅の狭い周囲部分上に半径方向内方に延び、コンタクトピン26の頂部のところで基板22の導電性シード層に接触している。電源(図示せず)が、ピン26に取り付けられ、それにより、電気的バイアスを基板22に与えるようになっている。基板22は、円筒形電解液入り容器12の上に位置決めされ、電解液の流れが、セル10の動作中、基板めっき表面上に垂直に当たる。   FIG. 1 is a cross-sectional view of a simplified exemplary fountain-type plating apparatus 10 with contact pins. In general, the fountain-type plating apparatus 10 includes an electrolyte-containing container 12 having a top opening, a substrate holder 14 provided on the electrolyte-containing container 12, an anode 16 and a substrate provided on the bottom of the electrolyte container 12. 22 has a contact ring 20 in contact with 22. A plurality of grooves 24 are formed on the lower surface of the substrate holder 14. A vacuum pump (not shown) is coupled to the substrate holder 14 and communicates with the groove 24 to create a vacuum condition that can secure the substrate 22 to the substrate holder 14 during processing. The contact ring 20 has a plurality of metal or semi-metal contact pins 26 distributed around the periphery of the substrate 22 to form a central substrate plating surface. The plurality of contact pins 26 extend radially inward over a narrow peripheral portion of the substrate 22 and contact the conductive seed layer of the substrate 22 at the top of the contact pins 26. A power supply (not shown) is attached to pin 26, thereby providing an electrical bias to substrate 22. The substrate 22 is positioned on the cylindrical electrolyte container 12 so that the electrolyte flow strikes the substrate plating surface vertically during operation of the cell 10.

今日の電気めっきセル、例えば図1に示すものは、大規模集積基板上に合格レベルの結果を達成するが、多くの問題点により、ミクロンサイズのアスペクト比の高い構造的特徴部を備えた基板上への首尾一貫した信頼性の高い電気めっきが損なわれる。一般に、これら問題点は、基板のめっき表面上への一様な電力分布及び電流密度を生じさせて一様な厚さの金属層を形成すること、望ましくないエッジ及び裏面又は裏側への堆積を防止して処理中の基板及びその結果得られる基板への汚染を制御すること、及び基板を処理中基板ホルダに固定する真空条件を維持することに関する。また、今日における電気めっきセルは、他の処理装置の要求に合うのに十分なスループットを生じさせず、将来の設計上のルール及びギャップのフィリング要件に適合するよう拡張できる融通性のあるアーキテクチャを備えた状態では設計されてはいない。さらに、現行装置は、電気めっき法の実施前のシード層が不十分又は不連続であるという問題を解決していない。さらに、現在の電気めっき装置プラットホームは、同一の電気めっき装置プラットホーム内で堆積又はめっき結果を向上させるための電気化学的堆積後処理、例えば迅速な熱アニール処理を提供していない。   Today's electroplating cells, such as those shown in FIG. 1, achieve acceptable levels of results on large scale integrated substrates, but due to many problems, substrates with high micron-sized aspect ratio structural features A consistent and reliable electroplating up is compromised. In general, these problems are caused by uniform power distribution and current density on the plated surface of the substrate to form a metal layer of uniform thickness, undesirable edge and backside or backside deposition. It relates to preventing and controlling contamination of the substrate being processed and the resulting substrate, and maintaining a vacuum condition that secures the substrate to the substrate holder during processing. In addition, today's electroplating cells do not produce sufficient throughput to meet the requirements of other processing equipment, but offer a flexible architecture that can be expanded to meet future design rules and gap filling requirements. It is not designed in the ready state. Furthermore, current devices do not solve the problem of insufficient or discontinuous seed layers prior to electroplating. Moreover, current electroplating equipment platforms do not provide electrochemical post-deposition processing, such as rapid thermal annealing, to improve deposition or plating results within the same electroplating equipment platform.

代表的な電気めっき法で生じる別の特定の問題は、シード層のエッジが、電気めっき中、過剰の堆積又はめっき量(一般に、エッジビードと呼ばれる)を受けるということである。図1Aは、シード層34のエッジ32のところに過剰堆積部36を示すウェーハ30のエッジの断面図である。図1Aに示すように、ウェーハ30上にはシード層34が被着されており、このシード層34上には電気めっき層38が電気化学的に被着されている。シード層34のエッジ32は、シード層34の残部よりも電流密度が高く、その結果、シード層34のエッジ32のところの蒸着速度が高くなるということが分かっている。シード層34のエッジ32のところの機械的応力もまた、シード層の残部よりも高く、それにより、シード層のエッジのところの堆積が止まってウェーハ30の縁部から遠ざかるようになる。過剰堆積部36は一般にCMP法によって除去される。しかしながら、CMP実施中、ウェーハのエッジのところの過剰堆積部36は代表的には、シード層のエッジから剥がれてウェーハの隣接部分を損傷する場合がある。剥がれ落ちた金属も又、ウェーハ上に形成されるデバイスを損傷させる場合がある。かくして、適正に作られるデバイスの数は減少し、形成されるデバイス1個当たりのコストが高くなる。   Another particular problem that arises with typical electroplating methods is that the seed layer edges undergo excessive deposition or plating amounts (commonly referred to as edge beads) during electroplating. FIG. 1A is a cross-sectional view of the edge of the wafer 30 showing an over-deposited portion 36 at the edge 32 of the seed layer 34. As shown in FIG. 1A, a seed layer 34 is deposited on the wafer 30, and an electroplating layer 38 is electrochemically deposited on the seed layer 34. It has been found that the edge 32 of the seed layer 34 has a higher current density than the remainder of the seed layer 34, resulting in a higher deposition rate at the edge 32 of the seed layer 34. The mechanical stress at the edge 32 of the seed layer 34 is also higher than the rest of the seed layer, so that the deposition at the edge of the seed layer stops and moves away from the edge of the wafer 30. The excessive deposition part 36 is generally removed by a CMP method. However, during CMP, the over-deposited portion 36 at the edge of the wafer may typically peel off from the seed layer edge and damage adjacent portions of the wafer. Peeled metal can also damage the devices formed on the wafer. Thus, the number of properly fabricated devices is reduced and the cost per device formed is high.

さらに、電流を用いる電気めっき装置は、周辺コンポーネント及び時間のかかる労力を用いなければ必要な処理工程を実施することができない。例えば、めっき法の実施中、処理用の化学薬品の分析が定期的に必要となる。かかる分析により、成分の正しい割合を確保するための電解液の組成が決定される。従来の分析は、電解液のサンプルを試験ポートから採取し、このサンプルを遠隔の分析器に送ることにより行われている。次に、電解液の組成を分析の結果に応じて手作業で調節する。分析は、種々の化学薬品の濃度が一定の流れ状態にあるので頻繁に行う必要がある。しかしながら、上述の方法は時間がかかり、実施できる分析の回数が制限される。   Furthermore, electroplating equipment that uses current cannot perform the necessary processing steps without the use of peripheral components and time consuming labor. For example, during the plating process, analysis of processing chemicals is required periodically. Such analysis determines the composition of the electrolyte to ensure the correct proportions of components. Conventional analysis is performed by taking a sample of electrolyte from a test port and sending the sample to a remote analyzer. Next, the composition of the electrolytic solution is manually adjusted according to the result of the analysis. The analysis needs to be done frequently because the concentrations of the various chemicals are in a constant flow state. However, the methods described above are time consuming and limit the number of analyzes that can be performed.

したがって、他の処理装置の要求に合うのに十分なスループットを生じさせず、将来の設計上のルール及びギャップのフィリング要件に適合するよう拡張できる融通性のあるアーキテクチャを備えた設計の電気化学堆積装置が要望されている。また、基板めっき表面上への一様な電力分布及び電流密度を生じさせて一様な厚さの金属層を形成すると共に基板を処理中、基板ホルダに固定する真空条件を維持する電気化学堆積装置が要望されている。さらに、かかる装置は、次に行われる電気めっき法のための最初の導電層の品質を高めることにより構造的特徴部内への堆積又は成膜の信頼性を高める装置を有することが望ましい。   Thus, electrochemical deposition of designs with a flexible architecture that does not yield sufficient throughput to meet the requirements of other processing equipment and can be expanded to meet future design rules and gap filling requirements An apparatus is desired. Also, electrochemical deposition that produces a uniform power distribution and current density on the substrate plating surface to form a metal layer of uniform thickness and maintain a vacuum condition that secures the substrate to the substrate holder during processing An apparatus is desired. In addition, it is desirable for such an apparatus to have an apparatus that increases the reliability of deposition or deposition within structural features by increasing the quality of the first conductive layer for the subsequent electroplating process.

電気化学堆積装置は、望ましくないエッジ及び裏面又は裏側への堆積を防止すると共に(或いは)除去して処理中の基板及びその結果得られる基板への汚染を制御することが望ましく、しかも、この装置は、過剰の堆積部をウェーハから除去した後にウェーハ洗浄方法、例えばスピン−リンス−ドライ法を実施できるようになっていることが望ましい。また、電気化学堆積装置は、処理装置と一体化されていて、電解質の組成の実時間分析を可能にする1又は2以上の化学分析器を有することが望ましい。さらに、電気化学堆積装置は、堆積又はめっき結果を向上させるための電気化学堆積又は成膜後処理、例えば迅速な熱アニール処理を可能にすることが望ましい。   The electrochemical deposition apparatus preferably prevents and / or removes unwanted edge and backside or backside deposition to control contamination of the substrate being processed and the resulting substrate. It is desirable that a wafer cleaning method, for example, a spin-rinse-dry method can be performed after removing an excessive deposit portion from the wafer. It is also desirable for the electrochemical deposition apparatus to have one or more chemical analyzers that are integrated with the processing apparatus and allow real-time analysis of the electrolyte composition. Furthermore, it is desirable that the electrochemical deposition apparatus allow for electrochemical deposition or post-film processing, such as rapid thermal annealing, to improve deposition or plating results.

本発明は一般に、他の処理装置の要求に合うのに十分なスループットを生じさせず、将来の設計上のルール及びギャップのフィリング要件に適合するよう拡張できる融通性のあるアーキテクチャを備えた設計の電気化学堆積装置を提供する。電気化学堆積装置は一般に、メインフレームウェーハ搬送ロボットを有するメインフレーム、メインフレームと連携して設けられたローディングステーション、メインフレームと連携して設けられた1又は2以上の処理セル及び1又は2以上の電気処理セルに流体連通状態で連結された電解質供給源を有する。好ましくは、メインフレームは、エッジビード除去(エッジクリーン)/スピン−リンス−ドライ(EBR/SRD)ステーション及びシード層補修ステーションを更に有する。好ましくは、電気化学堆積装置は、ローディングステーションに取り付けられた迅速熱アニールチャンバ、一体形化学分析器を含む電解液補給装置及び電気化学堆積方法及び電気化学堆積装置の構成部品を制御するシステム制御装置を更に有する。   The present invention generally provides a design with a flexible architecture that does not produce sufficient throughput to meet the requirements of other processing equipment and can be expanded to meet future design rules and gap filling requirements. An electrochemical deposition apparatus is provided. The electrochemical deposition apparatus generally has a main frame having a main frame wafer transfer robot, a loading station provided in cooperation with the main frame, one or more processing cells provided in cooperation with the main frame, and one or two or more. And an electrolyte source coupled in fluid communication with the electroprocessing cell. Preferably, the main frame further comprises an edge bead removal (edge clean) / spin-rinse-dry (EBR / SRD) station and a seed layer repair station. Preferably, the electrochemical deposition apparatus is a rapid thermal annealing chamber attached to a loading station, an electrolyte replenishing apparatus including an integrated chemical analyzer, an electrochemical deposition method, and a system controller for controlling components of the electrochemical deposition apparatus It has further.

本発明は一特徴として、基板めっき表面上への一様な電力分布及び電流密度を生じさせて一様な厚さの金属層を形成すると共に基板を処理中、基板ホルダに固定する真空条件を維持する電気化学堆積装置を提供する。   As a feature of the present invention, a uniform power distribution and current density on the substrate plating surface is generated to form a metal layer having a uniform thickness, and a vacuum condition for fixing the substrate to the substrate holder during processing is provided. An electrochemical deposition apparatus is provided.

本発明は別の特徴として、望ましくないエッジ及び裏面又は裏側への堆積を防止すると共に(或いは)除去して処理中の基板及びその結果得られる基板への汚染を制御する電気化学堆積装置を提供する。   Another feature of the present invention is to provide an electrochemical deposition apparatus that prevents and / or removes unwanted edges and backside or backside deposition to control contamination of the substrate being processed and the resulting substrate. To do.

本発明の別の特徴は、金属を基板上に電気化学的に堆積又は成膜させる装置であって、陰極及びウェーハホルダを有するヘッド組立体と、電解液入り容器及び陽極を有する処理キットと、電解液オーバフローキャッチと、陰極及び陽極に接続された電源とから成る装置を提供する。好ましくは、陰極は、陰極接点リングを有し、ウェーハホルダは、陰極接点リングをウェーハに正しく接触させるブラダシステムを有する。好ましくは、電解液にさらされる陰極接点リングの表面は、親水性表面が得られるよう被覆され又は処理される。   Another feature of the present invention is an apparatus for electrochemically depositing or depositing a metal on a substrate, a head assembly having a cathode and a wafer holder, a processing kit having an electrolytic solution container and an anode, An apparatus comprising an electrolyte overflow catch and a power source connected to a cathode and an anode is provided. Preferably, the cathode has a cathode contact ring and the wafer holder has a bladder system that properly contacts the cathode contact ring to the wafer. Preferably, the surface of the cathode contact ring exposed to the electrolyte is coated or treated to obtain a hydrophilic surface.

本発明は更に別の特徴として、陽極の溶解によって生じる陽極スラッジ及び他の粒子を除去するようになった透過性の封入陽極を提供する。好ましくは、封入陽極は、汚染要因物を電解液から捕捉し又は濾過する親水性メンブレンを有する。封入陽極は好ましくは、封入陽極内での電解液の流れを容易にするバイパス電解液入口及びバイパス出口を更に有する。   In yet another aspect, the present invention provides a permeable encapsulated anode adapted to remove anode sludge and other particles resulting from dissolution of the anode. Preferably, the encapsulated anode has a hydrophilic membrane that traps or filters contaminants from the electrolyte. The encapsulated anode preferably further includes a bypass electrolyte inlet and a bypass outlet that facilitate the flow of the electrolyte within the encapsulated anode.

本発明は更に別の特徴として、実時間化学分析器モジュール及び注入モジュールを有する電解液補給装置を提供する。化学分析器モジュールは、制御装置によって作動され、電気化学堆積装置の制御装置と一体の少なくとも1つ、好ましくは2つの分析器を含む。サンプルラインが、主電解液タンクから化学分析器モジュールへの電解液の連続した流れを生じさせる。第1の分析器は、電解液中の有機物の濃度を求め、第2の分析器は、無機物の濃度を求める。次に、注入モジュールを起動化して化学分析器モジュールによって得られた情報に応答して正しい割合の化学薬品を主タンクに送る。   In yet another aspect, the present invention provides an electrolyte replenishment device having a real-time chemical analyzer module and an injection module. The chemical analyzer module is operated by a controller and includes at least one, preferably two analyzers integral with the controller of the electrochemical deposition apparatus. A sample line produces a continuous flow of electrolyte from the main electrolyte tank to the chemical analyzer module. The first analyzer determines the concentration of the organic substance in the electrolytic solution, and the second analyzer determines the concentration of the inorganic substance. The injection module is then activated to deliver the correct proportion of chemical to the main tank in response to the information obtained by the chemical analyzer module.

本発明は更に別の特徴として、実時間化学分析器モジュールを提供する。化学分析器モジュールは、制御装置によって作動され、電気化学堆積装置の制御装置と一体の少なくとも1つ、好ましくは2つの分析器を含む。サンプルラインが、主電解液タンクから化学分析器モジュールへの電解液の連続した流れを生じさせる。第1の分析器は、電解液中の有機物の濃度を求め、第2の分析器は、無機物の濃度を求める。   In yet another aspect, the present invention provides a real-time chemical analyzer module. The chemical analyzer module is operated by a controller and includes at least one, preferably two analyzers integral with the controller of the electrochemical deposition apparatus. A sample line produces a continuous flow of electrolyte from the main electrolyte tank to the chemical analyzer module. The first analyzer determines the concentration of the organic substance in the electrolytic solution, and the second analyzer determines the concentration of the inorganic substance.

本発明は更に別の特徴として、ウェーハ表面上に形成されたデバイスを損傷させないで、ウェーハのエッジの過剰の堆積部を除去する装置を提供する。この装置は、過剰の堆積部をウェーハから除去した後にウェーハ洗浄方法、例えばスピン−リンス−ドライ法を実施できるようになっている。   In yet another aspect, the present invention provides an apparatus that removes excess deposits on the edge of a wafer without damaging devices formed on the wafer surface. This apparatus can perform a wafer cleaning method, for example, a spin-rinse-dry method, after removing an excessive deposition portion from the wafer.

本発明は更に別の特徴として、次に行われる電気めっき法のための最初の導電層の品質を高めることにより構造的特徴部内への堆積又は成膜の信頼性を高める装置を提供する。   As yet another aspect, the present invention provides an apparatus that increases the reliability of deposition or deposition within structural features by enhancing the quality of the initial conductive layer for subsequent electroplating processes.

本発明は更に別の特徴として、堆積又はめっき結果を向上させるための電気化学的堆積後処理法、例えば迅速な熱アニール処理法を提供する。迅速な熱アニール処理を行う装置は好ましくは、電気化学堆積装置のローディングステーションに隣接して設けられた迅速熱アニールチャンバを有する。   In yet another aspect, the present invention provides an electrochemical post-deposition process, such as a rapid thermal anneal process, to improve deposition or plating results. The apparatus for performing rapid thermal annealing preferably has a rapid thermal annealing chamber provided adjacent to the loading station of the electrochemical deposition apparatus.

本発明は更に別の特徴として、堆積又はめっき具合の一様性を向上させるために処理中、ウェーハの回転を生じさせる電気めっきセル用の回転自在なヘッド組立体を提供する。回転自在なヘッド組立体は又、電気めっき法の実施後、残留電解液をウェーハホルダ組立体から除去しやすくする。好ましくは、膨らまし可能なブラダ及び陰極接点リングを含むウェーハホルダ組立体の構成部品は、残留電解液の滴下及び除去を促進する親水性表面を有する。   In yet another aspect, the present invention provides a rotatable head assembly for an electroplating cell that causes wafer rotation during processing to improve deposition or plating uniformity. The rotatable head assembly also facilitates removal of residual electrolyte from the wafer holder assembly after the electroplating process is performed. Preferably, the components of the wafer holder assembly including the inflatable bladder and the cathode contact ring have a hydrophilic surface that facilitates dripping and removal of residual electrolyte.

本発明の上記特徴、利点及び目的を実現又は達成する態様を詳細に理解できるようにするために、添付の図面に示された本発明の実施形態を参照して上記発明の概要の項に記載した本発明について一層具体的な説明を行う。   BRIEF DESCRIPTION OF THE DRAWINGS In order that the above features, advantages and objects of the present invention may be realized or achieved in more detail, reference is made to the embodiments of the invention illustrated in the accompanying drawings and described in the Summary section of the invention. The present invention will be described more specifically.

しかしながら、添付の図面は、本発明の代表的な実施形態を示しているに過ぎず、本発明の範囲を限定するものではない。というのは、本発明は、他の均等な形態で実施できるからである。   However, the attached drawings show only typical embodiments of the present invention, and do not limit the scope of the present invention. This is because the present invention can be implemented in other equivalent forms.

コンタクトピンが組み込まれた単純化された代表的なファウンテン形めっき装置10の断面図である。1 is a cross-sectional view of a simplified representative fountain-type plating apparatus 10 incorporating contact pins. ウェーハ30のエッジの断面図であり、過剰堆積部36がシード層34のエッジ32のところに生じている状態を示す図である。FIG. 4 is a cross-sectional view of the edge of the wafer 30 and shows a state where an excessively deposited portion 36 is generated at the edge 32 of the seed layer 34. 本発明の電気めっき装置プラットホーム200の斜視図である。1 is a perspective view of an electroplating apparatus platform 200 of the present invention. 本発明の電気めっき装置プラットホーム200の斜視図である。1 is a perspective view of an electroplating apparatus platform 200 of the present invention. リンス及び溶解流体入口を備えた本発明のスピン−リンス−ドライ(SRD)モジュールの斜視図である。1 is a perspective view of a spin-rinse-dry (SRD) module of the present invention with a rinse and dissolved fluid inlet. FIG. 図4のスピン−リンス−ドライ(SRD)モジュールの側面断面図であり、基板を流体入口相互間で垂直方向に配置された状態において処理位置で示す図である。FIG. 5 is a side cross-sectional view of the spin-rinse-dry (SRD) module of FIG. 4 showing the substrate in a processing position with the substrate positioned vertically between the fluid inlets. 本発明の電気めっき処理セル400の断面図である。It is sectional drawing of the electroplating process cell 400 of this invention. 陰極接点リングの部分断面斜視図である。It is a partial cross section perspective view of a cathode contact ring. 陰極接点リングの断面斜視図であり、接触パッドの変形例を示す図である。It is a section perspective view of a cathode contact ring, and is a figure showing a modification of a contact pad. 陰極接点リングの断面斜視図であり、接触パッドの変形例及び絶縁ガスケットを示す図である。It is a section perspective view of a cathode contact ring, and is a figure showing a modification of a contact pad and an insulating gasket. 陰極接点リングの断面斜視図であり、絶縁ガスケットを示す図である。It is a section perspective view of a cathode contact ring, and is a figure showing an insulating gasket. 各コンタクトピン経由の電気めっき装置の電気回路の略図である。1 is a schematic diagram of an electric circuit of an electroplating apparatus via each contact pin. 本発明のウェーハ組立体450の断面図である。12Aは図12のブラダ領域の拡大断面図である。It is sectional drawing of the wafer assembly 450 of this invention. 12A is an enlarged cross-sectional view of the bladder region of FIG. ウェーハホルダプレートの部分断面図である。It is a fragmentary sectional view of a wafer holder plate. マニホルドの部分断面図である。It is a fragmentary sectional view of a manifold. ブラダの部分断面図である。It is a fragmentary sectional view of a bladder. 電解液補給装置220の略図である。2 is a schematic view of an electrolytic solution replenishing device 220. 迅速熱アニールチャンバの断面図である。2 is a cross-sectional view of a rapid thermal annealing chamber. FIG. 陰極コンタクトリングの変形例を示す斜視図である。It is a perspective view which shows the modification of a cathode contact ring. ウェーハホルダ組立体の変形例の部分断面図である。It is a fragmentary sectional view of the modification of a wafer holder assembly. 封入陽極の第1の実施形態の断面図である。It is sectional drawing of 1st Embodiment of an enclosure anode. 封入陽極の第2の実施形態の断面図である。It is sectional drawing of 2nd Embodiment of an enclosure anode. 封入陽極の第3の実施形態の断面図である。It is sectional drawing of 3rd Embodiment of an enclosure anode. 封入陽極の第4の実施形態の断面図である。It is sectional drawing of 4th Embodiment of an enclosure anode. 無電気めっき法(EDP)セルの断面図である。It is sectional drawing of an electroless-plating method (EDP) cell. 回転自在なヘッド組立体2410を備えた処理ヘッド組立体の変形実施形態を示す図である。FIG. 11 shows a modified embodiment of a processing head assembly that includes a rotatable head assembly 2410. ゲッターモジュールの一実施形態の断面図である。It is sectional drawing of one Embodiment of a getter module. ゲッターモジュールの別の実施形態の断面図である。FIG. 6 is a cross-sectional view of another embodiment of a getter module. エッジクリーン兼スピン−リンス−ドライ(EBR/SRD)モジュールの断面図であり、基板を流体入口相互間で垂直方向に配置された状態において処理位置で示す図である。FIG. 5 is a cross-sectional view of an edge clean and spin-rinse-dry (EBR / SRD) module, showing the substrate in a processing position with the substrate positioned vertically between the fluid inlets. EBR/SRDモジュールの平面図であり、エッジクリーンのためのノズル位置の一実施形態を示す図である。It is a top view of an EBR / SRD module, and is a figure showing one embodiment of a nozzle position for edge clean. 処理中のウェーハ2122に関連して設けられたノズル2150の側面図である。12 is a side view of a nozzle 2150 provided in connection with a wafer 2122 being processed. FIG.

図2は、本発明の電気めっきシステム又は装置のプラットホーム200の斜視図である。図3は、本発明の電気めっき装置プラットホーム200の略図である。図2と図3の両方を参照すると、電気めっき装置プラットホーム200は主要構成要素として、ローディングステーション210、熱アニールチャンバ211、メインフレーム214及び電解液補給装置220を有している。好ましくは、電気めっき装置プラットホーム200は、パネル、例えばプレキシグラスパネルを用いてクリーンな環境中に入れられている。メインフレーム214は、主要構成要素として、メインフレーム搬送ステーション216、スピン−リンス−ドライ(SRD)ステーション212、シード層補修ステーション215及び複数の処理ステーション218を有している。メインフレーム214は、電気化学堆積法を完了させるのに必要な種々のステーションを支持する切欠きを備えたベース217を有している。ベース217は好ましくは、種々のステーションを載せて支持できるアルミニウム、ステンレス鋼又は他の剛性材料で作られる。化学保護膜、例えばハラー(Halar :登録商標)、エチレンクロロトリフルオロエチレン(ECTFE)又は他の保護膜が好ましくは、潜在的な化学的腐食にさらされるベース217の表面上に被着される。好ましくは、金属ベース217上に良好な相似被覆材となり、金属ベース217に良好にくっつき、良好な延性を発揮し、システムの通常の動作条件のもとで耐亀裂性を発揮する。各処理ステーション218は、1又は2以上の処理セル240を有している。電界液補給装置220が、処理セル240に個々に連結された状態でメインフレーム214に隣接して設けられていて、電気めっき法に用いられる電解液を循環させるようになっている。電気めっき装置プラットホーム200は、電力を電気めっき装置に供給する電源ステーション221及び代表的にはプログラマブルマイクロプロセッサから成る制御装置222を更に有している。   FIG. 2 is a perspective view of the platform 200 of the electroplating system or apparatus of the present invention. FIG. 3 is a schematic diagram of an electroplating apparatus platform 200 of the present invention. 2 and 3, the electroplating apparatus platform 200 includes a loading station 210, a thermal annealing chamber 211, a main frame 214, and an electrolyte replenisher 220 as main components. Preferably, the electroplating device platform 200 is placed in a clean environment using panels, such as plexiglass panels. The main frame 214 includes a main frame transfer station 216, a spin-rinse-dry (SRD) station 212, a seed layer repair station 215, and a plurality of processing stations 218 as main components. The main frame 214 has a base 217 with cutouts that support the various stations necessary to complete the electrochemical deposition process. Base 217 is preferably made of aluminum, stainless steel or other rigid material on which various stations can be supported. A chemical protective film, such as Halar®, ethylene chlorotrifluoroethylene (ECTFE) or other protective film is preferably deposited on the surface of the base 217 that is exposed to potential chemical corrosion. Preferably, it is a good similar coating on the metal base 217, adheres well to the metal base 217, exhibits good ductility, and exhibits crack resistance under normal operating conditions of the system. Each processing station 218 has one or more processing cells 240. An electrolytic solution replenishing device 220 is provided adjacent to the main frame 214 in a state of being individually connected to the processing cell 240, and circulates an electrolytic solution used in the electroplating method. The electroplating device platform 200 further includes a power supply station 221 that supplies power to the electroplating device and a controller 222, typically a programmable microprocessor.

ローディングステーション210は好ましくは、1又は2以上のウェーハカセット受入れ領域224、1又は2以上のローディングステーション搬送ロボット228及び少なくとも1つのウェーハオリエンタ230を有する。ローディングステーション210に設けられるウェーハカセット受入れ領域、ローディングステーション搬送ロボット228及びウェーハオリエンタの数は、装置の所望のスループット(処理量)に応じて設定できる。図2及び図3の一実施形態について示すように、ローディングステーション210は、2つのウェーハカセット受入れ領域224、2つのローディングステーション搬送ロボット228及び1つのウェーハオリエンタ230を有している。ウェーハ234を収納したウェーハカセット232が、ウェーハカセット受入れ領域224上に載置し、それによりウェーハ234を電気めっき装置プラットホーム内に導入する。ローディングステーション搬送ロボット228は、ウェーハ234をウェーハカセット232とウェーハオリエンタ230との間で搬送する。ローディングステーション搬送ロボット228は、当該技術分野で一般に知られている代表的な搬送ロボットから成る。ウェーハオリエンタ230は、各ウェーハ234を所望の向きに位置決めしてウェーハが正しく処理されるようにする。ローディングステーション搬送ロボット228は又、ウェーハ234をローディングステーション210とSRDステーション212との間及びローディングステーション210とサーマルアニールチャンバ211との間で搬送する。ローディングステーション210は、装置を通るウェーハの効率的な搬送を容易にするよう必要に応じてウェーハの一時的な貯蔵を行うための追加のウェーハカセット231を更に有している。   The loading station 210 preferably includes one or more wafer cassette receiving areas 224, one or more loading station transfer robots 228 and at least one wafer orienter 230. The number of wafer cassette receiving areas, loading station transfer robots 228 and wafer orienters provided in the loading station 210 can be set according to the desired throughput (processing amount) of the apparatus. As shown for one embodiment of FIGS. 2 and 3, the loading station 210 has two wafer cassette receiving areas 224, two loading station transfer robots 228 and one wafer orienter 230. A wafer cassette 232 containing the wafer 234 is placed on the wafer cassette receiving area 224, thereby introducing the wafer 234 into the electroplating apparatus platform. The loading station transfer robot 228 transfers the wafer 234 between the wafer cassette 232 and the wafer orienter 230. Loading station transfer robot 228 comprises a typical transfer robot generally known in the art. Wafer orienter 230 positions each wafer 234 in the desired orientation so that the wafers are processed correctly. The loading station transfer robot 228 also transfers the wafer 234 between the loading station 210 and the SRD station 212 and between the loading station 210 and the thermal annealing chamber 211. The loading station 210 further includes an additional wafer cassette 231 for temporary storage of wafers as needed to facilitate efficient transport of wafers through the apparatus.

図4は、リンス流体及び溶解流体入口を備えた本発明のスピン−リンス−ドライ(SRD)モジュールの概略斜視図である。図5は、図4のスピン−リンス−ドライ(SRD)モジュールの側面断面図であり、基板を流体入口相互間で垂直方向に設けられた処理位置で示している。好ましくは、SRDステーション212は、1又は2以上のSRDモジュール236及び1又は2以上のウェーハ通過カセット238を有している。好ましくは、SRDステーション212は、ローディングステーション搬送ロボット228の数に一致した2つのSRDモジュール236を有し、ウェーハ通過カセット238が各SRDモジュール236の上に位置決めされている。ウェーハ通過カセット238は、ローディングステーション210とメインフレーム214との間のウェーハ搬送を容易にする。ウェーハ通過カセット238は、ローディングステーション搬送ロボット228とメインフレーム搬送ステーション216内のロボットの両方に近付いたり遠ざかることができる。   FIG. 4 is a schematic perspective view of a spin-rinse-dry (SRD) module of the present invention with a rinse fluid and dissolution fluid inlet. FIG. 5 is a side cross-sectional view of the spin-rinse-dry (SRD) module of FIG. 4, showing the substrate in a processing position provided vertically between the fluid inlets. Preferably, the SRD station 212 includes one or more SRD modules 236 and one or more wafer pass cassettes 238. Preferably, the SRD station 212 has two SRD modules 236 corresponding to the number of loading station transport robots 228, and a wafer passage cassette 238 is positioned on each SRD module 236. Wafer passing cassette 238 facilitates wafer transfer between loading station 210 and main frame 214. The wafer passing cassette 238 can approach or move away from both the loading station transfer robot 228 and the robot in the mainframe transfer station 216.

図4及び図5を参照すると、SRDモジュール236は、底部330a,側壁330b及び上部シールド330cを有し、これらは一緒になって、SRDモジュールボウル330dを構成し、ここではシールドが側壁に取り付けられ、流体をSRDモジュール内に保持するのに役立っている。変形例として、着脱自在なカバーを用いてもよい。SRDモジュール内に設けられたペデスタル336が、ペデスタル支持体332及びペデスタルアクチュエータ334を有している。ペデスタル336は、基板338(図5に示す)を処理中、ペデスタル上面上に支持する。ペデスタルアクチュエータ334はペデスタルを回転させて基板をスピンさせ、ペデスタルを以下に説明するように昇降させる。基板は、複数のクランプ337によってペデスタル上の定位置に保持されるのがよい。クランプは、遠心力で回動し、好ましくは基板のエッジ除外領域に係合する。好ましい実施形態では、クランプは、基板が処理中ペデスタルから持ち上がる場合にのみ基板に係合する。真空通路(図示せず)を他の保持要素と共に用いてもよい。ペデスタルは、複数のペデスタルアーム336a,336bを有し、したがって第2のノズルを通る流体が基板の下面上に実際の場合と同じほど広い表面積にわたって当たるようになる。出口339により、流体をSRDモジュールから除去することができる。本明細書で用いる「下」、「上」、「底」、「頂」、「上」、「下」、「上方」、「下方」その他の位置を表す用語は、図面に記載した実施形態に関する用語であり、処理装置の相対的な動きに応じて変わる場合がある。   4 and 5, the SRD module 236 has a bottom 330a, a side wall 330b and a top shield 330c, which together form an SRD module bowl 330d, where the shield is attached to the side wall. , Which helps to keep the fluid within the SRD module. As a modification, a detachable cover may be used. A pedestal 336 provided in the SRD module has a pedestal support 332 and a pedestal actuator 334. Pedestal 336 supports substrate 338 (shown in FIG. 5) on the top surface of the pedestal during processing. The pedestal actuator 334 rotates the pedestal to spin the substrate and raises and lowers the pedestal as described below. The substrate may be held in place on the pedestal by a plurality of clamps 337. The clamp is rotated by centrifugal force and preferably engages the edge exclusion region of the substrate. In a preferred embodiment, the clamp engages the substrate only when the substrate is lifted from the pedestal during processing. A vacuum passage (not shown) may be used with other holding elements. The pedestal has a plurality of pedestal arms 336a, 336b so that the fluid passing through the second nozzle strikes the lower surface of the substrate over a surface area as large as is practical. The outlet 339 allows fluid to be removed from the SRD module. As used herein, the terms “bottom”, “top”, “bottom”, “top”, “top”, “bottom”, “top”, “bottom”, and other terms are used in the embodiments described in the drawings. And may vary depending on the relative movement of the processing device.

第1の流体347を流通させる第1の導管346が、弁347aに連結されている。導管は、ホース、管、チューブ又は他の流体収容導管であるのがよい。弁347aは、第1の流体347の流量を制御し、種々の弁から選択されたものであるのがよく、かかる弁としては、ニードル弁、グローブ(玉形)弁、蝶形弁又は他の形式の弁が挙げられ、弁347aは、制御装置362で制御できる弁アクチュエータ、例えばソレノイドを更に有するのがよい。導管346は、機械の上方に設けられた第1の流体入口340に連結され、この第1の流体入口は、SRDモジュールに取り付けられる取付け部分342及び導管346に取り付けられる連結部分344を有している。第1の流体入口は、第1の流体347を加圧下で基板の上面上に送り出す単一の第1のノズル348を備えた状態で示されている。しかしながら、多数のノズルを用い、多数の流体ラインをSRDモジュールの内周部の周りに配置してもよい。好ましくは、基板の上方に配置されるノズルは、ノズルが基板上に落下する恐れを少なくするために基板の外周部の外に位置するべきである。第1の流体入口を、種々の位置に配設することができ、かかる配設場所としては、基板上方に位置決めされたカバーを貫通して設けることが挙げられる。加うるに、ノズルは、関節連結部材343、例えば玉継手を用いて種々の位置に関節連結できる。   A first conduit 346 through which the first fluid 347 flows is connected to the valve 347a. The conduit may be a hose, tube, tube or other fluid containing conduit. The valve 347a controls the flow rate of the first fluid 347 and may be selected from various valves, such as a needle valve, globe valve, butterfly valve or other valve. The valve 347a may further include a valve actuator that can be controlled by the controller 362, such as a solenoid. The conduit 346 is connected to a first fluid inlet 340 provided above the machine, the first fluid inlet having a mounting portion 342 attached to the SRD module and a connecting portion 344 attached to the conduit 346. Yes. The first fluid inlet is shown with a single first nozzle 348 that pumps the first fluid 347 under pressure onto the top surface of the substrate. However, multiple nozzles may be used and multiple fluid lines may be placed around the inner periphery of the SRD module. Preferably, the nozzle located above the substrate should be located outside the outer periphery of the substrate to reduce the risk of the nozzle falling onto the substrate. The first fluid inlet can be arranged at various positions, and as such an arrangement place, a cover positioned above the substrate can be provided. In addition, the nozzle can be articulated at various locations using articulation members 343, such as ball joints.

上述の第1の導管及び関連要素と類似して、第2の導管352が制御弁349aに連結され、第2の流体入口350が第2のノズル351を備えている。第2の流体入口350は、基板の下に位置し、上方に傾斜して第2の流体を第2のノズル351を通って基板のしたに差し向ける状態で示されている。第1の流体入口と同様に、第2の流体入口は、複数のノズル、複数の流体入口及び取付け場所及び関節連結部材353を使用する向きを含む複数の向きを有するのがよい。各流体入口を種々の位置でSRDモジュール内へ延長させるのがよい。例えば、もし流れが基板のエッジに沿ってSRDモジュールの周囲に向かって後ろ向きに或る角度をなすことが望ましい場合、ノズルを半径方向内方に延長し、ノズルからの放出が、SRDモジュールの周囲に向かって後方に差し向けられるようにしてもよい。   Similar to the first conduit and related elements described above, a second conduit 352 is connected to the control valve 349a and the second fluid inlet 350 includes a second nozzle 351. The second fluid inlet 350 is shown below the substrate and is tilted upward to direct the second fluid through the second nozzle 351 to the substrate. Similar to the first fluid inlet, the second fluid inlet may have a plurality of orientations, including a plurality of nozzles, a plurality of fluid inlets and attachment locations, and an orientation using an articulation member 353. Each fluid inlet may be extended into the SRD module at various locations. For example, if it is desired that the flow be at an angle backwards toward the periphery of the SRD module along the edge of the substrate, the nozzle may be extended radially inward so that the discharge from the nozzle You may make it point toward back toward.

制御装置362は、2つの流体及びこれらのそれぞれの流量、圧力及びタイミング、並びに任意の関連のバルブ動作並びにスピンサイクルを個々に制御できる。制御装置を例えば制御盤又は制御室内に遠隔配置するのがよく、給排水設備を遠隔アクチュエータで制御するのがよい。破線で示す変形実施形態は、第1の導管346に連結されていて、導管346b及び制御弁346cを備えた補助流体入口346aを有し、かかる構成を用いると溶解流体を流した後に基板の裏面又は裏側にリンス流体を流すことができ、この場合、基板の向きを変え又は第2の流体入口を通る流れをリンス流体に切り換える必要はない。   The controller 362 can individually control the two fluids and their respective flow rates, pressures and timings, as well as any associated valve operation and spin cycle. The control device may be remotely located, for example, in the control panel or the control room, and the water supply / drainage facility may be controlled by a remote actuator. An alternate embodiment, shown in dashed lines, is connected to the first conduit 346 and has an auxiliary fluid inlet 346a with a conduit 346b and a control valve 346c, and with such a configuration, the backside of the substrate after flowing dissolved fluid Alternatively, a rinse fluid can be flowed to the backside, in which case it is not necessary to turn the substrate or switch the flow through the second fluid inlet to the rinse fluid.

一実施形態では、基板は、堆積又はめっき表面がSRDモジュールボウル内で上向きにして設けられている。以下に説明するように、かかる構成では、第1の流体入口は一般に、リンス流体、例えば脱イオン水又はアルコールを流通させる。その結果、基板の裏面は、下に向いた状態で設けられ、弁の流体入口を通って流れる流体は、溶解流体、例えば酸であり、かかる酸としては、溶解されるべき物質に応じて、塩酸、硫酸、燐酸、弗化水素酸又は他の溶解液又は流体が挙げられる。変形例として、所望の工程において処理済みの基板をリンスしようとする場合、第1の流体及び第2の流体は共にリンス流体、例えば脱イオン水又はアルコールである。   In one embodiment, the substrate is provided with a deposition or plating surface facing up in the SRD module bowl. As will be described below, in such a configuration, the first fluid inlet generally allows a rinsing fluid such as deionized water or alcohol to flow. As a result, the back side of the substrate is provided facing down, and the fluid flowing through the fluid inlet of the valve is a dissolving fluid, such as an acid, depending on the substance to be dissolved, Hydrochloric acid, sulfuric acid, phosphoric acid, hydrofluoric acid or other solution or fluid. As a variant, if the treated substrate is to be rinsed in the desired process, both the first fluid and the second fluid are rinse fluids, such as deionized water or alcohol.

動作原理を説明すると、ペデスタルは、図4に示す上昇位置にあり、ロボット(図示せず)は基板をペデスタル上に表側を上にして配置する。ペデスタルは基板を処理位置に下降させ、ここで基板は第1の流体入口と第2の流体入口との間に垂直方向に配置される。一般に、ペデスタルアクチュエータは、ペデスタルを約5〜約5000rpmで回転させ、典型的な回転数範囲は、200mm基板の場合、約20〜約2000rpmである。回転により、クランプの下端部337aは、遠心力によりSRDモジュール側壁の周囲に向かってピボット337bの周りに外方に回転する。クランプの回転により、クランプの上端部337cは中心に向かって内方且つ下向きに押され、基板338は好ましくは基板のエッジに沿ってペデスタル336上の定位置に保持される。クランプは、基板に当たらないで定位置まで回転することができ、基板が処理中ペデスタルから相当持ち上がった場合にのみ基板をペデスタル上の定位置に保持する。ペデスタルが基板を回転させている状態で、リンス流体を第1の流体入口340を通して基板の表側上に送り出す。第2の流体、例えば酸を第2の流体入口を通して裏側に送り出して望ましくない堆積物又はデポジットを除去する。溶解流体は、堆積物と化学的に反応して溶解し、次にこの堆積物を基板の裏側及び望ましくない堆積物が位置している他の領域から洗い落とす。好ましい実施形態では、リンス流体は、基板の表側又は表面を溶解流体から保護するのに役立つよう溶解流体よりも早い速度で流れるよう調節される。第1及び第2の流体入口は、数ある要因の中で、基板のサイズ、それぞれの流量、スプレーパターン及び除去されるべき堆積物の量及び種類に応じて最適性能が得られるよう配置される。場合によっては、リンス流体を溶解流体が望ましくないデポジットを溶解した後に第2の流体入口に導いて基板の裏側をリンスするのがよい。別の場合では、リンス流体を基板の裏側上に流すように連結された補助流体入口を用いて、溶解流体残留物を裏側からリンスするのがよい。基板の表側及び(又は)裏側をリンスした後、流体の流れを止め、ペデスタルは回転を続け、基板をスピンさせ、それにより表面を効果的に乾燥させる。   Explaining the operation principle, the pedestal is in the raised position shown in FIG. 4, and a robot (not shown) places the substrate on the pedestal with the front side facing up. The pedestal lowers the substrate to the processing position, where the substrate is positioned vertically between the first fluid inlet and the second fluid inlet. In general, pedestal actuators rotate a pedestal at about 5 to about 5000 rpm, with a typical range of rotation being about 20 to about 2000 rpm for a 200 mm substrate. By rotation, the lower end portion 337a of the clamp is rotated outward around the pivot 337b toward the periphery of the SRD module side wall by centrifugal force. With the rotation of the clamp, the upper end 337c of the clamp is pushed inward and downward toward the center, and the substrate 338 is preferably held in place on the pedestal 336 along the edge of the substrate. The clamp can be rotated to a fixed position without hitting the substrate and holds the substrate in place on the pedestal only when the substrate is lifted considerably from the pedestal during processing. With the pedestal rotating the substrate, rinse fluid is pumped through the first fluid inlet 340 onto the front side of the substrate. A second fluid, such as an acid, is pumped through the second fluid inlet to the backside to remove unwanted deposits or deposits. The dissolution fluid chemically reacts with and dissolves the deposit, which is then washed away from the backside of the substrate and other areas where unwanted deposits are located. In a preferred embodiment, the rinsing fluid is adjusted to flow at a faster rate than the dissolving fluid to help protect the front side or surface of the substrate from the dissolving fluid. The first and second fluid inlets are arranged for optimum performance depending on the size of the substrate, the respective flow rate, the spray pattern and the amount and type of deposit to be removed, among other factors. . In some cases, the rinsing fluid may be directed to a second fluid inlet after the dissolving fluid has dissolved unwanted deposits to rinse the back side of the substrate. In another case, the dissolved fluid residue may be rinsed from the backside using an auxiliary fluid inlet connected to flow the rinse fluid over the backside of the substrate. After rinsing the front side and / or back side of the substrate, the fluid flow is stopped and the pedestal continues to spin, spinning the substrate, thereby effectively drying the surface.

流体を一般に、スプレーパターンで送り出し、これは、所望の特定のノズルスプレーパターンに応じて様々であるのがよく、かかるパターンとして、扇形、ジェット形、円錐形及び他のパターンが挙げられる。第1及び第2の流体入口をそれぞれ通る第1及び第2の流体についての1つのスプレーパターンは、第1の流体がリンス流体である場合、扇形のパターンであり、200mmウェーハの場合、圧力が約10〜約15psi(平方インチ当たりのポンド)及び流量が約1〜約3gpm(1分間当たりのガロン)である。   The fluid is typically delivered in a spray pattern, which can vary depending on the particular nozzle spray pattern desired, including fan, jet, cone and other patterns. One spray pattern for the first and second fluids passing through the first and second fluid inlets, respectively, is a fan-shaped pattern when the first fluid is a rinse fluid, and for a 200 mm wafer, the pressure is About 10 to about 15 psi (pounds per square inch) and a flow rate of about 1 to about 3 gpm (gallons per minute).

本発明はまた、基板のエッジに沿う望ましくない堆積物を取り除いてエッジ除外領域を生じさせるのに用いることができる。ノズルの向き及び配置場所を、流体の流量、基板の回転速度及び流体の化学的組成を調節することにより、望ましくない堆積物を基板のエッジ及び(又は)エッジ除外領域から除去することもできる。かくして、表側の表面上の堆積物質の溶解を実質的に阻止することは、必ずしも、基板のエッジ又はエッジ除外領域を含むわけではない。また、表側表面上の堆積物質の溶解を阻止することは、堆積物の付いた表側が商業的な価値を越えて損なわれないように少なくとも溶解を阻止することを含むものである。   The present invention can also be used to remove unwanted deposits along the edge of the substrate to produce an edge exclusion region. Undesirable deposits can also be removed from the edge and / or edge exclusion region of the substrate by adjusting the nozzle orientation and location, fluid flow rate, substrate rotation speed, and fluid chemical composition. Thus, substantially preventing dissolution of the deposited material on the front surface does not necessarily include the edge or edge exclusion region of the substrate. Also, preventing dissolution of the deposited material on the front surface includes at least preventing dissolution so that the deposited front side is not compromised beyond commercial value.

エッジ除外領域の溶解方法を達成する一方法は、溶解流体を基板の裏側上に小出ししながらディスクを遅い速度、例えば約100〜約1000rpmで回転させることである。遠心力により、溶解流体が基板のエッジに寄せられ、流体の表面張力によりエッジの周りに流体の層が生じ、したがって溶解流体が基板のエッジ領域の裏側から表側までオーバーラップするようになる。基板の回転速度及び溶解流体の流量を用いると、表側上へのオーバーラップの度合を求めることができる。例えば、回転速度を減少させ、又は流量を増加させると、その結果として、反対側の側部、例えば表側への流体のオーバーラップが少なくなる。さらに、表側に送り出されるリンス流体の流量及び流れ角度を調節すると、基板のエッジ及び(又は)表側上への溶解流体の層をずらすことができる。場合によっては、エッジ及び(又は)エッジ除外領域を除去するためにリンス流体を用いないで溶解流体をまず最初に用い、次に、上述したような本発明のリンス/溶解方法を行ってもよい。   One way to achieve the edge exclusion zone melting method is to rotate the disk at a slow speed, such as about 100 to about 1000 rpm, while dispensing the dissolving fluid onto the back side of the substrate. Centrifugal force forces the dissolved fluid toward the edge of the substrate, and surface tension of the fluid creates a layer of fluid around the edge, thus causing the dissolved fluid to overlap from the back side to the front side of the edge region of the substrate. When the rotation speed of the substrate and the flow rate of the dissolved fluid are used, the degree of overlap on the front side can be obtained. For example, decreasing the rotational speed or increasing the flow rate results in less fluid overlap on the opposite side, eg, the front side. Furthermore, adjusting the flow rate and flow angle of the rinsing fluid delivered to the front side can shift the edge of the substrate and / or the layer of dissolved fluid on the front side. In some cases, the lysis fluid may be used first without a rinsing fluid to remove edges and / or edge exclusion regions, and then the rinsing / dissolution method of the present invention as described above may be performed. .

図27は、エッジビード除去(エッジクリーンと呼ばれることがある)/スピン−リンス−ドライ(EBR/SRD)モジュールの組み合わせの断面図であり、基板を流体入口相互間に垂直方向に位置した処理位置で示している。本発明のこの実施形態は、エッジビーム除去(EBR)とスピン−リンス−ドライ(SRD)法の両方に有用である。EBR/SRDモジュールは好ましくは、SRDステーション212内に設けられる(図3参照)。EBR/SRDモジュール2200は、容器2100に、ウェーハホルダ組立体2104及び流体/化学薬品送出し組立体2106を有している。容器2102は好ましくは、円筒形側壁2108、中央開口部2112を備えた容器底部2110及び中央開口部2112の周縁部から上方に延びる上向き内壁2114を有する。使用済みの流体及び化学薬品をEBR/SRDモジュール2200から排出しやすくするために流体出口2116が容器の底部2110に連結されている。   FIG. 27 is a cross-sectional view of the edge bead removal (sometimes referred to as edge clean) / spin-rinse-dry (EBR / SRD) module combination with the substrate positioned vertically between the fluid inlets. Show. This embodiment of the invention is useful for both edge beam removal (EBR) and spin-rinse-dry (SRD) methods. The EBR / SRD module is preferably provided in the SRD station 212 (see FIG. 3). The EBR / SRD module 2200 includes a wafer holder assembly 2104 and a fluid / chemical delivery assembly 2106 in a container 2100. The container 2102 preferably has a cylindrical side wall 2108, a container bottom 2110 with a central opening 2112, and an upward inner wall 2114 extending upward from the peripheral edge of the central opening 2112. A fluid outlet 2116 is connected to the bottom 2110 of the container to facilitate draining spent fluids and chemicals from the EBR / SRD module 2200.

ウェーハホルダ組立体2104は、中央開口部2112の上方に設けられ、このウェーハホルダ組立体は、リフト又は吊上げ組立体2118及び中央開口部2112を貫通して延びる回転組立体2120を有する。リフト組立体2118は好ましくは、ベロータイプのリフト又は親ねじステッピングモータタイプのリフト組立体からなり、これらリフト組立体は当該技術分野では周知であって、市販されている。リフト組立体2118は、ウェーハ2122の搬送用にすると共に種々の垂直方向位置相互間におけるウェーハホルダ組立体2104上でのウェーハ2122の位置決めを容易にする。回転組立体2120は好ましくは、リフト組立体の下に取り付けられた回転モータからなる。回転組立体2120は、ウェーハ2122をエッジビード除去中、回転させる。   A wafer holder assembly 2104 is provided above the central opening 2112, which has a lift or lifting assembly 2118 and a rotating assembly 2120 that extends through the central opening 2112. The lift assembly 2118 preferably comprises a bellow type lift or a lead screw stepper motor type lift assembly, which are well known in the art and are commercially available. The lift assembly 2118 facilitates the positioning of the wafer 2122 on the wafer holder assembly 2104 between the various vertical positions for transporting the wafer 2122. The rotary assembly 2120 preferably comprises a rotary motor mounted below the lift assembly. Rotating assembly 2120 rotates wafer 2122 during edge bead removal.

ウェーハホルダ組立体2104は好ましくは、真空チャック2124を有し、この真空チャックは、ウェーハ2122をウェーハの裏側から固定し、ウェーハエッジ2126を妨害しない。好ましくは、環状シール2128、例えば圧縮可能なOリングが、エッジビード除去中に用いられる流体及び化学薬品から真空チャック2124を密封するために真空チャック表面の周囲部分のところに設けられている。ウェーハホルダ組立体2104は好ましくは、ウェーハを搬送ロボットのロボットブレードからウェーハホルダ組立体2104へ搬送しやすくするウェーハリフト2130を有する。ウェーハリフト2130は図27に示すように、スパイダクリップ組立体を有し、このスパイダクリップ組立体も又、ウェーハをスピン−リンス−ドライ作業中に固定するのに用いることができる。スパイダクリップ組立体は、環状ペース2136から延びる複数のアーム2134及びアーム2134の末端部に回動自在に設けられたスパイダクリップ2138を有する。環状ベース2136は、処理中に用いられる流体を容器2102の内側に収容するよう上向き内壁2114とオーバーラップする下方に延びる壁2137を有する。スパイダクリップ2138は、ウェーハを受け入れる上面2140、ウェーハをクランプするクランプ部分2142及び下方部分2144を有し、この下方部分2144により、クランプ部分2142は、ウェーハホルダ組立体を回転させた時の遠心力によりウェーハのエッジに係合する。変形例として、ウェーハリフト2130は、種々のウェーハ処理装置において一般に用いられるウェーハリフト、例えばリフトプラットホーム上に設けられる1組のリフトピン又はリフトフープ又は真空チャック本体内又はその周りに設けられるリフトリングを有する。   Wafer holder assembly 2104 preferably includes a vacuum chuck 2124 that secures wafer 2122 from the back side of the wafer and does not interfere with wafer edge 2126. Preferably, an annular seal 2128, such as a compressible O-ring, is provided at the peripheral portion of the vacuum chuck surface to seal the vacuum chuck 2124 from the fluids and chemicals used during edge bead removal. The wafer holder assembly 2104 preferably includes a wafer lift 2130 that facilitates transporting the wafer from the robot blade of the transport robot to the wafer holder assembly 2104. The wafer lift 2130 has a spider clip assembly, as shown in FIG. 27, which can also be used to secure the wafer during a spin-rinse-dry operation. The spider clip assembly has a plurality of arms 2134 extending from an annular pace 2136 and a spider clip 2138 that is pivotally provided at the end of the arm 2134. The annular base 2136 has a downwardly extending wall 2137 that overlaps the upwardly facing inner wall 2114 to accommodate the fluid used during processing inside the container 2102. The spider clip 2138 has an upper surface 2140 for receiving the wafer, a clamp portion 2142 for clamping the wafer, and a lower portion 2144 that allows the clamp portion 2142 to be subjected to centrifugal forces as the wafer holder assembly is rotated. Engage with the edge of the wafer. As a variant, the wafer lift 2130 has a wafer lift commonly used in various wafer processing equipment, for example a set of lift pins or lift hoops provided on a lift platform or a lift ring provided in or around a vacuum chuck body. .

流体/化学薬品送出し組立体2106は、1又は2以上のディスペンスアーム2152上に設けられた1又は2以上のノズル2150を有する。ディスペンスアーム2152は、容器側壁2108を貫通して延び、アクチュエータ2154に取り付けられており、このアクチュエータ2154は、基板2122上のノズル2150の位置を変えるよう伸縮する。伸縮可能なディスペンスアーム2152を設けることにより、ノズルをウェーハの内側部分からウェーハのエッジに差し向けるようノズルをウェーハ上に位置決めすることができ、これにより、ウェーハエッジへのエッチング剤/流体の送出しの制御性がよくなる。変形例として、ディスペンスアーム2152は、容器の側壁2108にしっかりと取り付けられ、ノズル2150は、容器2102内での垂直方向のウェーハ運動を邪魔しない位置でディスペンスアームに固定される。   The fluid / chemical delivery assembly 2106 has one or more nozzles 2150 provided on one or more dispensing arms 2152. The dispensing arm 2152 extends through the container sidewall 2108 and is attached to an actuator 2154 that expands and contracts to change the position of the nozzle 2150 on the substrate 2122. By providing a telescoping dispense arm 2152, the nozzle can be positioned on the wafer to direct the nozzle from the inner portion of the wafer to the edge of the wafer, thereby delivering an etchant / fluid to the wafer edge. Better controllability. Alternatively, the dispense arm 2152 is securely attached to the container sidewall 2108 and the nozzle 2150 is secured to the dispense arm in a position that does not interfere with vertical wafer movement within the container 2102.

好ましくは、ディスペンスアーム2152は、これを貫通して延びていて、ノズル2150をエッチング剤源に連結する1又は2以上の導管を有する。堆積金属を基板から除去するための種々のエッチング剤、例えば硝酸及び市販の他の酸が当該技術分野で周知である。変形例として、ノズル2150は、ディスペンスアーム2152内の導管を通って設けられた可撓性管2156中に連結される。ノズル2150を、1又は2以上の化学薬品/流体源、例えば、脱イオン水源2160及びエッチング剤源2162に選択的に連結でき、コンピュータ制御装置2164がこの連結状態を、所望のプログラムにしたがって1又は2以上の流体/化学薬品源相互間で切り換える。変形例として、第1の組をなすノズルが、脱イオン水源に連結され、第2の組をなすノズルがエッチング剤源に連結され、ノズルは流体をウェーハに送るよう選択的に動作状態にされる。   Preferably, the dispensing arm 2152 has one or more conduits extending therethrough and connecting the nozzle 2150 to the etchant source. Various etchants, such as nitric acid and other commercially available acids, for removing deposited metal from the substrate are well known in the art. Alternatively, the nozzle 2150 is coupled into a flexible tube 2156 provided through a conduit in the dispense arm 2152. Nozzle 2150 can be selectively coupled to one or more chemical / fluid sources, eg, deionized water source 2160 and etchant source 2162, and computer controller 2164 can determine this coupling state according to the desired program. Switch between two or more fluid / chemical sources. Alternatively, a first set of nozzles is connected to a deionized water source, a second set of nozzles is connected to an etchant source, and the nozzles are selectively activated to deliver fluid to the wafer. The

好ましくは、追加の組をなす下側ノズル2170が、好ましくはノズル2150の位置に垂直方向に一致して整列した状態でウェーハの下の位置に設けられる。下方ノズル2170は、脱イオン水源2160及びエッチング剤源2162に選択的に連結され、ノズル2170によって送り出される流体は、制御装置2164によって制御される。好ましくは、ノズル2170は、流体をウェーハの裏側の周囲部分に送り出すよう差し向けられる。下方ノズル2170は好ましくは、ウェーハリフト2130の動作を邪魔しない位置に設けられる。下方ノズル2170を、アーム2176を介してアクチュエータ2174に取り付けてもよく、このアーム2176は、ノズル2170を所望位置に位置決めするよう伸縮する。変形例として、下方ノズル2170を妨害しないようにするためにウェーハリフト2130を処理中回転させない。EBR/SRDモジュール2200は好ましくは、脱イオン水をウェーハの上面の中央部分に送り出すよう設けられた専用脱イオン水ノズル2172をさらに有する。   Preferably, an additional set of lower nozzles 2170 are provided at a position below the wafer, preferably aligned vertically aligned with the position of nozzle 2150. Lower nozzle 2170 is selectively connected to deionized water source 2160 and etchant source 2162, and the fluid delivered by nozzle 2170 is controlled by controller 2164. Preferably, nozzle 2170 is directed to deliver fluid to a peripheral portion on the back side of the wafer. The lower nozzle 2170 is preferably provided at a position that does not interfere with the operation of the wafer lift 2130. The lower nozzle 2170 may be attached to the actuator 2174 via an arm 2176 that extends and retracts to position the nozzle 2170 at a desired position. As a variant, the wafer lift 2130 is not rotated during processing so as not to obstruct the lower nozzle 2170. The EBR / SRD module 2200 preferably further includes a dedicated deionized water nozzle 2172 provided to deliver deionized water to the central portion of the upper surface of the wafer.

好ましくは、ノズル2150は、流体を実質的に接線方向でウェーハの周囲部分の近くにもたらすような角度に配置されている。図28は、エッジビーム除去を行うためのノズル位置の一例を示すEBR/SRDモジュールの平面図である。図示のように、3つのノズル2150は、容器の側壁2108の内面の周りに実質的に等間隔をおいて設けられている。角ノズル2150は、流体をウェーハのエッジ部分にもたらすよう配置されると共にウェーハを処理位置と搬送位置との間で垂直方向に運動させることができるのに十分なスペースを開けるよう位置決めされている。好ましくは、流体送出し又はスプレーパターンは、ノズルの形状及び流体の圧力によって制御され、それにより流体の送出しを選択されたエッジ除外領域に限定する。例えば、エッチング剤は、3mm分のエッジ除外を達成するためウェーハの外側の3mm環状部分に制限される。ノズルは、エッチング剤を、エッチング剤がウェーハに接触するとエッチングの跳ね掛けを制御するウェーハ表面への入射角度でもたらすよう位置決めされている。図29は、処理中のウェーハ2122に対して設けられたノズル2150の側面図である。好ましくは、ウェーハに対するエッチング剤の入射角αは、約0°〜約45°、より好ましくは約10°〜約30°である。   Preferably, the nozzle 2150 is positioned at an angle that provides fluid substantially tangentially and near the peripheral portion of the wafer. FIG. 28 is a plan view of an EBR / SRD module showing an example of a nozzle position for performing edge beam removal. As shown, the three nozzles 2150 are provided at substantially equal intervals around the inner surface of the side wall 2108 of the container. The angular nozzle 2150 is positioned to provide fluid to the edge portion of the wafer and is positioned to provide sufficient space to allow the wafer to move vertically between the processing position and the transfer position. Preferably, the fluid delivery or spray pattern is controlled by the nozzle shape and fluid pressure, thereby limiting fluid delivery to selected edge exclusion regions. For example, the etchant is limited to the outer 3 mm annular portion of the wafer to achieve 3 mm edge exclusion. The nozzle is positioned to provide an etchant at an angle of incidence on the wafer surface that controls etch splash when the etchant contacts the wafer. FIG. 29 is a side view of the nozzle 2150 provided for the wafer 2122 being processed. Preferably, the angle of incidence α of the etchant on the wafer is from about 0 ° to about 45 °, more preferably from about 10 ° to about 30 °.

ウェーハ2122を、エッジビーム除去中、回転させてウェーハの周囲部分のところでのエッチングに対する暴露を実質的に等しくする。好ましくは、ウェーハ2122を、エッチング剤のスプレーパターンの方向と同一方向に回転させてエッジビーム除去の制御を容易にする。例えば、図28に示すように、ウェーハを、反時計回りのスプレーパターンと一致した反時計回りの方向(矢印A)に回転させる。ウェーハを好ましくは、約100rpm〜約1000rpm、より好ましくは約500rpm〜約700rpmで回転させる。有効エッチング速度(即ち、除去に必要な時間で割った銅の除去量)は、エッチング剤のエッチング速度、ウェーハのエッジに接触するエッチング剤の速度、エッチング剤の温度及びウェーハの回転速度の関数である。これらパラメータを変化させると、特定の所望の結果を達成することができる。   Wafer 2122 is rotated during edge beam removal to provide substantially equal exposure to etching at the peripheral portion of the wafer. Preferably, the wafer 2122 is rotated in the same direction as the direction of the etchant spray pattern to facilitate control of edge beam removal. For example, as shown in FIG. 28, the wafer is rotated in a counterclockwise direction (arrow A) that matches the counterclockwise spray pattern. The wafer is preferably rotated from about 100 rpm to about 1000 rpm, more preferably from about 500 rpm to about 700 rpm. The effective etch rate (ie, the amount of copper removed divided by the time required for removal) is a function of the etch rate of the etchant, the rate of the etchant that contacts the edge of the wafer, the temperature of the etchant, and the rotation rate of the wafer. is there. Varying these parameters can achieve certain desired results.

動作原理を説明すると、ウェーハ2122をEBR/SRDモジュール2100のウェーハホルダ組立体2104上に配置し、2130がウェーハを搬送ロボットのブレードから持ち上げる。ロボットブレードが引っ込み、ウェーハリフト2130がウェーハを真空チャック2124上に下降させる。真空装置を作動させてウェーハ2122をこの上に固定し、ウェーハが載っているウェーハホルダ組立体2104を、ノズル2150がエッチング剤をウェーハ2122の周囲部分上に送り出しているときに回転させる。好ましくは、下方ノズル2170もまた、エッチング剤を、エッジビーム除去中、ウェーハの裏側に送り出す。好ましくは、脱イオン水ノズル2172が、エッジビーム除去中、脱イオン水をウェーハの中央部分に送り出してウェーハ表面の中央部分上に跳ね掛かったエッチング剤による意図しないエッチングを防止する。エッチング作業を、ウェーハエッジ上の過剰の堆積部(即ち、エッジビード)を除去するのに十分な所定の期間にわたって実施する。好ましくは、スピン−リンス−ドライ法で脱イオン水を用いてウェーハをクリーニングする。スピン−リンス−ドライ法は代表的には、脱イオン水をウェーハに送り出して残留エッチング剤をウェーハから洗い落とす段階及びウェーハを高速で回転させてウェーハを乾燥させる工程を含む。スピン−リンス−ドライ作業の場合、好ましくは、ノズル2150,2170,2172はすべて、脱イオン水を送り出してウェーハの回転中にウェーハをリンスする。ウェーハのリンス後、ウェーハを回転乾燥させて、次の処理のためにEBR/SRDモジュール2200から運び出す。   In operation, the wafer 2122 is placed on the wafer holder assembly 2104 of the EBR / SRD module 2100, and 2130 lifts the wafer off the blade of the transfer robot. The robot blade retracts and the wafer lift 2130 lowers the wafer onto the vacuum chuck 2124. The vacuum apparatus is activated to secure the wafer 2122 thereon, and the wafer holder assembly 2104 on which the wafer rests is rotated as the nozzle 2150 delivers etchant onto the peripheral portion of the wafer 2122. Preferably, the lower nozzle 2170 also delivers etchant to the backside of the wafer during edge beam removal. Preferably, deionized water nozzle 2172 delivers deionized water to the central portion of the wafer during edge beam removal to prevent unintentional etching by etchant that has splashed onto the central portion of the wafer surface. The etching operation is performed for a predetermined period sufficient to remove excess deposits (ie, edge beads) on the wafer edge. Preferably, the wafer is cleaned with deionized water by a spin-rinse-dry method. The spin-rinse-dry method typically includes the steps of delivering deionized water to the wafer to wash away residual etchant from the wafer and rotating the wafer at high speed to dry the wafer. For spin-rinse-dry operations, preferably nozzles 2150, 2170, 2172 all deliver deionized water to rinse the wafer during wafer rotation. After rinsing the wafer, the wafer is spin dried and removed from the EBR / SRD module 2200 for subsequent processing.

EBR/SRDモジュール2200又はSRDモジュール238は、ローディングステーション210に隣接して設けられており、ローディングステーション210とメインフレーム214との間の連結手段として役立つ。図2及び図3に戻ると、メインフレーム214は、図示のように、互いに反対側の側部に設けられた2つの処理ステーション218を有し、各処理ステーション218は、2つの処理セル240を有している。メインフレーム搬送ステーション216は、メインフレーム上における種々のステーション相互間の基板搬送を可能にするよう中央に設けられたメインフレーム搬送ロボット242を有している。好ましくは、メインフレーム搬送ロボット242は、複数の個々のロボットアーム2102を有し、これらロボットアーム2402は、処理ステーション218、SRDステーション212、シード層補修ステーション及びメインフレーム上に設けられ又はこれと関連して設けられた他の処理ステーション内のウェーハに別個独立に接近できる。図3に示すように、メインフレーム搬送ロボット242は、処理ステーション218の1個あたりの処理セル240の数に一致する2つのロボットアーム2402を有している。各ロボットアーム2402は、ウェーハ搬送中ウェーハを保持するエンドエフェクタ2404を有している。好ましくは、各ロボットアーム2402は、システム中におけるウェーハの別個独立の搬送を容易にするよう他のアームとは別個独立に動作可能である。変形例として、ロボットアーム2402は、1つのロボットアームが延びる際に他のロボットアームが引っ込むようにリンクした状態で動作する。   The EBR / SRD module 2200 or the SRD module 238 is provided adjacent to the loading station 210 and serves as a connection means between the loading station 210 and the main frame 214. 2 and 3, the main frame 214 has two processing stations 218 provided on opposite sides as shown, and each processing station 218 includes two processing cells 240. Have. The main frame transfer station 216 has a main frame transfer robot 242 provided in the center so as to enable transfer of substrates between various stations on the main frame. Preferably, the mainframe transfer robot 242 has a plurality of individual robot arms 2102, which are provided on or associated with the processing station 218, the SRD station 212, the seed layer repair station and the mainframe. The wafers in the other processing stations provided can be accessed separately and independently. As shown in FIG. 3, the mainframe transfer robot 242 has two robot arms 2402 that match the number of processing cells 240 per processing station 218. Each robot arm 2402 has an end effector 2404 that holds a wafer during wafer transfer. Preferably, each robotic arm 2402 is operable independently of the other arms to facilitate separate and independent transfer of wafers in the system. As a modification, the robot arm 2402 operates in a state of being linked so that another robot arm retracts when one robot arm extends.

好ましくは、メインフレーム搬送ステーション216は、メインフレーム搬送ロボット242上に設けられた足ひれ状ロボットエンドエフェクタ2404を有し、この足ひれ状ロボットエンドエフェクタは、ウェーハの面を下にした処理を必要とする処理セル240の場合に、ウェーハを面を上向きにした位置から面を下向きにした位置に移しやすくする。足ひれ状ロボットエンドエフェクタ2404は、足ひれ状ロボットエンドエフェクタ2404に沿う水平方向軸線沿いの回転運動を可能にする。好ましくは、足ひれ状ロボットエンドエフェクタ2404の末端部のところに設けられた真空吸引グリッパ254が、ウェーハをひっくり返して足ひれ状ロボットエンドエフェクタ2404によって運ばれている時にウェーハを保持する。足ひれ状ロボットエンドエフェクタ2404は、面を下にした処理が可能になるようウェーハ234を処理セル240内に位置決めする。本発明の電気めっき処理セルの詳細については以下に説明する。   Preferably, the mainframe transfer station 216 has a flip-shaped robot end effector 2404 provided on the mainframe transfer robot 242, which requires processing with the wafer side down. In the case of the processing cell 240, the wafer is easily moved from the position with the surface facing upward to the position with the surface facing downward. The flipper robot end effector 2404 allows for rotational movement along the horizontal axis along the flipper robot end effector 2404. Preferably, a vacuum suction gripper 254 provided at the distal end of the flipper robot end effector 2404 holds the wafer as it is flipped over and carried by the flipper robot end effector 2404. The flipper robot end effector 2404 positions the wafer 234 in the processing cell 240 so that surface down processing is possible. Details of the electroplating cell of the present invention will be described below.

図3は、足ひれ状ロボットが組み込まれたメインフレーム搬送ロボットを示している。メインフレーム搬送ロボット242は図24に示すように、ウェーハをメインフレームに取り付けられた種々のステーション相互間で搬送するのに役立ち、かかるステーションとしては、処理ステーション及びSRDステーションが挙げられる。メインフレーム搬送ロボット242は、複数のロボットアーム2402(2つ示している)を有し、足ひれ状ロボット2402が、ロボットアーム2402の各々のエンドエフェクタとして取り付けられている。足ひれ状ロボットは当該技術分野で一般に知られており、これをウェーハ取扱いロボット、例えばカリフォルニア州ミルピタス所在のローゼ・オートメーション・インコーポレーテッド(Rorze Automation, Inc.)から入手できるモデルRR701のエンドエフェクタとして取り付けることができる。エンドエフェクタとして足ひれ状ロボットを備えたメインフレーム搬送ロボット242は、基板をメインフレームに取り付けられた種々のステーション相互間で搬送できると共に搬送中の基板を所望の表面配向状態にひっくり返すことができ、例えば、基板の処理面は、電気めっき法の場合、下向きになる。例えば、足ひれ状ロボットは、基板の処理面を、処理セル240内における電気めっきのために面を下にひっくり返し、そして他の処理、例えばスピン−リンス−ドライ作業の場合、基板の処理面を面を上にひっくり返す。好ましくは、メインフレーム搬送ロボット242は、ロボットアーム2402によってX−Y−Z軸に沿う別個独立のロボット運動を可能にすると共に足ひれ状ロボットエンドエフェクタ2402により別個独立の基板裏返し回転を可能にする。足ひれ状ロボット2404をメインフレーム搬送ロボットのエンドエフェクタとして組み込むことにより、ウェーハ搬送作業は、メインフレーム搬送ロボットから足ひれ状ロボットへのウェーハの移送段階が省略されるので単純化される。   FIG. 3 shows a mainframe transfer robot in which a flipped robot is incorporated. As shown in FIG. 24, the mainframe transfer robot 242 serves to transfer wafers between various stations attached to the mainframe, such as a processing station and an SRD station. The main frame transfer robot 242 has a plurality of robot arms 2402 (two are shown), and the flip-shaped robot 2402 is attached as an end effector of each of the robot arms 2402. Flipper-like robots are generally known in the art and are mounted as end effectors for wafer handling robots such as model RR701 available from Rorze Automation, Inc., Milpitas, California. be able to. A mainframe transfer robot 242 equipped with a flip-shaped robot as an end effector can transfer a substrate between various stations attached to the mainframe and turn the substrate being transferred to a desired surface orientation state. For example, the processing surface of the substrate faces downward in the case of electroplating. For example, the flipper robot flips the processing surface of the substrate down for electroplating in the processing cell 240, and for other processing, such as spin-rinse-dry operations, the substrate processing surface. Turn the face up. Preferably, the mainframe transfer robot 242 allows independent robot movement along the X, Y, and Z axes by the robot arm 2402 and allows independent flipping of the substrate by the flip-shaped robot end effector 2402. . By incorporating the flip-shaped robot 2404 as an end effector of the mainframe transfer robot, the wafer transfer operation is simplified because the wafer transfer step from the mainframe transfer robot to the flip-shaped robot is omitted.

好ましくは、1又は2以上の無電気(又は、無電解)めっきセル又はモジュールが、シード層補修ステーション215内に設けられる。無電気めっきセル(なお、本明細書においては、無電気めっき処理(EDP)セルという)は、無電気めっき法を行う。EDPセルを、基板の入口から見て遠くに位置した電気めっき装置プラットホーム200の後方部分のところに設けるのがよい。図示の実施形態では、2つのEDPセルをスループット量を多くするため並置するのがよい。   Preferably, one or more electroless (or electroless) plating cells or modules are provided in the seed layer repair station 215. An electroless plating cell (referred to as an electroless plating (EDP) cell in this specification) performs an electroless plating method. The EDP cell may be provided at a rear portion of the electroplating device platform 200 located far from the substrate entrance. In the illustrated embodiment, two EDP cells may be juxtaposed to increase throughput.

図24は、1つのEDPセル3010の断面図である。EDPセル3010は、底部3012、側壁3014及び斜めに配置された上方シールド3016を有し、上方シールドは、側壁3014に取り付けられていて、その中間部は開口している。変形例として、着脱自在なカバー(図示せず)を用いてもよい。ペデスタル3018が、全体としてセル3010の中央部分内に設けられ、ペデスタルアクチュエータ3020を有している。ペデスタルアクチュエータ3020は、ペデスタル3018を回転させてこの上に取り付けられた基板3022を約10〜約2000rpmで回転させる。基板の温度が約15℃〜約100℃、好ましくは約60℃になるようにペデスタルを加熱するのがよい。ペデスタルリフト3024が、ペデスタル3018を昇降させる。基板3022を、ペデスタル3018の頂部に取り付けられた真空チャック3026で定位置に保持するのがよい。加うるに、ペデスタル3018は、基板3022を、複数のクランプ3028と整列した垂直位置まで下降させることができる。クランプ3028は、遠心力で回動して基板3022に好ましくは基板のエッジのところに係合する。ペデスタル3018は下方に設けられた環状シールド3030をさらに有し、この環状シールド3030は、セル3010の底部に結合された状態でこれに対応して上方に設けられた環状シールド3032よりも直径が大きい。2つの環状シールド3030,3032の相互作用により、ペデスタル3018及び関連構成部品がセル3010内の流体から保護される。少なくとも1つの流体出口3034が、流体をセルから流出させることができるようセル3010の底部に設けられている。   FIG. 24 is a cross-sectional view of one EDP cell 3010. The EDP cell 3010 includes a bottom portion 3012, a side wall 3014, and an upper shield 3016 disposed obliquely. The upper shield is attached to the side wall 3014, and an intermediate portion thereof is open. As a modification, a removable cover (not shown) may be used. A pedestal 3018 is generally provided in the central portion of the cell 3010 and has a pedestal actuator 3020. The pedestal actuator 3020 rotates the pedestal 3018 to rotate the substrate 3022 mounted thereon at about 10 to about 2000 rpm. The pedestal may be heated so that the temperature of the substrate is about 15 ° C to about 100 ° C, preferably about 60 ° C. A pedestal lift 3024 raises and lowers the pedestal 3018. The substrate 3022 may be held in place by a vacuum chuck 3026 attached to the top of the pedestal 3018. In addition, the pedestal 3018 can lower the substrate 3022 to a vertical position aligned with the plurality of clamps 3028. The clamp 3028 is rotated by centrifugal force to engage the substrate 3022, preferably at the edge of the substrate. The pedestal 3018 further includes an annular shield 3030 provided below, and the annular shield 3030 is coupled to the bottom of the cell 3010 and has a larger diameter than the corresponding annular shield 3032 provided above. . The interaction of the two annular shields 3030, 3032 protects the pedestal 3018 and related components from the fluid in the cell 3010. At least one fluid outlet 3034 is provided at the bottom of the cell 3010 so that fluid can flow out of the cell.

無電気めっき流体を流通させる第1の導管3036が、セル3010に結合されている。導管3036は、ホース、パイプ、管又は他の流体収容導管であるのがよい。無電気めっき流体弁3038が、無電気めっき流体の流量を制御し、本明細書で開示した弁は、ニードル弁、グローブ弁、蝶形弁又は他の形式の弁であるのがよく、またこれら弁は、弁アクチュエータ、例えばソレノイドを有するのがよい。無電気めっき流体容器3044が、制御装置3040で制御できる弁3038に連結されている。一連の弁3042a〜3042fが、種々の化学薬品源(図示せず)に結合されており、この場合、弁3042a〜3042fを制御装置3040を用いて別個独立に制御することができる。好ましくは、無電気めっき流体を、必要に応じ、導管3036及びこれと関連した要素への時期尚早な無電気めっきを避けるために堆積前の時間をそれほど長くしないで基板3022上への堆積のための個々の塗布量で混合する。したがって、弁3038,3042a〜3042fは好ましくは、セル3010に密接して配置される。第1の導管3036が、基板を下方位置に配置したときに基板3022の上方に位置する第1の流体入口3046に連結され、好ましくは、関節連結部材3048、例えば玉継手に結合され、入口3046の運動を可能にすると共にセル3010内における入口3046の角度調整を可能にする。第1のノズル3050が、入口3046の端部に連結され、ペデスタル3018に差し向けられている。流体は全体として、スプレーパターンで送り出され、かかるスプレーパターンは、特定の所望ノズルスプレーパターンに応じて様々であるのがよく、かかるパターンとしては、扇形、ジェット形、円錐形及び他のパターンが挙げられる。好ましくは、ノズル3050は、基板を妨害しないで昇降させることができるよう基板3022の周囲の外部に設けられる。変形例として、ノズル3050を横方向、垂直方向又はこの幾つかの組み合わせの方向に移動させて基板を上昇させ又は下降させる時に基板の垂直方向隙間を生じさせるアクチュエータ(図示せず)を用いてノズル3050をセル3010の周囲に向かって関節連結してもよい。   A first conduit 3036 for flowing electroless plating fluid is coupled to the cell 3010. Conduit 3036 may be a hose, pipe, tube or other fluid containing conduit. An electroless fluid valve 3038 controls the flow rate of the electroless fluid, and the valves disclosed herein may be needle valves, globe valves, butterfly valves, or other types of valves, and these The valve may have a valve actuator, such as a solenoid. An electroless fluid container 3044 is coupled to a valve 3038 that can be controlled by a controller 3040. A series of valves 3042a through 3042f are coupled to various chemical sources (not shown), in which case the valves 3042a through 3042f can be independently controlled using the controller 3040. Preferably, an electroless plating fluid is optionally deposited on the substrate 3022 without increasing the pre-deposition time to avoid premature electroless plating on the conduit 3036 and associated elements. Mix in individual application amounts. Thus, the valves 3038, 3042a-3042f are preferably placed in close proximity to the cell 3010. A first conduit 3036 is coupled to a first fluid inlet 3046 located above the substrate 3022 when the substrate is positioned in a lower position, and is preferably coupled to an articulation member 3048, such as a ball joint, and the inlet 3046. As well as the angular adjustment of the inlet 3046 within the cell 3010. A first nozzle 3050 is connected to the end of the inlet 3046 and is directed to the pedestal 3018. The fluid as a whole is delivered in a spray pattern, which can vary depending on the particular desired nozzle spray pattern, including fan, jet, cone and other patterns. It is done. Preferably, the nozzle 3050 is provided outside the periphery of the substrate 3022 so that the nozzle 3050 can be moved up and down without obstructing the substrate. As a modification, the nozzle 3050 is moved in the lateral direction, the vertical direction, or some combination thereof, and an actuator (not shown) that creates a vertical gap in the substrate when the substrate is raised or lowered is used. 3050 may be articulated towards the periphery of cell 3010.

第1の導管及び関連の要素と同様に、第2の導管3052は、側壁3014を貫通して設けられている。第2の導管3052は、リンス流体、例えば脱イオン水又はアルコールの経路となり、このリンス流体は、無電気めっき後、基板3022をリンスするのに用いられる。第2の入口3054が、第2の導管3052に連結され、第2のノズル3056が第2の入口3054に連結されている。関節連結部材3059が第2の入口3054に結合され、かかる関節連結部材を用いてセル3010に対する入口の運動及び角度調整を可能にすることができる。第2の弁3058が、第2の導管3052に連結され、この第2の弁は好ましくは、リンス流体のタイミング及び流量を制御する。第2の導管はまた、低濃度の酸又は流体の源及び流体を制御するための弁に結合するのがよい。変形例として、酸源を別個の導管(図示せず)に結合してもよい。例示の流体としては、塩酸、硫酸、燐酸、弗化水素酸又は電気めっき法に先立って酸化及び他の汚染要因物から層を保護するために無電気めっき後に基板表面を被覆するのに用いることができる他の液体又は流体が挙げられる。かくして、基板を次に行う処理、例えば「濡れた」状態で電気めっきを行うよう移送して酸化物及び他の汚染要因物を最小限に抑えるのがよい。もし基板が無電気めっき法の実施後に所定期間にわたって面を上にした位置に維持されていれば濡れた状態での搬送を一段と行いやすくなる。   Similar to the first conduit and related elements, the second conduit 3052 is provided through the sidewall 3014. The second conduit 3052 provides a path for a rinsing fluid, such as deionized water or alcohol, which is used to rinse the substrate 3022 after electroless plating. A second inlet 3054 is connected to the second conduit 3052 and a second nozzle 3056 is connected to the second inlet 3054. An articulation member 3059 is coupled to the second inlet 3054, and such articulation member can be used to allow for movement and angular adjustment of the inlet relative to the cell 3010. A second valve 3058 is coupled to the second conduit 3052, which preferably controls the timing and flow rate of the rinse fluid. The second conduit may also be coupled to a low concentration acid or fluid source and a valve for controlling the fluid. Alternatively, the acid source may be coupled to a separate conduit (not shown). Exemplary fluids may be used to coat the substrate surface after electroless plating to protect the layer from oxidation and other contaminants prior to hydrochloric acid, sulfuric acid, phosphoric acid, hydrofluoric acid or electroplating processes. Other liquids or fluids that can be used. Thus, the substrate may be transferred to subsequent processing, such as electroplating in a “wet” state, to minimize oxides and other contaminants. If the substrate is maintained at a position with the surface facing up for a predetermined period after the electroless plating method is carried out, it becomes easier to carry in a wet state.

制御装置3040は好ましくは、各弁及びかくして各流体タイミング及び流量を制御する。制御装置3040は好ましくは、基板のスピン及びペデスタル、かくしてこの上に載置された基板の昇降を制御する。制御装置3040を、例えば制御盤又は制御室内に遠隔配置するのがよく、給排水設備を遠隔アクチュエータで制御するのがよい。   Controller 3040 preferably controls each valve and thus each fluid timing and flow rate. Controller 3040 preferably controls the spin and pedestal of the substrate, and thus the elevation of the substrate placed thereon. The control device 3040 may be remotely arranged, for example, in a control panel or a control room, and the water supply / drainage facility may be controlled by a remote actuator.

動作原理を説明すると、ロボット(図示せず)は、基板3022を上向きにEDPセル3010まで送る。基板3022上にはシード層が既に例えばPVD又はIMP処理法により被着されている。ペデスタル3018が上昇し、真空チャック3026が基板3022の下面に係合する。ロボットが引っ込み、ペデスタル3018が処理高さ位置まで下降する。制御装置3040は、弁3042a〜3042fを作動させて化学薬品を無電気流体容器3044内に入れ、化学薬品を混合し、制御装置は、無電気めっき流体弁3038を作動させてこれを開き、それにより或る量の無電気めっき流体を第1の入口3046に注入しそして第1のノズル3050に通す。好ましくは、ペデスタル3018は約10〜約500rpmの比較的遅い速度で回転し、かくしてある量の流体が基板3022を一様に被覆できるようになる。流体を基板全体にわたり一様に広げるのに役立つようにするために基板の方向を交互に逆にするのがよい。無電気めっき流体弁3038を閉じる。無電気めっき流体は、自動触媒作用であらかじめ被着されたシード層上に層を形成し、先に被着された層の空所を互いに結合して高いアスペクト比の特徴をしていてもより完全な被膜が得られるようにする。好ましくは、無電気めっき法は、たいていの基板について約100Å〜約400Åのめっきを行う。   Explaining the principle of operation, a robot (not shown) sends the substrate 3022 upward to the EDP cell 3010. A seed layer has already been deposited on the substrate 3022 by, for example, PVD or IMP processing. The pedestal 3018 rises and the vacuum chuck 3026 engages the lower surface of the substrate 3022. The robot retracts and the pedestal 3018 descends to the processing height position. The controller 3040 actuates valves 3042a-3042f to place the chemical in the electroless fluid container 3044, mixes the chemical, and the controller actuates the electroless fluid valve 3038 to open it. Inject a quantity of electroless plating fluid into the first inlet 3046 and through the first nozzle 3050. Preferably, the pedestal 3018 rotates at a relatively slow speed of about 10 to about 500 rpm, so that an amount of fluid can uniformly coat the substrate 3022. The orientation of the substrate may be reversed alternately to help spread the fluid uniformly across the substrate. Close the electroless plating fluid valve 3038. Electroless plating fluids may form a layer on a pre-deposited seed layer by autocatalysis and combine the cavities of the previously deposited layer together to have high aspect ratio characteristics. Ensure that a complete coating is obtained. Preferably, the electroless plating process provides about 100 to about 400 mm of plating for most substrates.

第2の弁3058が開き、リンス流体が第2の導管3052を通って流れ、第2のノズル3056を通って基板3022上にスプレーされる。好ましくは、ペデスタル3018は残りの無電気めっき流体を基板3022からリンスし、出口3034を通って排出して廃棄しながら、約100〜約500rpmの早い速度で回転する。基板を酸又は他の被覆流体で被覆するのがよい。場合によっては、ペデスタル3018は、約500〜約2000rpmの早い速度でスピン(回転)して基板3022を回転乾燥させることができる。   Second valve 3058 opens and rinse fluid flows through second conduit 3052 and is sprayed onto substrate 3022 through second nozzle 3056. Preferably, the pedestal 3018 rotates at a high speed of about 100 to about 500 rpm while rinsing the remaining electroless plating fluid from the substrate 3022 and exhausting and discarding it through the outlet 3034. The substrate may be coated with acid or other coating fluid. In some cases, the pedestal 3018 can spin (rotate) at a fast rate of about 500 to about 2000 rpm to spin dry the substrate 3022.

ペデスタル3018は、回転を停止し、基板3022をEDPセル3010の上方の位置まで上昇させる。真空チャック3026は、基板3022を離し、ロボットは電気めっきセル内での次の処理のために基板を取り出す。   The pedestal 3018 stops rotating and raises the substrate 3022 to a position above the EDP cell 3010. The vacuum chuck 3026 releases the substrate 3022 and the robot removes the substrate for subsequent processing in the electroplating cell.

図6は、本発明の電気めっき処理セル400の断面図である。図6に示すような電気めっき処理セル400は、図2及び図3に示すような電気めっき処理セル240と同一である。処理セル400は主要構成要素として、ヘッド組立体410、処理キット420及び電解液コレクタ440を有している。好ましくは、電解質コレクタ440は、処理キット420の配設場所を定める開口部443を覆った状態でメインフレーム214の本体442に固定されている。電解液コレクタ440は、内壁446、外壁448及びこれらの壁を互いに連結する底部447を有している。電解液出口449が、電解液コレクタ440の底部447を貫通して設けられ、この出口は、管、ホース、パイプ又は他の流体搬送コネクタを介して電解液補給装置220(図2参照)に連結されている。   FIG. 6 is a cross-sectional view of the electroplating cell 400 of the present invention. An electroplating cell 400 as shown in FIG. 6 is the same as the electroplating cell 240 as shown in FIGS. The processing cell 400 includes a head assembly 410, a processing kit 420, and an electrolyte collector 440 as main components. Preferably, the electrolyte collector 440 is fixed to the main body 442 of the main frame 214 so as to cover the opening 443 that defines the location of the processing kit 420. The electrolyte collector 440 has an inner wall 446, an outer wall 448, and a bottom 447 that connects the walls together. An electrolyte outlet 449 is provided through the bottom 447 of the electrolyte collector 440 that connects to the electrolyte replenisher 220 (see FIG. 2) via a tube, hose, pipe or other fluid transport connector. Has been.

ヘッド組立体410は、ヘッド組立体フレーム452に取り付けられている。ヘッド組立体フレーム452は、取付け支柱454及び片持ちアーム456を有している。取付け支柱454は、メインフレーム214の本体442に取り付けられ、片持ちアーム456は、取付け支柱454の上方部分から側方に延びている。好ましくは、取付け支柱454は、ヘッド組立体410の回転を可能にするために取付け支柱に沿う垂直方向軸線に対して回転運動を生じさせる。ヘッド組立体410は、片持ちアーム456の末端部のところに設けられた取付けプレート460に取り付けられている。片持ちアーム456の下端部は、取付け支柱454に取付けられた片持ちアームアクチュエータ457、例えば空気圧シリンダに連結されている。片持ちアームアクチュエータ457は、片持ちアーム456と取付け支柱454との間の結合部に対する片持ちアーム456の回動運動を可能にする。片持ちアームアクチュエータ457を引っ込めると、片持ちアーム456はヘッド組立体410を処理キット420から遠ざけて処理キット420を電気めっき処理セル400から取り出すと共に(或いは)交換するのに必要な間隔を生じさせる。片持ちアームアクチュエータ457を伸長させると、片持ちアーム456はヘッド組立体410を処理キット420に近付けてヘッド組立体410内のウェーハを処理位置に位置決めする。   The head assembly 410 is attached to the head assembly frame 452. The head assembly frame 452 has a mounting post 454 and a cantilever arm 456. The mounting post 454 is attached to the main body 442 of the main frame 214, and the cantilever arm 456 extends laterally from an upper portion of the mounting post 454. Preferably, the mounting post 454 causes a rotational movement relative to a vertical axis along the mounting post to allow rotation of the head assembly 410. The head assembly 410 is attached to a mounting plate 460 provided at the end of the cantilever arm 456. The lower end portion of the cantilever arm 456 is connected to a cantilever arm actuator 457 attached to the attachment column 454, for example, a pneumatic cylinder. The cantilever arm actuator 457 allows the cantilever arm 456 to pivot relative to the joint between the cantilever arm 456 and the mounting post 454. When the cantilever arm actuator 457 is retracted, the cantilever arm 456 moves the head assembly 410 away from the process kit 420 and creates the spacing necessary to remove and / or replace the process kit 420 from the electroplating process cell 400. . When the cantilever arm actuator 457 is extended, the cantilever arm 456 brings the head assembly 410 close to the processing kit 420 and positions the wafer in the head assembly 410 at the processing position.

ヘッド組立体410は主要構成要素として、ウェーハホルダ組立体450及びウェーハ組立体アクチュエータ458を有している。ウェーハ組立体アクチュエータ458は、取付けプレート460に取り付けられ、取付けプレート460を貫通して下方に延びるヘッド組立体シャフト462を有している。ヘッド組立体シャフト462の下端部は、ウェーハホルダ組立体450を処理位置及びウェーハローディング位置に位置決めするようウェーハホルダ組立体450に連結されている。   The head assembly 410 includes a wafer holder assembly 450 and a wafer assembly actuator 458 as main components. Wafer assembly actuator 458 is attached to mounting plate 460 and has a head assembly shaft 462 extending downwardly through mounting plate 460. The lower end of the head assembly shaft 462 is connected to the wafer holder assembly 450 so as to position the wafer holder assembly 450 at the processing position and the wafer loading position.

ウェーハホルダ組立体450は主要構成要素として、ウェーハホルダ464及び陰極接点リング466を有している。図7は、本発明の陰極接点リング466の一実施形態の断面図である。一般に、接点リング466は、複数の導電性部材が設けられた環状本体を有している。環状本体は、複数の導電性部材を電気的に絶縁するよう絶縁材料で作られている。本体及び導電性部材は一緒になって、直径方向内側基板着座面を形成し、この着座面は、処理中、基板を支持し、これに電流を流す。   The wafer holder assembly 450 includes a wafer holder 464 and a cathode contact ring 466 as main components. FIG. 7 is a cross-sectional view of one embodiment of the cathode contact ring 466 of the present invention. In general, the contact ring 466 has an annular body provided with a plurality of conductive members. The annular body is made of an insulating material so as to electrically insulate a plurality of conductive members. The body and the conductive member together form a diametrically inner substrate seating surface that supports the substrate and passes current through it during processing.

次に図7を詳細に参照すると、接点リング466は主要構成要素として、環状絶縁本体770内に少なくとも部分的に設けられた複数の導電性部材765を有している。絶縁本体770は、フランジ762及び下方に傾斜した肩部分764を備えた状態で示されており、この肩部分は、フランジ762の下に位置した基板着座面768に通じていて、フランジ762及び基板着座面768が、互いにずれて実質的に互いに平行な平面内に位置するようになっている。かくして、フランジ762は、第1の平面を構成すると考えることができ、基板着座面768は、第1の平面に平行な第2の平面を定め、肩764はこれら2つの平面相互間に位置する。しかしながら、図7に示す設計の接点リングは、単なる例示であることが意図されている。別の実施形態では、肩部分764は、フランジ762と基板着座面768の両方に実質的に垂直であるように実質的に垂直な角度を含む急角度のものであってもよい。変形例として、接点リング466は、実質的に平らであってもよく、それにより肩部分764が不要になる。しかしながら、以下に説明する理由で、好ましい実施形態は、図6に示す肩部分764又はその或る程度の設計変更部分を有する。   Referring now to FIG. 7 in detail, the contact ring 466 includes a plurality of conductive members 765 that are at least partially disposed within the annular insulating body 770 as a major component. The insulating body 770 is shown with a flange 762 and a downwardly inclined shoulder portion 764 that communicates with a substrate seating surface 768 located below the flange 762 and includes the flange 762 and the substrate. The seating surfaces 768 are offset from each other and lie in planes that are substantially parallel to each other. Thus, the flange 762 can be considered to constitute a first plane, the substrate seating surface 768 defines a second plane parallel to the first plane, and the shoulder 764 is located between the two planes. . However, the contact ring of the design shown in FIG. 7 is intended to be exemplary only. In another embodiment, the shoulder portion 764 may be of a steep angle that includes a substantially perpendicular angle so that it is substantially perpendicular to both the flange 762 and the substrate seating surface 768. Alternatively, contact ring 466 may be substantially flat, thereby eliminating the need for shoulder portion 764. However, for reasons explained below, the preferred embodiment has the shoulder portion 764 shown in FIG. 6 or some design modification thereof.

導電性部材765は、フランジ762上に環状に設けられた複数の外側電気接点パッド780、基板着座面768の一部上に設けられた複数の内側電気接点パッド772及びパッド772,780を互いに連結する複数の埋め込み状態の導電性コネクタ776によって構成されている。導電性部材765は、絶縁本体770によって互いに絶縁されており、この絶縁本体は、プラスチック、例えばポリ弗化ビニリデン(PVDF)、ペルフルオロアルコキシ樹脂(PFA)、テフロン(Teflon:登録商標)、テフゼル(Tefzel:登録商標)又は任意他の絶縁材料、例えばアルミナ(Al23 )又は他のセラミックスで作られたものであるのがよい。外側接点パッド780は、電源(図示せず)に結合されていて、処理中コネクタ776を介して電流及び電圧を内側接点パッド772に送るようになっている。内側接点パッド772は、基板の周囲部分周りの接触を維持することにより基板に電流及び電圧を供給する。かくして、動作中、導電性部材765は、基板に電気的に接続された別々の電流路として役立つ。 The conductive member 765 connects the plurality of outer electrical contact pads 780 provided in a ring shape on the flange 762 and the plurality of inner electrical contact pads 772 and pads 772, 780 provided on a part of the substrate seating surface 768 to each other. And a plurality of embedded conductive connectors 776. The conductive members 765 are insulated from each other by an insulating body 770, which is made of plastic, such as polyvinylidene fluoride (PVDF), perfluoroalkoxy resin (PFA), Teflon (registered trademark), Tefzel. :) or any other insulating material such as alumina (Al 2 O 3 ) or other ceramics. Outer contact pad 780 is coupled to a power source (not shown) and is adapted to send current and voltage to inner contact pad 772 via connector 776 during processing. Inner contact pad 772 provides current and voltage to the substrate by maintaining contact around the peripheral portion of the substrate. Thus, in operation, the conductive member 765 serves as a separate current path that is electrically connected to the substrate.

抵抗率が低いこと、逆に言えば導電性が高いことは、良好なめっきと直接関連している。抵抗率を低くするため、導電性部材765は好ましくは、銅(Cu)、プラチナ(Pt)、タンタル(Ta)、チタン(Ti)、金(Au)、銀(Ag)、ステンレス鋼又は他の導電性材料で作られる。低抵抗率及び低接触抵抗は又、導電性部材765を導電性材料で被覆することによっても達成できる。かくして、導電性部材765を例えば、銅(銅の抵抗率は、約2×10-8Ω・m)で作り、プラチナ(プラチナの抵抗率は、約10.6×10-8Ω・m)で被覆するのがよい。被膜、例えば窒化タンタル(TaN)、窒化チタン(TiN)、ロジウム(Rh)、Au、Cu、又はAgを導電性基材、例えばステンレス鋼、モリブデン(Mo)、Cu及びTi上に被着させてもよい。さらに、接点パッド772,780は代表的には、導電性コネクタ776に接着された別個のユニットなので、接点パッド772,780は、一方の材料、例えばCu及び他方の導電性部材765、例えばステンレス鋼から成るのがよい。パッド772,780のいずれか一方又は両方及び導電性コネクタ776を導電性材料で被覆するのがよい。さらに、めっきの再現性が絶縁体として働く酸化物によって悪影響を受ける場合があるので、内側接点パッド772は好ましくは、耐酸化性の材料、例えばPt、Ag又はAuから成る。 Low resistivity, or conversely high conductivity, is directly related to good plating. In order to reduce the resistivity, the conductive member 765 is preferably copper (Cu), platinum (Pt), tantalum (Ta), titanium (Ti), gold (Au), silver (Ag), stainless steel or other Made of conductive material. Low resistivity and low contact resistance can also be achieved by coating the conductive member 765 with a conductive material. Thus, for example, the conductive member 765 is made of copper (the resistivity of copper is about 2 × 10 −8 Ω · m), and platinum (the resistivity of platinum is about 10.6 × 10 −8 Ω · m). It is better to cover with. A coating such as tantalum nitride (TaN), titanium nitride (TiN), rhodium (Rh), Au, Cu, or Ag is deposited on a conductive substrate such as stainless steel, molybdenum (Mo), Cu and Ti. Also good. Further, since the contact pads 772, 780 are typically separate units bonded to the conductive connector 776, the contact pads 772, 780 can be made of one material, eg, Cu, and the other conductive member 765, eg, stainless steel. It is good to consist of. Either or both of pads 772, 780 and conductive connector 776 may be coated with a conductive material. Further, the inner contact pad 772 is preferably made of an oxidation resistant material, such as Pt, Ag or Au, since plating reproducibility may be adversely affected by oxides acting as insulators.

各回路の全抵抗は、接点材料の関数であることに加えて、内側接点パッド772の幾何学的寸法又は形状及び接点リング466によって供給される力に依存している。これら要因は、2つの表面相互間の凹凸に起因して内側接点パッド772と基板着座面768の境界部のところに集中抵抗RCRを定める。一般に、加えられた力が大きいと、見かけの面積もまた大きい。見かけの面積は、RCRに反比例するので見かけの面積が増大すると、その結果RCRが減少する。かくして、全抵抗を最小限に抑えるためには、力を最大にすることが好ましい。動作中加えられる最大の力は、過度の力及びその結果生じる圧力を受けた状態で損傷する場合のある基板の降伏強さによって制限される。しかしながら、圧力は力と面積の両方に関連しているので、耐えることができる最大の力もまた、内側接点パッド772の幾何学的形状に依存している。かくして、接点パッド772は、図7に示すような平らな上面を有するのがよいが、他の形状も又、有利に使用できる。例えば、2つの好ましい形状が、図8及び図9に示されている。図8は、刃先(ナイフエッジ)の形をした接点パッドを示し、図9は、半球形の接点パッドを示している。当業者であれば、他の形状を有利に使用できることは理解されよう。接触の幾何学的形状、力及び抵抗相互間の関係についての一層詳しい説明が、ケニース・イー・ピットニイ(Kenneth E. Pitney )著“Ney Contact Manual”(The J. M. Companey, 1973)に記載されており、かかる文献の内容全体を本明細書の一部を形成するものとしてここに引用する。 In addition to being a function of the contact material, the total resistance of each circuit depends on the geometry or shape of the inner contact pad 772 and the force provided by the contact ring 466. These factors define a concentrated resistance R CR at the boundary between the inner contact pad 772 and the substrate seating surface 768 due to the unevenness between the two surfaces. In general, the greater the force applied, the greater the apparent area. Since the apparent area is inversely proportional to R CR , increasing the apparent area results in a decrease in R CR . Thus, in order to minimize the total resistance, it is preferable to maximize the force. The maximum force applied during operation is limited by the yield strength of the substrate that can be damaged under excessive forces and the resulting pressure. However, since pressure is related to both force and area, the maximum force that can be withstood also depends on the geometry of the inner contact pad 772. Thus, the contact pads 772 may have a flat top surface as shown in FIG. 7, but other shapes may also be used advantageously. For example, two preferred shapes are shown in FIGS. FIG. 8 shows a contact pad in the form of a cutting edge (knife edge), and FIG. 9 shows a hemispherical contact pad. One skilled in the art will appreciate that other shapes can be used to advantage. A more detailed explanation of the relationship between contact geometry, forces and resistance is given in “Ney Contact Manual” (The JM Companey, 1973) by Kenneth E. Pitney. The entire contents of such documents are hereby incorporated by reference as forming part of this specification.

コネクタ776の数を、所望の接点パッド772(図7に示す)の特定の数に応じて様々であってよい。200mm基板の場合、好ましくは、少なくとも24個のコネクタ776が360°にわたって等角度間隔に設けられている。しかしながら、コネクタの数が限界レベルに達すると、接点リング466に対する基板のコンプライアンスに悪影響が生じる。したがって、25個以上のコネクタ776を用いることができるが、最終的には、接点パッド772の地形学的特徴及び基板の剛性に応じて接触の一様性が減少する場合がある。これと同様に、23個以下のコネクタ776を用いた場合、電流はますます限流されて局所化され、これによりめっき結果が不良になる。本発明の寸法形状は特定の用途(例えば、300mm基板)に合わせて容易に変えられるので、最適の数を様々な比率及び実施形態に合わせて容易に決定できる。   The number of connectors 776 may vary depending on the particular number of contact pads 772 desired (shown in FIG. 7). In the case of a 200 mm substrate, preferably at least 24 connectors 776 are provided at equiangular intervals over 360 °. However, when the number of connectors reaches a critical level, the compliance of the board to the contact ring 466 is adversely affected. Thus, more than 25 connectors 776 can be used, but ultimately the contact uniformity may be reduced depending on the topographic features of the contact pads 772 and the stiffness of the substrate. Similarly, when 23 or fewer connectors 776 are used, the current is increasingly limited and localized, which results in poor plating results. Since the dimensions and shapes of the present invention can be easily changed for a particular application (eg, 300 mm substrate), the optimal number can be easily determined for various ratios and embodiments.

図10に示すように、基板着座面768は、絶縁本体770上に設けられていて、接点リング466の内周部を構成するよう内側接点パッド772まで直径方向内側に延びる絶縁ガスケット782を有している。絶縁ガスケット782は好ましくは、内側接点パッド772の上に僅かに(例えば、数ミル)延び、好ましくは、エラストマ、例えばヴィトン(Viton :登録商標)、テフロン(Teflon:登録商標)、ブナラバ(buna rubber:登録商標)等から成る。絶縁本体770も又、エラストマから成る場合、絶縁ガスケット782はこれと同一の材料で作られたものであるのがよい。かかる実施形態では、絶縁ガスケット782及び絶縁本体770は、一体形のもの、即ち、単一部品として形成されるのがよい。しかしながら、絶縁ガスケット782は好ましくは、絶縁本体770とは別体であり、交換又はクリーニングが行えるよう容易に取り外すことができるようになっている。   As shown in FIG. 10, the substrate seating surface 768 has an insulating gasket 782 provided on the insulating body 770 and extending radially inward to the inner contact pad 772 so as to form the inner periphery of the contact ring 466. ing. The insulating gasket 782 preferably extends slightly (eg, a few mils) over the inner contact pad 772 and preferably is an elastomer such as Viton®, Teflon®, buna rubber. : Registered trademark). If the insulating body 770 is also made of an elastomer, the insulating gasket 782 may be made of the same material. In such an embodiment, the insulating gasket 782 and the insulating body 770 may be formed as a single piece, i.e., as a single piece. However, the insulating gasket 782 is preferably separate from the insulating body 770 so that it can be easily removed for replacement or cleaning.

図10は、絶縁ガスケット全体が絶縁本体770上に着座する絶縁ガスケット782の好ましい実施形態を示しているが、図8及び図9は、変形実施形態を示している。かかる変形実施形態では、絶縁本体770は部分的に切削されて連結部材776の上面を露出させており、絶縁がスケット782はこの上に設けられている。かくして、絶縁ガスケット782は、連結部材776の一部と接触している。この設計では、内側接点パッド772に用いられる所要材料の量が少なく、これは、材料費が相当高い場合、例えば内側接点パッド772が金で作られる場合に有利である。当業者であれば、本発明の範囲から逸脱しない他の実施形態を想到できよう。   FIG. 10 shows a preferred embodiment of an insulating gasket 782 in which the entire insulating gasket sits on the insulating body 770, while FIGS. 8 and 9 show a modified embodiment. In such an alternative embodiment, the insulating body 770 is partially cut to expose the upper surface of the connecting member 776 and the insulation sket 782 is provided thereon. Thus, the insulating gasket 782 is in contact with a part of the connecting member 776. In this design, the amount of required material used for the inner contact pad 772 is small, which is advantageous if the material cost is quite high, for example if the inner contact pad 772 is made of gold. Those skilled in the art will envision other embodiments within the scope of the invention.

処理中、絶縁ガスケット782は、基板めっき面の周囲部分との接触状態を保ち、残りの陰極接点リング466と基板との間のシールを構成するよう圧縮される。このシールは、電解液が基板のエッジ及び裏面に接触しないようにする。上述のように、清浄な接触面を維持することは、高いめっき再現性を達成する上で必要である。従来設計の接点リングは、首尾一貫しためっき結果を生じさせない。というのは、接触面の地形学的特徴が時間の経過につれて変わるからである。本発明の接点リングは、もし本発明のように構成しなければ、内側接点パッド772上に堆積し、これらの特性を変える場合のあるデポジットを無くし又は実質的に最小限に抑さえ、それにより、高い再現性、首尾一貫性及び基板のめっき表面全体上への一様なめっき度が得られる。   During processing, the insulating gasket 782 is compressed to maintain contact with the surrounding portion of the substrate plating surface and to form a seal between the remaining cathode contact ring 466 and the substrate. This seal prevents the electrolyte from coming into contact with the edge and back surface of the substrate. As described above, maintaining a clean contact surface is necessary to achieve high plating reproducibility. Conventionally designed contact rings do not produce consistent plating results. This is because the topographical features of the contact surface change over time. The contact ring of the present invention, if not configured as in the present invention, deposits on the inner contact pad 772 and thereby eliminates or even substantially minimizes deposits that may alter these properties. High reproducibility, consistency, and uniform plating over the entire plating surface of the substrate.

図11は、接点リング446の電気回路の考えられる構成を表す単純化された略図である。導電性部材765相互間に一様な電流分布を得るために、外部抵抗器700が、導電性部材765の各々に直列に接続されている。好ましくは、外部抵抗器700の抵抗値(REXT で表される)は、回路の他のどの構成部品の抵抗よりも非常に大きい。図11に示すように、各導電性部材765を通る電気回路は、電源702に直列に接続された構成部品の各々の抵抗によって表される。RE は、電解質の抵抗を表し、これは、一般に、陽極と陰極接点リングとの間の距離及び電解液の化学的組成に依存している。かくして、RA は、基板のめっき表面754に隣接した電解液の抵抗を表す。RS は、基板のめっき面754の抵抗を表し、RC は、陰極導電性部材765の抵抗に、内側接点パッド772と基板めっき層754との間の境界部のところに結果的に得られる集中抵抗を加えたものを表す。一般に、外部抵抗器からの抵抗値(REXT )は、ΣRよりも少なくとも大きい(ここで、ΣRは、RE 、RA 、RS 及びRC の合計に等しい)。好ましくは、外部抵抗器の抵抗値(REXT )は、ΣRよりも非常に大きく、ΣRは無視できる程であり、各直列回路の抵抗はほぼREXT である。 FIG. 11 is a simplified schematic diagram illustrating a possible configuration of the electrical circuit of the contact ring 446. In order to obtain a uniform current distribution between the conductive members 765, an external resistor 700 is connected in series with each of the conductive members 765. Preferably, the resistance value of external resistor 700 (denoted R EXT ) is much greater than the resistance of any other component in the circuit. As shown in FIG. 11, the electrical circuit passing through each conductive member 765 is represented by the resistance of each of the components connected in series to the power source 702. R E represents the resistance of the electrolyte, which generally rely on the chemical composition of the distance and the electrolyte between the anode and the cathode contact ring. Thus, R A represents the resistance of the electrolyte adjacent to the plating surface 754 of the substrate. R S represents the resistance of the plating surface 754 of the substrate, and R C results in the resistance of the cathode conductive member 765 at the boundary between the inner contact pad 772 and the substrate plating layer 754. Represents the addition of concentrated resistance. In general, the resistance value (R EXT ) from the external resistor is at least greater than ΣR (where ΣR is equal to the sum of R E , R A , R S and R C ). Preferably, the resistance value (R EXT ) of the external resistor is much larger than ΣR, ΣR is negligible, and the resistance of each series circuit is approximately R EXT .

代表的には、一つの電源が、陰極接点リング466の外側接点パッド780の全てに接続されていて、その結果、内側接点パッド772を通る並列回路が形成されている。しかしながら、内側接点パッドと基板の境界部の抵抗は、各内側接点パッド772で異なるので、抵抗が最も低いところでより多くの電流が流れ、かくして多量のめっきが生じることになろう。しかしながら、外部抵抗器を各導電性部材765に直列に設けることにより、各導電性部材765を通って流れる電流の値又は量は、主として外部抵抗器の値によって制御されるようになる。その結果、内側接点パッド772相互間の電気的性質のばらつきは、基板上の電流分布に影響を及ぼさず、一様な電流密度がめっき面全体に生じ、これは一様なめっき厚さが得られる原因となる。内部抵抗器はまた、プロセスシーケンスの互いに異なる基板相互間の一様な電流分布を生じさせる。   Typically, a single power source is connected to all of the outer contact pads 780 of the cathode contact ring 466 so that a parallel circuit through the inner contact pad 772 is formed. However, since the resistance at the interface between the inner contact pad and the substrate is different for each inner contact pad 772, more current will flow where the resistance is lowest, thus resulting in a large amount of plating. However, by providing an external resistor in series with each conductive member 765, the value or amount of current flowing through each conductive member 765 is controlled primarily by the value of the external resistor. As a result, the variation in electrical properties between the inner contact pads 772 does not affect the current distribution on the substrate, and a uniform current density occurs across the entire plating surface, which results in a uniform plating thickness. Cause. The internal resistor also produces a uniform current distribution between different substrates in the process sequence.

本発明の接点リング466は内側接点パッド772上のデポジットの発生に抵抗するよう設計されているが、多数回の基板めっきサイクルにわたり、基板とパッドの境界部の抵抗は増大する場合があり、最終的に許容限度を越えるほどの値に達する。電子センサ/アラーム704を外部抵抗器700の両端に接続して外部抵抗器の両端の電圧/電流をモニターしてこの問題を解決するのがよい。外部抵抗器700両端の電圧/電流が基板とパッドとの間の高い抵抗を表す所定の動作範囲から外れると、センサ/アラーム704は是正措置、例えばめっき法の実施停止をトリガし、ついには問題がオペレータによって是正されるようになる。変形例として、別個の電源を各漏電性部材765に接続して、別々に制御すると共にモニターして基板全体に一様な電流分布を生じさせるようにしてもよい。電流の流れを調節するためにベリースマートシステム(very smart system :VSS)をさらに用いるのがよい。VSSは代表的には、処理ユニット及び電流を供給すると共に(或いは)制御するのに用いられる当業界で知られた装置、例えば可変抵抗器、別個の電源等の任意の組合せから成る。内側接点パッド772の物理化学的性質及びそれ故に電気的性質は時間の経過につれて変わるので、VSSはデータフィードバックを処理して分析する。データは、前もって設定した値と比べられ、VSSは次に、適当な電流及び電圧の変更を行って一様な堆積又はめっきが得られるようにする。   Although the contact ring 466 of the present invention is designed to resist the formation of deposits on the inner contact pad 772, the resistance at the substrate-pad interface may increase over many substrate plating cycles, and the final To a value that exceeds the allowable limit. An electronic sensor / alarm 704 may be connected across the external resistor 700 to monitor the voltage / current across the external resistor to solve this problem. If the voltage / current across the external resistor 700 deviates from a predetermined operating range that represents a high resistance between the substrate and the pad, the sensor / alarm 704 triggers a corrective action, such as stopping the plating process, and eventually a problem. Will be corrected by the operator. As a variant, a separate power supply may be connected to each leakage member 765 and controlled separately and monitored to produce a uniform current distribution across the substrate. A very smart system (VSS) may further be used to regulate the current flow. A VSS is typically comprised of any combination of processing units and devices known in the art used to supply and / or control current, such as variable resistors, separate power supplies, and the like. Since the physicochemical properties and therefore electrical properties of the inner contact pad 772 change over time, VSS processes and analyzes the data feedback. The data is compared to preset values, and VSS then makes appropriate current and voltage changes to ensure uniform deposition or plating.

図18は、陰極接点リングの変形実施形態の斜視図である。図18に示すような陰極接点リング1800は、導電性金属又は金属合金、例えばステンレス鋼、銅、銀、金、プラチナ、チタン、タンタル及び他の導電性材料、或いは導電性材料、例えばプラチナで被覆されたステンレンス鋼の組合せからなる。陰極接点リング1800は、陰極接点リングをウェーハホルダ組立体に取り付けるようになった上方取付け部分1810及び基板を受け入れるようになった下方基板受入れ部分1820を有している。基板受入れ部分1820は、複数の接点パッド又はバンプ1824が好ましくは等角度間隔をおいて設けられた環状基板着座面1822を有している。基板を基板着座面1822上におくと、接点パッド1824は、基板の周囲領域に物理的に接触して基板堆積面上の電気めっきシード層に電気的に接触する。好ましくは、接点パッド1824は、酸化に対して耐性がある貴金属、例えばプラチナ又は金で被覆される。   FIG. 18 is a perspective view of a modified embodiment of the cathode contact ring. The cathode contact ring 1800 as shown in FIG. 18 is coated with a conductive metal or metal alloy such as stainless steel, copper, silver, gold, platinum, titanium, tantalum and other conductive materials, or a conductive material such as platinum. Made of a combination of stainless steel. The cathode contact ring 1800 has an upper mounting portion 1810 adapted to attach the cathode contact ring to the wafer holder assembly and a lower substrate receiving portion 1820 adapted to receive the substrate. The substrate receiving portion 1820 has an annular substrate seating surface 1822 on which a plurality of contact pads or bumps 1824 are provided, preferably at equiangular intervals. When the substrate is placed on the substrate seating surface 1822, the contact pads 1824 are in physical contact with the surrounding area of the substrate and in electrical contact with the electroplating seed layer on the substrate deposition surface. Preferably, contact pad 1824 is coated with a noble metal that is resistant to oxidation, such as platinum or gold.

陰極接点リングの露出面は、基板と接触する接点パッドの表面を除き、好ましくは、親水性の表面となるよう処理され、或いは、親水性を示す材料で被覆される。親水性物質及び親水性表面処理は、当該技術分野で公知である。親水性表面処理法を提供する業者の一つは、マサチューセッツ州レッドフォード所在のミリポア・コーポレーション(Millipore Corporation )である。親水性表面は、陰極接点リングの表面上への電解液のビード形成を著しく減少させて、陰極接点リングを電気めっき槽又は電解液から取り出した後、陰極接点リングからの電解液の滑らかな滴下を促進する。電解液を流出させやすくする親水性表面を陰極接点リングに設けることにより、陰極接点リング上の残留電解液により生じるめっき欠陥が著しく減少する。本発明者は、陰極接点リング上への残留電解液によるビード形成及びその結果生じる場合のある後で処理される基板上へのめっき欠陥を減少させるために陰極接点リングの他の実施形態にこの親水性処理又は被膜を利用することも計画している。本発明の電気めっき処理セルでは、他の設計の接点リング、例えば、1998年11月30日に出願された譲受人が同一の同時係属米国特許出願第09/201,486号(発明の名称:Cathode Contact Ring For Electrochemical Deposition )に記載された設計の接点リングが有用であり、かかる米国特許出願の開示内容全体を本明細書の一部を形成するものとしてここに引用する。   Except for the surface of the contact pad that contacts the substrate, the exposed surface of the cathode contact ring is preferably treated to be a hydrophilic surface or coated with a hydrophilic material. Hydrophilic substances and hydrophilic surface treatments are known in the art. One supplier of hydrophilic surface treatment is Millipore Corporation, Redford, Massachusetts. The hydrophilic surface significantly reduces electrolyte bead formation on the surface of the cathode contact ring, and after the cathode contact ring is removed from the electroplating bath or electrolyte, the electrolyte drops smoothly from the cathode contact ring. Promote. By providing the cathode contact ring with a hydrophilic surface that facilitates the flow of electrolyte, plating defects caused by residual electrolyte on the cathode contact ring are significantly reduced. The inventor has identified this in other embodiments of the cathode contact ring to reduce bead formation due to residual electrolyte on the cathode contact ring and the resulting plating defects on subsequently processed substrates. It is also planned to utilize a hydrophilic treatment or coating. In the electroplating cell of the present invention, other designs of contact rings, such as co-pending US patent application Ser. No. 09 / 201,486, filed Nov. 30, 1998, are the same. Contact rings of the design described in Cathode Contact Ring For Electrochemical Deposition) are useful and the entire disclosure of such US patent application is hereby incorporated by reference as if forming part of this specification.

図12及び図12Aを参照すると、ウェーハホルダ464は好ましくは、陰極接点リング466の上に配置され、このウェーハホルダは、ウェーハの裏側に圧力を及ぼし、ウェーハめっき面と陰極接点リング466を互いに電気的に接触させるブラダ(袋)組立体470を有している。膨まし可能なブラダ組立体470は、ウェーハホルダプレート832上に設けられている。かくして、ウェーハホルダプレート832の下面上に設けられたブラダ836は、陰極接点リング466とこれとの間に介在した基板821との接点に隣接してこの反対側に設けられている。流体源838が、流体、即ちガス又は液体をブラダ836に供給してブラダ836を様々な度合に膨らますことができる。   Referring to FIGS. 12 and 12A, the wafer holder 464 is preferably placed over the cathode contact ring 466, which exerts pressure on the backside of the wafer, electrically connecting the wafer plating surface and the cathode contact ring 466 to each other. A bladder assembly 470 that is in contact with each other. An inflatable bladder assembly 470 is provided on the wafer holder plate 832. Thus, the bladder 836 provided on the lower surface of the wafer holder plate 832 is provided on the opposite side adjacent to the contact point between the cathode contact ring 466 and the substrate 821 interposed therebetween. A fluid source 838 can supply fluid, ie, gas or liquid, to the bladder 836 to inflate the bladder 836 to varying degrees.

次に図12、図12A及び図13を参照してブラダ組立体470の詳細を説明する。ウェーハホルダプレート832は、実質的にディスクの形をした状態で示されており、下面に形成された環状凹部840及び中央に設けられた真空ポート841を有している。1又は2以上の入口842が、ウェーハホルダプレート832に形成され、比較的拡大された環状取付けチャネル843及び環状凹部840に通じている。急速脱着式ホース844が、流体源838を入口842に結合してこれに流体をもたらすようになっている。真空ポート841は好ましくは、選択的に基板821の裏面に圧力を供給し又は真空を生じさせるようになった真空/加圧圧送装置859に取り付けられている。図12に示す圧送装置859は、ポンプ858、クロスオーバ弁847及び真空エゼクタ849(ベンチュリ管と通称されている)を有している。本発明で有利に使用できる真空エゼクタの一つは、インディアナ州インディアナポリス所在のエスエムシー・ニューマチックス・インコーポレーテッド(SMC Pneumatics, Inc.)から入手できる。ポンプ845は、市販の圧縮ガス源であるのがよく、ホース852の一端に結合され、ホース851の他端は、真空ポート841に結合されている。ホース851は、加圧ライン853と真空ライン855に分流関係で接続され、真空ライン855には真空エゼクタ849が設けられている。流体の流量はクロスオーバ弁847によって制御され、このクロスオーバ弁847は、加圧ライン853と真空ライン855にポンプ845の連通状態を選択的に切り換える。好ましくは、クロスオーバ弁は、流体がホース851を通っていずれの方向にも流れないようにするOFF設定位置を有している。遮断弁861がホース851に設けられており、この遮断弁は、流体が真空エゼクタ849を通って加圧ライン855から上流側に流れるのを阻止する。流体の所望の流れ方向は矢印で示されている。   Next, details of the bladder assembly 470 will be described with reference to FIGS. 12, 12A and 13. The wafer holder plate 832 is shown in a substantially disk shape and has an annular recess 840 formed in the lower surface and a vacuum port 841 provided in the center. One or more inlets 842 are formed in the wafer holder plate 832 and lead to a relatively enlarged annular mounting channel 843 and annular recess 840. A quick-release hose 844 couples the fluid source 838 to the inlet 842 and provides fluid thereto. The vacuum port 841 is preferably attached to a vacuum / pressure pumping device 859 that selectively supplies pressure to the back surface of the substrate 821 or creates a vacuum. A pumping device 859 shown in FIG. 12 has a pump 858, a crossover valve 847, and a vacuum ejector 849 (commonly referred to as a venturi tube). One vacuum ejector that can be advantageously used in the present invention is available from SMC Pneumatics, Inc., Indianapolis, IN. Pump 845 may be a commercially available compressed gas source and is coupled to one end of hose 852 and the other end of hose 851 is coupled to vacuum port 841. The hose 851 is connected to the pressurization line 853 and the vacuum line 855 in a shunt relationship, and the vacuum line 855 is provided with a vacuum ejector 849. The flow rate of the fluid is controlled by a crossover valve 847, and the crossover valve 847 selectively switches the communication state of the pump 845 between the pressurization line 853 and the vacuum line 855. Preferably, the crossover valve has an OFF set position that prevents fluid from flowing through the hose 851 in either direction. A shut-off valve 861 is provided on the hose 851, and the shut-off valve prevents fluid from flowing upstream from the pressurization line 855 through the vacuum ejector 849. The desired flow direction of the fluid is indicated by arrows.

当業者であれば、本発明の精神及び範囲から逸脱しない他の構成例を容易に想到できよう。例えば、流体源838がガス供給源である場合、これをホース851に結合し、それにより、別個の圧縮ガス供給源、即ちポンプ858を不要にしてもよい。さらに、別個のガス供給及び真空ポンプが、背圧及び真空条件を提供することができる。背圧と裏側に加えられる真空の両方に対応することが望ましい場合、単純化された実施形態は、裏面への真空だけを供給できるポンプを有するのがよい。しかしながら、以下に説明するように、処理中に裏側への圧力を生じさせた場合、めっきの一様性が向上する。したがって、真空エゼクタ及びクロスオーバ弁を含む上述の構成が好ましい。   Those skilled in the art will readily be able to conceive other example configurations without departing from the spirit and scope of the present invention. For example, if fluid source 838 is a gas supply, it may be coupled to hose 851, thereby eliminating the need for a separate compressed gas supply, ie pump 858. In addition, separate gas supplies and vacuum pumps can provide back pressure and vacuum conditions. If it is desired to accommodate both back pressure and vacuum applied to the back side, the simplified embodiment may have a pump that can only supply vacuum to the back side. However, as will be described below, the plating uniformity improves when pressure is applied to the backside during processing. Therefore, the above-described configuration including the vacuum ejector and the crossover valve is preferable.

次に、図12A及び図14を参照すると、実質的に円形リングの形をしたマニホルド846が環状凹部840内に設けられている。マニホルド846は、内側肩848と外側肩850との間に設けられた取付けレール852を有している。取付けレール852は、環状取付けチャネル843内へ少なくとも部分的に挿入されるようになっている。マニホルド846に形成された複数の流体出口854が、入口842とブラダ836を互いに連通させる。シール837、例えばOリングが、入口842及び出口854と整列した状態で環状マニホルドチャネル843内に設けられていて、機密シールが得られるようウェーハホルダプレート832によって固定されている。従来型締結具(図示せず)、例えばねじを用いて、マニホルド846及びウェーハホルダプレート832にこれと協働関係をなすように形成されたねじ穴(図示せず)を介してマニホルド846をウェーハホルダプレート832に固定するのがよい。   Referring now to FIGS. 12A and 14, a manifold 846 in the form of a substantially circular ring is provided in the annular recess 840. Manifold 846 has a mounting rail 852 provided between inner shoulder 848 and outer shoulder 850. The mounting rail 852 is adapted to be at least partially inserted into the annular mounting channel 843. A plurality of fluid outlets 854 formed in manifold 846 communicate inlet 842 and bladder 836 with each other. A seal 837, such as an O-ring, is provided in the annular manifold channel 843 in alignment with the inlet 842 and outlet 854, and is secured by the wafer holder plate 832 to provide a confidential seal. Using conventional fasteners (not shown), e.g., screws, the manifold 846 and the wafer holder plate 832 are threaded through the threaded holes (not shown) formed to cooperate therewith. It is good to fix to the holder plate 832.

次に、図15を参照すると、ブラダ836は、各エッジのところに環状リップシール856又は突起を備えた細長い実質的に半管状の材料片として断面で示されている。図12Aでは、リップシール856は、内側肩848及び外側肩850に設けられた状態で示されている。ブラダ836の一部は、マニホルド836によって環状凹部840の壁に押し付けられ、このマニホルドは、環状凹部840よりも幅が僅かに(例えば、数mm)小さい。かくして、マニホルド846とブラダ836と環状凹部840は互いに協働して、流体密シールを形成する。流体の損失を防止するため、ブラダ836は好ましくは、電解液に対して化学的に不活性であり、高信頼度の弾性を示す或る流体不浸透性材料、例えばシリコンゴム又はこれと同等な任意のエラストマで作られる。必要があれば、柔軟性のある被覆材857を図15に示すようにブラダ836上に被せ、接着剤又は熱による接着法を用いて固定するのがよい。被覆材857は好ましくは、エラストマ、例えばヴィトン(Viton :登録商標)、ブナゴム(buna rubber 登録商標)等から成り、これらを例えばケブラー(Kevlar:登録商標)によって補強するのがよい。一実施形態では、被覆材857とブラダ836は、同一材料からなる。被覆材857は、ブラダ836が破裂しがちな場合、特に利用される。変形例として、ブラダ836の厚さをその製造中、増大させるだけでも破裂の恐れが低くなる。好ましくは、ブラダ836の露出面(被覆されていない場合)及び被覆材857の露出面は、ヘッド組立体を処理セルの上方に持ち上げた後、残留電解液の滴下及び除去を促進する親水性表面(陰極接点リングの表面について上述した)を備えるよう被覆され又は処理される。   Referring now to FIG. 15, bladder 836 is shown in cross section as an elongated substantially semi-tubular material piece with an annular lip seal 856 or protrusion at each edge. In FIG. 12A, the lip seal 856 is shown provided on the inner shoulder 848 and the outer shoulder 850. A portion of the bladder 836 is pressed against the wall of the annular recess 840 by the manifold 836, which is slightly smaller (eg, a few millimeters) in width than the annular recess 840. Thus, manifold 846, bladder 836 and annular recess 840 cooperate with each other to form a fluid tight seal. In order to prevent fluid loss, the bladder 836 is preferably chemically inert to the electrolyte, and some fluid impervious material that exhibits reliable elasticity, such as silicone rubber or equivalent. Made of any elastomer. If necessary, a flexible covering material 857 may be placed on the bladder 836 as shown in FIG. 15 and fixed using an adhesive or a heat bonding method. The dressing 857 is preferably made of an elastomer, such as Viton®, buna rubber®, etc., which may be reinforced with, for example, Kevlar®. In one embodiment, the dressing 857 and the bladder 836 are made of the same material. The covering material 857 is used particularly when the bladder 836 tends to rupture. As a variant, simply increasing the thickness of bladder 836 during its manufacture reduces the risk of rupture. Preferably, the exposed surface of bladder 836 (if not coated) and the exposed surface of covering 857 are hydrophilic surfaces that facilitate dripping and removal of residual electrolyte after lifting the head assembly above the processing cell. Coated or treated with (as described above for the surface of the cathode contact ring).

入口842及び出口854の正確な数は、本発明の範囲から逸脱することなく特定の用途に応じて変えることができる。例えば、図12は、2つの入口及びこれに対応した出口を示しているが、変形実施形態は、流体をブラダ836に供給する単一の流体入口を採用してもよい。   The exact number of inlets 842 and outlets 854 can vary depending on the particular application without departing from the scope of the present invention. For example, while FIG. 12 shows two inlets and corresponding outlets, alternative embodiments may employ a single fluid inlet that supplies fluid to the bladder 836.

動作原理を説明すると、基板821をこれをウェーハホルダプレート832の下側側部に固定することにより容器本体802内へ導入する。これを達成するには、圧送装置159を連携させて基板821とウェーハホルダプレート832との間の空間をポート841を介して排気し、それにより、真空条件を生じさせる。次に、流体源838から流体、例えば空気又は水を入口842に供給することにより、ブラダ836を膨らます。マニホルド出口854を介して流体をブラダ836内へ送り込み、それにより、基板821を陰極接点リング466のコンタクトに一様に押し付ける。次に、電気めっき法を実施する。次に、電解液を基板822に向けて処理キット420内へ圧送し、露出した基板めっき面820に当てる。電源は、陰極接点リング466を介して負のバイアスを基板めっき面820にかける。電解液を基板めっき面820全体にわたり流しているとき、電解溶液中のイオンが表面820に引き付けられて表面820上に付着し、それにより所望のフィルムが形成される。   The operation principle will be described. The substrate 821 is introduced into the container body 802 by fixing it to the lower side of the wafer holder plate 832. To accomplish this, the pumping device 159 is coordinated to evacuate the space between the substrate 821 and the wafer holder plate 832 via the port 841, thereby creating a vacuum condition. The bladder 836 is then inflated by supplying fluid, such as air or water, from the fluid source 838 to the inlet 842. Fluid is pumped through the manifold outlet 854 into the bladder 836, thereby pressing the substrate 821 evenly against the contacts of the cathode contact ring 466. Next, an electroplating method is performed. Next, the electrolytic solution is pumped into the processing kit 420 toward the substrate 822 and applied to the exposed substrate plating surface 820. The power supply applies a negative bias to the substrate plating surface 820 through the cathode contact ring 466. As the electrolyte is flowing across the substrate plating surface 820, ions in the electrolyte are attracted to the surface 820 and deposit on the surface 820, thereby forming the desired film.

ブラダ836は、可撓性があるので、基板の裏側及び陰極接点リング466のコンタクトの凹凸に順応するよう変形し、それにより、導電性陰極接点リング466との整列不良状態があってもかまわないようにする。柔軟性のあるブラダ836は、基板821の裏側の周囲部分のところに流体密シールを形成することにより、電解液が基板821の裏面を汚染しないようにする。いったん膨らませると、一様な圧力を陰極接点リング466に向かって下方に送って基板821と陰極接点リング466が境界を接するあらゆる箇所のところに実質的に等しい力を生じさせるようにする。力を流体源838によって加えられる圧力の関数として変化させることができる。さらに、ブラダ組立体470の有効性は、陰極接点リング466の形状で左右されることはない。例えば、図12は、複数の別々の接点を備えたピン構造を示しているが、陰極接点リング466は連続した表面であってもよい。   Because the bladder 836 is flexible, it can be deformed to accommodate the irregularities of the backside of the substrate and the contacts of the cathode contact ring 466, thereby allowing misalignment with the conductive cathode contact ring 466. Like that. The flexible bladder 836 forms a fluid tight seal at the periphery of the back side of the substrate 821 so that the electrolyte does not contaminate the back side of the substrate 821. Once inflated, a uniform pressure is sent down toward the cathode contact ring 466 to create a substantially equal force wherever the substrate 821 and the cathode contact ring 466 meet. The force can be varied as a function of the pressure applied by the fluid source 838. Further, the effectiveness of the bladder assembly 470 is not affected by the shape of the cathode contact ring 466. For example, while FIG. 12 shows a pin structure with a plurality of separate contacts, the cathode contact ring 466 may be a continuous surface.

ブラダ836によって基板821に伝えられた力は可変的であるので、接触環466によって供給される流れに対して、調整がなされうる。上述のとおり、オキシド層は、カソード接触環466の上に形成され、および流れを制限するように振舞ってもよい。しかしながら、ブラダ836の圧力を増加させることは、酸化によって流れの制限に、反対に作用するかもしれない。圧力が増加すると、可鍛性のオキシド層が傷つけられ、カソード接触環466と基板821との間に上質の接触が生じる。この性能におけるブラダ836の有効性は、カソード接触環466の形状を変えることによって、さらに向上するかもしれない。例えば、ナイフ・エッジ(knife-edge)形状は、切れ味が悪い丸いエッジや、平坦なエッジよりも、簡単にオキシド層を貫通するであろう。   Since the force transmitted to the substrate 821 by the bladder 836 is variable, adjustments can be made to the flow provided by the contact ring 466. As described above, the oxide layer is formed on the cathode contact ring 466 and may behave to restrict flow. However, increasing the pressure in bladder 836 may adversely affect flow restriction by oxidation. As the pressure increases, the malleable oxide layer is damaged and high quality contact occurs between the cathode contact ring 466 and the substrate 821. The effectiveness of the bladder 836 in this performance may be further improved by changing the shape of the cathode contact ring 466. For example, a knife-edge shape will more easily penetrate the oxide layer than a round edge with poor sharpness or a flat edge.

さらに、膨張したブラダ836によって供給される流体タイト・シール(fluid tight seal)によって、ポンプ845は、処理の前、処理中、および処理後に、選択的にまたは継続的に、裏面真空または圧力を維持することができる。しかしながら、一般的に、ブラダ836は、継続的なポンピングをすることなく、処理中に、裏面真空状態を維持することができることがわかっているので、ポンプ845は、電気めっき処理セル400へ、およびそこからの基板の移動中のみ、真空を維持するようにランする。このように、上述の通り、ブラダ836を膨張させる間に、裏面真空状態は、例えば、交差バルブ847においてオフ位置を選択することによって、ポンピング・システム859を離すことによって、同時に解放される。ポンピング・システム859を離すことは、突然であるかもしれず、真空状態が勾配を成す、漸次的処理を含むかもしれない。勾配によって、膨張ブラダ836と、同時に低下した裏面真空状態との間に、制御された交換が可能になる。この交換は、手動またはコンピュータによって制御されてもよい。   In addition, a fluid tight seal supplied by the inflated bladder 836 allows the pump 845 to maintain a backside vacuum or pressure, selectively or continuously before, during, and after processing. can do. However, in general, it has been found that the bladder 836 can maintain a backside vacuum state during processing without continuous pumping, so the pump 845 is directed to the electroplating process cell 400 and Run to maintain the vacuum only while the substrate is moving from there. Thus, as described above, while the bladder 836 is inflated, the back vacuum condition is released simultaneously by releasing the pumping system 859, for example, by selecting an off position at the cross valve 847. Release of the pumping system 859 may be abrupt and may involve a gradual process where the vacuum conditions are ramped. The gradient allows a controlled exchange between the expansion bladder 836 and the simultaneously reduced backside vacuum condition. This exchange may be controlled manually or by a computer.

上述のとおり、ブラダ836が膨張している間の、継続的な裏面真空ポンピングは必要ではなく、実際に、基板820を歪めたり、曲げたりさせて、好ましくない堆積結果を導くかもしれない。しかしながら、基板の「バウイング(bowing)」効果が処理されるようにするために、裏面圧を基板820に与えることが望ましいかもしれない。本発明の発明者は、バウイング効果が、上質な堆積を生むことを発見した。ポンピング・システム859は、選択的に、真空または圧力状態を、基板裏面に与えることができる。200ミリメートルのウェーハに対して、5psiまでの裏面圧は、基板を曲げるのに好ましい。基板は、典型的にはある程度の柔軟性を示すので、裏面圧によって、前記基板は曲がり、または電解液の上方への流れに対して、窪んだ形状を呈する。曲がりの程度は、ポンピング・システム859によって供給される圧力に従って、可変的である。   As described above, continuous backside vacuum pumping is not required while the bladder 836 is inflated, and may actually distort or bend the substrate 820, leading to undesirable deposition results. However, it may be desirable to apply a backside pressure to the substrate 820 so that the “bowing” effect of the substrate is handled. The inventor of the present invention has discovered that the bowing effect produces high quality deposits. The pumping system 859 can optionally provide a vacuum or pressure condition to the backside of the substrate. For a 200 millimeter wafer, a backside pressure of up to 5 psi is preferred to bend the substrate. Since the substrate typically exhibits a certain degree of flexibility, the substrate bends due to backside pressure, or exhibits a recessed shape with respect to the upward flow of the electrolyte. The degree of bending is variable according to the pressure supplied by the pumping system 859.

当業者は、本発明が意図する他の実施形態を、容易に理解するであろう。例えば、図12Aは、カソード接触環466に実質的に等しい直径で、基板裏面の比較的小さい周囲部分を覆うのに十分な表面領域を有する好ましいブラダ836を示す一方で、ブラダ・アセンブリ470は、形状的に変化してもよい。このように、ブラダ・アセンブリは、基板821の増加した表面領域を覆うために、流体をより浸透させない素材を使用して構成されてもよい。   Those skilled in the art will readily understand other embodiments contemplated by the present invention. For example, FIG. 12A shows a preferred bladder 836 having a diameter substantially equal to the cathode contact ring 466 and having a sufficient surface area to cover a relatively small peripheral portion of the backside of the substrate, while the bladder assembly 470 includes: It may change in shape. In this way, the bladder assembly may be constructed using a material that is less permeable to fluid to cover the increased surface area of the substrate 821.

図19は、ウェーハ・ホルダ・アセンブリ(wafer holder assembly)の代替的実施形態の部分的横断面図である。代替的なウェーハ・ホルダ・アセンブリ1900は、上述のとおり、中間ウェーハ・ホルダ・プレート1910の後面に取り付けられた、膨張可能ブラダ836を有するブラダ・アセンブリ470を具備する。好ましくは、膨張可能ブラダ836の一部は、粘着性または他の接合物質を使用して、中間ウェーハ・ホルダ・プレート1910の後面1912に密着して取り付けられる。中間ウェーハ・ホルダ・プレート1910の前面1914は、処理されるべきウェーハまたは基板821を受けるように適応しており、エラストマ・オーリング(elastomeric o-ring)1916は、ウェーハ後面の周辺部分に接触するように、中間ウェーハ・ホルダ・プレート1910の前面1914上の、環状溝1918に配置される。エラストマ・オーリング1916は、中間ウェーハ・ホルダ・プレートのウェーハ後面と前面との間にシールを供給する。好ましくは、中間ウェーハ・ホルダ・プレートは、前記ウェーハの後面に加えられる真空力(vacuum force)を使用して、前記ウェーハ・ホルダ上にウェーハを固定するのを容易にするために、真空ポート841と流体接触(fluid communication)している、プレートを通して伸びている複数の穴1920を含む。ウェーハ・ホルダ・アセンブリの、この代替的な実施形態に従って、膨張可能ブラダは、処理されているウェーハに直接接触せず、ウェーハ移動中に膨張可能ブラダを切り、または損害を与えるリスクは、顕著に低減される。エラストマ・オーリング1916は、好ましくは、ウェーハに接触するための親水性の表面(カソード接触環に関して上述されたとおり)を供給するようにコートされまたは処理されており、エラストマ・オーリング1916は、前記ウェーハへの適切な接触およびシールを確実にするために必要とされれば、取り替えられる。他のブラダ・システムは、本発明に従って、例えば共通して譲渡され、係属中のアメリカ合衆国特許出願第09/201,796号、「膨張コンプライアント・ブラダ・アセンブリ(Inflatable Compliant Bladder Assembly)」、1998年11月30日提出、に記述されたブラダ・システム等、本発明に従った電気めっき処理セルにおいて有用であり、前記発明は、参照のために、そのすべてがここに採用されている。   FIG. 19 is a partial cross-sectional view of an alternative embodiment of a wafer holder assembly. An alternative wafer holder assembly 1900 includes a bladder assembly 470 having an inflatable bladder 836 attached to the rear surface of the intermediate wafer holder plate 1910 as described above. Preferably, a portion of the inflatable bladder 836 is attached intimately to the rear surface 1912 of the intermediate wafer holder plate 1910 using an adhesive or other bonding material. A front surface 1914 of the intermediate wafer holder plate 1910 is adapted to receive a wafer or substrate 821 to be processed, and an elastomeric o-ring 1916 contacts a peripheral portion of the wafer back surface. As such, it is disposed in an annular groove 1918 on the front surface 1914 of the intermediate wafer holder plate 1910. Elastomer o-ring 1916 provides a seal between the wafer back and front of the intermediate wafer holder plate. Preferably, the intermediate wafer holder plate uses a vacuum force applied to the rear surface of the wafer to facilitate securing the wafer on the wafer holder using a vacuum port 841. A plurality of holes 1920 extending through the plate in fluid communication with the plate; In accordance with this alternative embodiment of the wafer holder assembly, the inflatable bladder is not in direct contact with the wafer being processed, and there is a significant risk of cutting or damaging the inflatable bladder during wafer movement. Reduced. The elastomeric o-ring 1916 is preferably coated or treated to provide a hydrophilic surface (as described above with respect to the cathode contact ring) for contacting the wafer, It is replaced if necessary to ensure proper contact and sealing to the wafer. Other bladder systems are in accordance with the present invention, eg, commonly assigned and pending US patent application Ser. No. 09 / 201,796, “Inflatable Compliant Bladder Assembly”, 1998. Useful in electroplating cells according to the present invention, such as the bladder system described in the November 30, filed, which is hereby incorporated by reference in its entirety.

図25は、回転ヘッド・アセンブリ(rotatable head assembly)2410を有する処理ヘッド・アセンブリの代替的実施形態である。好ましくは、回転アクチュエータは、カンチレバード・アーム(cantilevered arm)に配置され、およびウェーハ処理中に、前記ヘッド・アセンブリを回転させるために、前記ヘッド・アセンブリに取り付けられている。回転ヘッド・アセンブリ2410は、ヘッド・アセンブリ・フレーム2452に搭載されている。代替的ヘッド・アセンブリ・フレーム2452および回転ヘッド・アセンブリ2410は、図6および上述のとおり、ヘッド・アセンブリ・フレーム452およびヘッド・アセンブリ410に類似したメインフレームに搭載されている。ヘッド・アセンブリ・フレーム2452は、搭載ポスト2454、ポスト・カバー2455、およびカンチレバー・アーム2456を含む。搭載ポスト2454は、メインフレーム214の本体に搭載され、ポスト・カバー2455は搭載ポスト2454の上部を覆っている。好ましくは、搭載ポスト454は、ヘッド・アセンブリ・フレーム2452の回転を可能にするために、搭載ポストに沿った縦軸に関して、回転する動き(矢印A1で記載)を供給する。カンチレバー・アーム2456は、搭載ポスト2454の上部から横に伸びており、旋回ジョイント(pivot joint)2459において、ポスト・カバー2455に、旋回するように接続されている。回転ヘッド・アセンブリ2410は、カンチレバー・アーム2456の遠位端部に配置された搭載スライド(mounting slide)2460に取り付けられている。搭載スライド2460は、ヘッド・アセンブリ2410の縦の動きを導く。ヘッド・リフト・アクチュエータ2458は、ヘッド・アセンブリ2410の縦の移動を供給するために、搭載スライド2460の頭部に配置される。   FIG. 25 is an alternative embodiment of a processing head assembly having a rotatable head assembly 2410. Preferably, a rotary actuator is disposed on the cantilevered arm and attached to the head assembly for rotating the head assembly during wafer processing. The rotating head assembly 2410 is mounted on the head assembly frame 2452. Alternative head assembly frame 2452 and rotating head assembly 2410 are mounted on a main frame similar to head assembly frame 452 and head assembly 410, as shown in FIG. The head assembly frame 2452 includes a mounting post 2454, a post cover 2455, and a cantilever arm 2456. The mounting post 2454 is mounted on the main body 214, and the post cover 2455 covers the upper portion of the mounting post 2454. Preferably, the mounting post 454 provides a rotating motion (denoted by arrow A1) about the longitudinal axis along the mounting post to allow rotation of the head assembly frame 2452. Cantilever arm 2456 extends laterally from the top of mounting post 2454 and is pivotally connected to post cover 2455 at pivot joint 2459. The rotating head assembly 2410 is attached to a mounting slide 2460 disposed at the distal end of the cantilever arm 2456. The mounting slide 2460 guides the vertical movement of the head assembly 2410. Head lift actuator 2458 is disposed on the head of mounting slide 2460 to provide longitudinal movement of head assembly 2410.

カンチレバー・アーム2456の低位端部は、例えば搭載ポスト2454に搭載された、空気シリンダまたは親ねじアクチュエータ等、カンチレバー・アーム・アクチュエータ2457のシャフト2453に接続される。カンチレバー・アーム・アクチュエータ2457は、カンチレバー・アーム2456とポスト・カバー2454との間のジョイント2459に関して、カンチレバー・アーム2456の旋回する動き(矢印A2で記載)を供給する。カンチレバー・アーム・アクチュエータ2457が引き込まれると、カンチレバー・アーム2456は、電気めっき処理セル240から処理キット420を除去しおよび/または取りかえるために必要とされる空間を供給するために、処理キット420からヘッド・アセンブリ2410を離す。カンチレバー・アーム・アクチュエータ2457が伸びると、カンチレバー・アーム2456は、ヘッド・アセンブリ2410におけるウェーハを処理位置に配置するために、ヘッド・アセンブリ2410を処理キット420へと動かす。   The lower end of cantilever arm 2456 is connected to shaft 2453 of cantilever arm actuator 2457, such as an air cylinder or lead screw actuator, mounted on mounting post 2454, for example. Cantilever arm actuator 2457 provides the pivoting movement of cantilever arm 2456 (denoted by arrow A2) with respect to joint 2459 between cantilever arm 2456 and post cover 2454. When the cantilever arm actuator 2457 is retracted, the cantilever arm 2456 may provide the processing kit 420 to provide the space required to remove and / or replace the processing kit 420 from the electroplating processing cell 240. The head assembly 2410 is released. As cantilever arm actuator 2457 extends, cantilever arm 2456 moves head assembly 2410 to processing kit 420 to place the wafer in head assembly 2410 in a processing position.

回転ヘッド・アセンブリ2410は、搭載スライド2460に、スライドするように接続された回転アクチュエータ2464を含む。ヘッド・リフト・アクチュエータ2458のシャフト2468は、回転アクチュエータ2464の本体に取り付けられたリフト・ガイド2466を通して挿入される。好ましくは、シャフト2468は、様々な縦の位置の間でリフト・ガイドを動かす(矢印A3で記載)親ネジ・タイプのシャフトである。回転アクチュエータ2464は、シャフト2470を通してウェーハ・ホルダ・アセンブリ2450に接続され、およびウェーハ・ホルダ・アセンブリ2450を回転させる(矢印A4で記載)。ウェーハ・ホルダ・アセンブリ2450は、図12乃至15ならびに19に関して上述された実施形態等のブラダ・アセンブリ、および図7乃至10ならびに18に関して上述された実施形態等のカソード接触環を含む。   The rotary head assembly 2410 includes a rotary actuator 2464 that is slidably connected to a mounting slide 2460. The shaft 2468 of the head lift actuator 2458 is inserted through a lift guide 2466 attached to the body of the rotary actuator 2464. Preferably, shaft 2468 is a lead screw type shaft (described by arrow A3) that moves the lift guide between various vertical positions. The rotary actuator 2464 is connected to the wafer holder assembly 2450 through the shaft 2470 and rotates the wafer holder assembly 2450 (denoted by arrow A4). Wafer holder assembly 2450 includes a bladder assembly, such as the embodiment described above with respect to FIGS. 12-15 and 19, and a cathode contact ring, such as the embodiment described above with respect to FIGS.

電気めっき処理中のウェーハの回転は、通常堆積結果を促進させる。好ましくは、ヘッド・アセンブリは、電気めっき処理中、約2rpmから約20rpmの間で回転する。ヘッド・アセンブリは、処理セルにおいて電解液からウェーハを除去するために持ち上げられる時と同様に、処理中に電解液に接触するように、ウェーハを配置するために下げられるときも、回転しうる。ヘッド・アセンブリは、好ましくはヘッド・アセンブリにおける残余電解液の除去を促進するために、処理セルからヘッド・アセンブリが持ち上げられた後、高速で回転する(すなわち、>20rpm)。   The rotation of the wafer during the electroplating process usually facilitates the deposition result. Preferably, the head assembly rotates between about 2 rpm and about 20 rpm during the electroplating process. The head assembly may rotate when lowered to position the wafer so that it contacts the electrolyte during processing, as well as when it is lifted to remove the wafer from the electrolyte in the processing cell. The head assembly preferably rotates at a high speed (ie,> 20 rpm) after the head assembly is lifted from the processing cell to facilitate removal of residual electrolyte in the head assembly.

一つの実施形態において、標準電気めっき処理は、通常最善で約5.5%以内の均一性を達成する一方で、本発明者は、堆積したフィルムの均一性を、約2%以内に向上させた(すなわち、堆積したフィルムの厚みの最大偏差は、平均のフィルムの厚みの約2%である)。しかしながら、ある例において、特に、電気めっき堆積の均一性が、電解液の化学的作用、電解液の流れおよび他のパラメータ等、処理パラメータを調節することによって達成される場合、均一した電気めっき堆積を達成するためには、ヘッド・アセンブリの回転は必要ではない。   In one embodiment, the standard electroplating process typically achieves a uniformity within about 5.5% at best, while the inventors have improved the uniformity of the deposited film to within about 2%. (Ie, the maximum deviation of the deposited film thickness is about 2% of the average film thickness). However, in certain instances, particularly when electroplating deposition uniformity is achieved by adjusting processing parameters such as electrolyte chemistry, electrolyte flow and other parameters, uniform electroplating deposition. Rotation of the head assembly is not necessary to achieve this.

図6に戻って参照してみると、電気めっき処理セル400の横断面図であり、ウェーハ・ホルダ・アセンブリ450は処理キット420の上に配置されている。処理キット420は通常、ボウル(bowl)430、コンテナ本体472、アノード・アセンブリ(anode assembly)474およびフィルタ476を具備する。好ましくは、アノード・アセンブリ474は、コンテナ本体472の下に配置され、コンテナ本体472の低位部分に取り付けられ、およびフィルタ476は、アノード・アセンブリ474とコンテナ本体472との間に配置される。コンテナ本体472は、セラミック、プラスチック、プレキシガラス(アクリル性)、レキセイン(lexane)、PVC、CPVC、およびPVDF等、電気的絶縁物質でできた円筒形本体である。代替的に、コンテナ本体472は、ステンレス・スチール、ニッケル、およびチタン等の金属で作ることができ、それはテフロン(登録商標)、PVDF、プラスチック、ゴム、および電解液で溶解せず、電気的に電極(すなわち、電気めっきシステムのアノードおよびカソード)から絶縁されうる物質の他の組み合わせで覆われている。コンテナ本体472は、好ましくは、ウェーハめっき表面および前記システムを通して処理されるウェーハの形状、通常は円形または長方形の形状に適合するような大きさであり、およびそのように適応している。コンテナ本体472の一つの好ましい実施形態は、ウェーハの直径とおよそ同じ大きさまたはやや大きい内径を有する、円筒形セラミック管を具備する。本発明者は、通常の電気めっきシステムで求められる回転動作は、コンテナ本体のサイズが、ウェーハめっき表面の大きさにおよそ適合する時は、均一なめっき結果を達成するためには、必要とされないことを発見した。   Referring back to FIG. 6, a cross-sectional view of the electroplating process cell 400 with the wafer holder assembly 450 disposed on the process kit 420. The processing kit 420 typically includes a bowl 430, a container body 472, an anode assembly 474 and a filter 476. Preferably, the anode assembly 474 is disposed below the container body 472 and attached to the lower portion of the container body 472 and the filter 476 is disposed between the anode assembly 474 and the container body 472. The container body 472 is a cylindrical body made of an electrically insulating material such as ceramic, plastic, plexiglass (acrylic), lexane, PVC, CPVC, and PVDF. Alternatively, the container body 472 can be made of a metal such as stainless steel, nickel, and titanium, which does not dissolve in Teflon, PVDF, plastic, rubber, and electrolyte and is electrically Covered with other combinations of materials that can be insulated from the electrodes (ie, the anode and cathode of the electroplating system). The container body 472 is preferably sized and adapted to fit the wafer plating surface and the shape of the wafer being processed through the system, typically a circular or rectangular shape. One preferred embodiment of the container body 472 comprises a cylindrical ceramic tube having an inner diameter approximately the same as or slightly larger than the diameter of the wafer. The inventor found that the rotational motion required in a conventional electroplating system is not required to achieve a uniform plating result when the size of the container body roughly matches the size of the wafer plating surface. I discovered that.

コンテナ本体472の上部は、環状堰478を形成するように、放射状に外側に向かって伸びる。堰478は、電解液コレクタ440の内壁446の上へ伸び、電解液が電解液コレクタ440へと流れ込むようにする。堰478の上位表面は、好ましくは、カソード接触環466の低位表面に適合する。好ましくは、堰478の上位表面は、内側環状平坦部分480、中間傾斜部分482、および外側下方傾斜部分484を含む。ウェーハが処理位置に配置されると、ウェーハめっき表面は、コンテナ本体472の円筒形開口部の上に配置され、電解液の流れのための間隙が、カソード接触環466の低位表面と、堰478の上位表面との間に形成される。カソード接触環466の低位表面は、堰478の内側平坦部分480および中間傾斜部分の上に配置される。外側下方傾斜部分484は、容易に電解液が電解液コレクタ440へと流れ込むようにするために、下方に傾斜している。   The upper portion of the container body 472 extends radially outward to form an annular weir 478. The weir 478 extends over the inner wall 446 of the electrolyte collector 440 so that the electrolyte flows into the electrolyte collector 440. The upper surface of the weir 478 preferably conforms to the lower surface of the cathode contact ring 466. Preferably, the upper surface of the weir 478 includes an inner annular flat portion 480, an intermediate inclined portion 482, and an outer lower inclined portion 484. When the wafer is placed in the processing position, the wafer plating surface is placed over the cylindrical opening of the container body 472 and a gap for electrolyte flow is provided between the lower surface of the cathode contact ring 466 and the weir 478. Formed between the upper surface and the upper surface. The lower surface of the cathode contact ring 466 is disposed over the inner flat portion 480 and the middle inclined portion of the weir 478. The outer downwardly inclined portion 484 is inclined downward so that the electrolyte easily flows into the electrolyte collector 440.

コンテナ本体472の低位部分は、コンテナ本体472をボウル430に固定するための、低位環状フランジ(lower annular flange)を形成するように、放射状に外側に向かって伸びる。環状フランジ486の外側の大きさ(すなわち、周囲)は、処理キット420の、電気めっき処理セル400からの除去および取り替えを可能にするために、電解液コレクタ440の開口部444および内周の大きさよりも小さい。好ましくは複数のボルトが、環状フランジ486に固定されており、ボウル430にある適合するボルト穴を通して下方に伸びる。複数の取り外し可能ファスナ・ナット490は、処理キット420をボウル430に固定する。エラストマ・オーリング等のシール487は、処理キット420からのリークを防ぐために、ボルト488から内側へと放射状に、コンテナ本体472とボウル430との間に配置される。ナット/ボルトの組み合わせによって、メンテナンス中の処理キット420の構成要素の、迅速かつ容易な除去および取り替えが促進される。   The lower portion of the container body 472 extends radially outward to form a lower annular flange for securing the container body 472 to the bowl 430. The outer size (ie, the perimeter) of the annular flange 486 is the size of the opening 444 and inner circumference of the electrolyte collector 440 to allow removal and replacement of the processing kit 420 from the electroplating process cell 400. Smaller than that. A plurality of bolts are preferably secured to the annular flange 486 and extend downward through matching bolt holes in the bowl 430. A plurality of removable fastener nuts 490 secure the processing kit 420 to the bowl 430. A seal 487, such as an elastomer o-ring, is disposed between the container body 472 and the bowl 430 radially inward from the bolt 488 to prevent leakage from the processing kit 420. The nut / bolt combination facilitates quick and easy removal and replacement of components of the processing kit 420 during maintenance.

好ましくは、フィルタ476は、コンテナ本体472の低位開口部に取り付けられ、およびそれを完全に覆い、およびアノード・アセンブリ474は、フィルタ476の下に配置される。スペーサ(spacer)492は、フィルタ476とアノード・アセンブリ474との間に配置される。好ましくは、フィルタ476、スペーサ492、およびアノード・アセンブリ474は、ネジおよび/またはボルト等、取り外し可能ファスナを使用して、コンテナ本体472の低位表面に取り付けられる。代替的に、フィルタ476、スペーサ492、およびアノード・アセンブリ474は、取り外すことができるように、ボウル430に固定される。フィルタ476は、好ましくは、基板めっき表面への、電解液の流れのパターンを制御するようにも機能する、セラミック・ディフューザ(ceramic diffuser)を具備する。   Preferably, the filter 476 is attached to and completely covers the lower opening of the container body 472, and the anode assembly 474 is disposed below the filter 476. A spacer 492 is disposed between the filter 476 and the anode assembly 474. Preferably, filter 476, spacer 492, and anode assembly 474 are attached to the lower surface of container body 472 using removable fasteners, such as screws and / or bolts. Alternatively, filter 476, spacer 492, and anode assembly 474 are secured to bowl 430 so that they can be removed. Filter 476 preferably comprises a ceramic diffuser that also functions to control the pattern of electrolyte flow to the substrate plating surface.

アノード・アセンブリ474は、好ましくは、電解液において金属ソース(metal source)として機能する消耗アノードを具備する。代替的には、アノード・アセンブリ474は非消耗アノードを具備し、および電気めっきされるべき金属は、電解液補充システム220から、電解液内に供給される。図6に記載のとおり、アノード・アセンブリ474は、好ましくは銅等、電気めっきされるべき金属と同じ金属で作られている多孔質アノード・エンクロージャ(porous anode enclosure)494を有する自己内包モジュールである。代替的に、アノード・エンクロージャ494は、セラミックまたは重合膜(polymeric membranes)等、多孔質物質で作られている。銅の電気化学的堆積のための高純度の銅等、可溶性金属496が、アノード・エンクロージャ494の中に配置される。可溶性金属496は、好ましくは金属粒子、ワイヤまたは穿孔シート(perforated sheet)を具備する。多孔質アノード・エンクロージャ494はまた、アノード・エンクロージャ494内の溶解金属によって生成される粒子を保持するフィルタとしても機能する。非消耗アノードと比較して、消耗(すなわち、可溶性)アノードは、ガスを生成しない電解液を供給し、および電解液において、金属を継続的に補充する必要性を最小限にする。   The anode assembly 474 preferably comprises a consumable anode that functions as a metal source in the electrolyte. Alternatively, the anode assembly 474 comprises a non-consumable anode and the metal to be electroplated is supplied from the electrolyte replenishment system 220 into the electrolyte. As described in FIG. 6, the anode assembly 474 is a self contained module having a porous anode enclosure 494, preferably made of the same metal as the metal to be electroplated, such as copper. . Alternatively, the anode enclosure 494 is made of a porous material, such as ceramic or polymeric membranes. A soluble metal 496, such as high purity copper for electrochemical deposition of copper, is placed in the anode enclosure 494. The soluble metal 496 preferably comprises metal particles, wires or perforated sheets. The porous anode enclosure 494 also functions as a filter that retains particles generated by the molten metal in the anode enclosure 494. Compared to a non-consumable anode, a consumable (ie, soluble) anode supplies an electrolyte that does not generate gas and minimizes the need to continuously replenish metal in the electrolyte.

アノード電極接触子498は、電源から可溶性金属496への電気的接続を供給するために、アノード・エンクロージャ494を通して挿入される。好ましくは、アノード電極接触子498は、チタン、プラチナ、およびプラチナめっきされたステンレス・スチール等、電解液において不可溶性である伝導性物質から作られる。アノード電極接触子498は、ボウル430を通って伸びており、電源に接続されている。好ましくは、アノード電気接触子498は、アノード電気接触子498をボウル430に固定するために、ファスナ・ナット499のためのスレッデド部分(threaded portion)497を含み、エラストマ・ウォッシャ(elastomer washer)等、シール495は、処理キット420からのリークを防ぐために、ファスナ・ナット499とボウル430との間に配置される。   An anode electrode contact 498 is inserted through the anode enclosure 494 to provide an electrical connection from the power source to the soluble metal 496. Preferably, the anode electrode contact 498 is made from a conductive material that is insoluble in the electrolyte, such as titanium, platinum, and platinum plated stainless steel. An anode electrode contact 498 extends through the bowl 430 and is connected to a power source. Preferably, the anode electrical contact 498 includes a threaded portion 497 for a fastener nut 499 to secure the anode electrical contact 498 to the bowl 430, elastomer washer, etc. The seal 495 is placed between the fastener nut 499 and the bowl 430 to prevent leakage from the processing kit 420.

ボウル430は通常、円筒形部分502および底部504を具備する。上部環状フランジ506は、円筒形部分502の上部から、放射状に外側に向かって伸びる。上部環状フランジ506は、コンテナ本体472の低部環状フランジ486からのボルト488の数に適合する複数の穴508を含む。ボウル430の上部環状フランジ506およびコンテナ本体472の低部環状フランジ486を固定するために、ボルト488は、穴508を通して挿入され、およびファスナ・ナット490は、ボルト488へと固定される。好ましくは、上部環状フランジ506の外側の大きさ(すなわち、円周)は、低部環状フランジ486の外側の大きさ(すなわち、円周)とほぼ同じである。好ましくは、ボウル430の上部環状フランジ506の低位表面は、処理キット420がメインフレーム214に配置されている時は、メインフレーム214の支持フランジに載っている。   Bowl 430 typically includes a cylindrical portion 502 and a bottom 504. The upper annular flange 506 extends radially outward from the top of the cylindrical portion 502. The upper annular flange 506 includes a plurality of holes 508 that match the number of bolts 488 from the lower annular flange 486 of the container body 472. To secure the upper annular flange 506 of the bowl 430 and the lower annular flange 486 of the container body 472, the bolt 488 is inserted through the hole 508 and the fastener nut 490 is secured to the bolt 488. Preferably, the outer size (ie, circumference) of the upper annular flange 506 is substantially the same as the outer size (ie, circumference) of the lower annular flange 486. Preferably, the lower surface of the upper annular flange 506 of the bowl 430 rests on the support flange of the main frame 214 when the processing kit 420 is placed on the main frame 214.

円筒形部分502の内周は、アノード・アセンブリ474およびフィルタ476を収容する。好ましくは、電解液の相当な部分を、フィルタ476を通って流れる前に、最初にアノード・アセンブリ474を通して強制的に流すために、フィルタ476およびアノード・アセンブリ474の外側の大きさは、円筒形部分502の内側の大きさよりもやや小さい。ボウル430の底部504は、電解液補充システム220からの電解液供給線に接続している電解液流入口510を含む。好ましくは、アノード・アセンブリ474は、底部504において、アノード・アセンブリと電解液流入口510との間に、電解液の流れのための間隙を供給するために、ボウル430の円筒形部分502の中間部分の辺りに配置される。   The inner periphery of the cylindrical portion 502 houses the anode assembly 474 and the filter 476. Preferably, the outer dimensions of the filter 476 and the anode assembly 474 are cylindrical so that a substantial portion of the electrolyte is forced to flow through the anode assembly 474 first before flowing through the filter 476. It is slightly smaller than the size inside the portion 502. The bottom 504 of the bowl 430 includes an electrolyte inlet 510 that connects to the electrolyte supply line from the electrolyte replenishment system 220. Preferably, the anode assembly 474 is intermediate the cylindrical portion 502 of the bowl 430 to provide a gap at the bottom 504 between the anode assembly and the electrolyte inlet 510 for electrolyte flow. Arranged around the part.

電解液流入口510および電解液供給線は、好ましくは、処理キット420の簡単な除去および取り替えを促進する、解放可能コネクタによって接続される。処理キット420がメンテナンスを必要とする時、電解液は、処理キット420から排出され、および電解液供給線における電解液の流れは、停止され、排出される。電解液供給線のためのコネクタは、電解液流入口510から解放され、アノード・アセンブリ474への電気的接続も切断される。ヘッド・アセンブリ410は、処理キット420の一掃除去を供給するために、持ち上げられまたは回転させられる。処理キット420は、メインフレーム214から取り除かれ、新しいまたは再調整された処理キットが、メインフレーム214へと取り替えられる。   The electrolyte inlet 510 and the electrolyte supply line are preferably connected by a releasable connector that facilitates simple removal and replacement of the processing kit 420. When the processing kit 420 requires maintenance, the electrolytic solution is discharged from the processing kit 420, and the flow of the electrolytic solution in the electrolytic solution supply line is stopped and discharged. The connector for the electrolyte supply line is released from the electrolyte inlet 510 and the electrical connection to the anode assembly 474 is also disconnected. The head assembly 410 is lifted or rotated to provide a sweep removal of the processing kit 420. The processing kit 420 is removed from the main frame 214 and a new or reconditioned processing kit is replaced with the main frame 214.

代替的に、ボウル430は、メインフレーム214の支持フランジへと固定されることができ、およびコンテナ本体472は、アノードおよびフィルタとともに、メンテナンスのために除去される。この場合、アノード・アセンブリ474およびコンテナ本体472をボウル430に固定しているナットは、アノード・アセンブリ474およびコンテナ本体472の除去を容易にするために取り除かれる。新しい、または再調整されたアノード・アセンブリ474およびコンテナ本体472は、メインフレーム214へと取りかえられ、およびボウル430に固定される。   Alternatively, the bowl 430 can be secured to the support flange of the main frame 214, and the container body 472, along with the anode and filter, is removed for maintenance. In this case, the nuts securing the anode assembly 474 and container body 472 to the bowl 430 are removed to facilitate removal of the anode assembly 474 and container body 472. New or reconditioned anode assembly 474 and container body 472 are replaced with main frame 214 and secured to bowl 430.

図20は、封入アノード(encapsulated anode)の第一の実施形態の横断面図である。封入アノード2000は、金属がアノード・プレート2004から溶解するときに生成される「アノード・スラッジ(anode sludge)」または粒子をフィルタにかけ、または捕える、透過性アノード・エンクロージャを含む。図20に記載のとおり、消耗アノード・プレート2004は、固体の銅、好ましくは、親水性アノード封入メンブレン2002に包まれた高純度の、無酸素銅を含む。アノード・プレート2004は、ボウル430の底部を通って伸びる複数の電気接触子またはフィードスルー2006によって固定されおよび支持されている。電気接触子またはフィードスルー2006は、アノード封入メンブレン2002を通って、アノード・プレート2004の底面へと伸びる。電解液の流れは、矢印Aによって、ボウル430の底部に配置された電解液流入口510から、アノードとボウル側壁との間の間隙を通るように、示されている。電解液はまた、矢印Bで示されたとおり、アノード封入メンブレンとアノード・プレートとの間の間隙へ、またはそこから出る透過によって、アノード封入メンブレン2002を通っても流れる。好ましくは、アノード封入メンブレン2002は、改質ポリビニリデン・フッ素メンブレン等、およそ60%から80%の間、より好ましくは約70%の多孔度を有し、および孔の大きさは、およそ0.025μmおよび約1μmの間、より好ましくは約0.1μmから約0.2μmの間である、親水性多孔質メンブレンを具備する。親水性多孔質メンブレンの一例は、マサチューセッツ州、ベッドフォードにあるMillipore Corporationから入手可能である、デュラポア・ハイドロフィリック・メンブレン(Durapore Hydrophilic Membrane)である。電解液が封入メンブレンを通って流れると、溶解アノードによって生成されるアノード・スラッジおよび粒子は、封入メンブレンによってフィルタにかけられ、または捕えられる。このように、封入メンブレンは、電気めっき処理中の電解液の純度を向上させ、およびアノード・スラッジおよび汚染粒子によって生じる、電気めっき処理中の基板上の欠陥形成(defect formation )が、顕著に低減される。   FIG. 20 is a cross-sectional view of a first embodiment of an encapsulated anode. Encapsulated anode 2000 includes a permeable anode enclosure that filters or captures “anode sludge” or particles that are produced when metal dissolves from anode plate 2004. As shown in FIG. 20, the consumable anode plate 2004 comprises solid copper, preferably high purity, oxygen-free copper encased in a hydrophilic anode encapsulating membrane 2002. The anode plate 2004 is secured and supported by a plurality of electrical contacts or feedthroughs 2006 that extend through the bottom of the bowl 430. An electrical contact or feedthrough 2006 extends through the anode encapsulating membrane 2002 to the bottom surface of the anode plate 2004. The electrolyte flow is shown by arrow A from the electrolyte inlet 510 located at the bottom of the bowl 430 through the gap between the anode and the bowl sidewall. The electrolyte also flows through the anode encapsulating membrane 2002 by permeation into or out of the gap between the anode encapsulating membrane and the anode plate, as indicated by arrow B. Preferably, the anode encapsulated membrane 2002 has a porosity of between approximately 60% and 80%, more preferably approximately 70%, such as a modified polyvinylidene-fluorine membrane, and the pore size is approximately 0.00. A hydrophilic porous membrane is provided that is between 025 μm and about 1 μm, more preferably between about 0.1 μm and about 0.2 μm. An example of a hydrophilic porous membrane is the Durapore Hydrophilic Membrane, available from Millipore Corporation, Bedford, Massachusetts. As the electrolyte flows through the encapsulating membrane, anode sludge and particles produced by the dissolved anode are filtered or captured by the encapsulating membrane. Thus, the encapsulated membrane improves the purity of the electrolyte during the electroplating process and significantly reduces defect formation on the substrate during the electroplating process caused by anode sludge and contaminant particles. Is done.

図21は、封入アノードの第二の実施形態の横断面図である。封入アノードの第一の実施形態と類似して、アノード・プレート2004は、電気フィードスルー2006に固定されおよび支持されている。それぞれアノード・プレート2004の上および下に配置された、上部封入メンブレン2008および底部封入メンブレン2010は、アノード・プレート2004周辺に配置されたメンブレン支持環2012に取り付けられる。上部および底部封入メンブレン2008、2010は、封入されたアノードの第一の実施形態の封入メンブレンのための、上記リストからの物質を具備する。メンブレン支持環2012は、好ましくは、プラスチックまたは他の重合体等、(封入メンブレンと比較して)相対的に硬い物質を含む。バイパス流体流入口2014は、電解液を、封入メンブレンとアノード・プレートとの間の間隙に導くために、ボウル430の底部を通って、および底部封入メンブレン2010を通って配置される。バイパス流出口2016は、メンブレン支持環2012へと接続され、および封入されたアノードから出たアノード・スラッジまたは生成された粒子を伴った、過剰な電解液の、排出ドレイン(図示されていない)への流れを容易にするために、ボウル430を通って伸びる。   FIG. 21 is a cross-sectional view of a second embodiment of an encapsulated anode. Similar to the first embodiment of the encapsulated anode, the anode plate 2004 is fixed and supported on the electrical feedthrough 2006. The top encapsulating membrane 2008 and the bottom encapsulating membrane 2010, which are respectively disposed above and below the anode plate 2004, are attached to a membrane support ring 2012 disposed around the anode plate 2004. The top and bottom encapsulation membranes 2008, 2010 comprise materials from the above list for the encapsulation membrane of the first embodiment of the encapsulated anode. The membrane support ring 2012 preferably comprises a relatively hard material (compared to the encapsulating membrane), such as plastic or other polymer. A bypass fluid inlet 2014 is disposed through the bottom of the bowl 430 and through the bottom encapsulating membrane 2010 to direct electrolyte to the gap between the encapsulating membrane and the anode plate. A bypass outlet 2016 is connected to the membrane support ring 2012 and to the electrolyte drain drain (not shown) with excess anode sludge or generated particles exiting the encapsulated anode. Extends through the bowl 430 to facilitate the flow of water.

好ましくは、バイパス流体流入口2014および主電解液流入口510の中の電解液の流れは、それぞれ流入口に接続された流体ラインに沿って配置された、フロー制御バルブ2020、2022によって個別に制御され、およびバイパス流体流入口2014における流体圧は、好ましくは、主電解液流入口510における圧力よりも高圧に維持される。主電解液流入口510からの、ボウル430内での電解液の流れは、矢印Aによって示され、封入アノード2000の内側の電解液の流れは、矢印Bによって示される。封入アノードへと導かれる電解液の一部は、封入アノードから出て、バイパス流出口2016を通って流れる。封入アノードへの専用バイパス電解液供給を供給することによって、溶解消耗アノードから生成されるアノード・スラッジまたは粒子は、継続的にアノードから除去され、それによって電気めっき処理中の電解液の純度は向上する。   Preferably, the electrolyte flow in the bypass fluid inlet 2014 and the main electrolyte inlet 510 are individually controlled by flow control valves 2020, 2022, respectively, located along fluid lines connected to the inlet. And the fluid pressure at the bypass fluid inlet 2014 is preferably maintained at a higher pressure than the pressure at the main electrolyte inlet 510. The electrolyte flow in the bowl 430 from the main electrolyte inlet 510 is indicated by arrow A, and the electrolyte flow inside the encapsulated anode 2000 is indicated by arrow B. A portion of the electrolyte that is directed to the encapsulated anode exits the encapsulated anode and flows through the bypass outlet 2016. By supplying a dedicated bypass electrolyte supply to the encapsulated anode, anode sludge or particles generated from the dissolution depleted anode are continuously removed from the anode, thereby improving the purity of the electrolyte during the electroplating process. To do.

図22は、封入アノードの第三の実施形態の横断面図である。封入アノード2000の第三の実施形態は、複数の電気フィード・スルー2006に固定されおよび支持されたアノード・プレート2004と、メンブレン支持環2012に取り付けられた上部ならびに底部封入メンブレン2008、2010と、およびメンブレン支持環2012に接続され、ボウル430を通って伸びるバイパス流出口2016とを含む。この、封入アノードの第三の実施形態は、好ましくは、封入アノードの第一および第二の実施形態に関して上述された物質を含む。第三の実施形態に従った底部封入メンブレン2010は、主電解液流入口510の上に実質的に配置された一つ以上の開口部2024を含む。開口部2024は、主電解液流入口510からの電解液の流れを受けるように適応しており、好ましくは主電解液流入口510の内周とおよそ同じ大きさである。主電解液流入口510からの電解液の流れは、矢印Aによって示され、封入アノード内の電解液の流れは、矢印Bによって示される。電解液の一部は、封入アノードから出て、バイパス流出口2016を通って流れ、アノード溶解から生成されるノード・スラッジおよび粒子の一部を運搬する。   FIG. 22 is a cross-sectional view of a third embodiment of an encapsulated anode. A third embodiment of encapsulated anode 2000 includes an anode plate 2004 secured to and supported by a plurality of electrical feed-throughs 2006, top and bottom encapsulated membranes 2008, 2010 attached to a membrane support ring 2012, and A bypass outlet 2016 connected to the membrane support ring 2012 and extending through the bowl 430. This third embodiment of the encapsulated anode preferably comprises the materials described above with respect to the first and second embodiments of the encapsulated anode. The bottom encapsulating membrane 2010 according to the third embodiment includes one or more openings 2024 substantially disposed above the main electrolyte inlet 510. Opening 2024 is adapted to receive the flow of electrolyte from main electrolyte inlet 510 and is preferably approximately the same size as the inner periphery of main electrolyte inlet 510. The electrolyte flow from the main electrolyte inlet 510 is indicated by arrow A, and the electrolyte flow in the encapsulated anode is indicated by arrow B. Some of the electrolyte exits the encapsulated anode and flows through the bypass outlet 2016, carrying some of the node sludge and particles generated from the anodic dissolution.

図23は、封入アノードの第四の実施形態の横断面図である。封入アノード2000の第四の実施形態は、複数の電気フィードスルー2006に固定されおよび支持されたアノード・プレート2002と、メンブレン支持環2012に取り付けられた上部ならびに底部封入メンブレン2008、2010と、および封入メンブレンとアノード・プレートとの間の間隙に電解液を導くために、ボウル430の底部を通って、ならびに底部封入メンブレン2010を通って配置された、バイパス流体流入口2014とを含む。この、封入アノードの第四の実施形態は、好ましくは、封入アノードの第一ならびに第二の実施形態に関して上述された物質を含む。好ましくは、バイパス流体流入口2014および主電解液流入口510を通る電解液の流れは、それぞれ制御バルブ2020、2022によって個別に制御される。主電解液流入口510からの電解液の流れは、矢印Aによって示される一方で、封入アノードを通る電解液の流れは、矢印Bによって示される。この実施形態に関して、溶解アノード・プレートによって生成されるアノード・スラッジおよび粒子は、電解液がメンブレンを通過する時に、封入メンブレンによってフィルタにかけられ、および捕えられる。   FIG. 23 is a cross-sectional view of a fourth embodiment of an encapsulated anode. A fourth embodiment of encapsulated anode 2000 includes an anode plate 2002 fixed and supported on a plurality of electrical feedthroughs 2006, top and bottom encapsulated membranes 2008, 2010 attached to a membrane support ring 2012, and encapsulating. A bypass fluid inlet 2014 is disposed through the bottom of the bowl 430 as well as through the bottom encapsulating membrane 2010 to direct electrolyte to the gap between the membrane and the anode plate. This fourth embodiment of the encapsulated anode preferably comprises the materials described above with respect to the first and second embodiments of the encapsulated anode. Preferably, the electrolyte flow through bypass fluid inlet 2014 and main electrolyte inlet 510 is individually controlled by control valves 2020 and 2022, respectively. The electrolyte flow from the main electrolyte inlet 510 is indicated by arrow A, while the electrolyte flow through the encapsulated anode is indicated by arrow B. For this embodiment, the anode sludge and particles produced by the molten anode plate are filtered and trapped by the encapsulating membrane as the electrolyte passes through the membrane.

図16は、電解液補充システム220の概要図である。電解液補充システム220は、電気めっき処理のために、電気めっき処理セルに電解液を供給する。電解液補充システム220は、通常、主電解液タンク602、ドージング・モジュール(dosing module)603、ろ過モジュール605、化学的アナライザ・モジュール616、および電解液廃液ドレイン620によって解析モジュール616に接続された、電解液廃液廃棄システム622を含む。一つ以上のコントローラが、主タンク602における電解液の合成および電解液補充システム220のオペレーションを制御する。好ましくは、前記コントローラは、個別に操作可能であるが、電気めっきシステム・プラットフォーム200の制御システム222と統合されていてもよい。   FIG. 16 is a schematic diagram of the electrolyte replenishment system 220. The electrolyte replenishment system 220 supplies the electrolyte to the electroplating process cell for the electroplating process. The electrolyte replenishment system 220 is typically connected to the analysis module 616 by a main electrolyte tank 602, a dosing module 603, a filtration module 605, a chemical analyzer module 616, and an electrolyte waste drain 620. An electrolyte waste disposal system 622 is included. One or more controllers control the operation of the electrolyte synthesis and electrolyte replenishment system 220 in the main tank 602. Preferably, the controllers are individually operable but may be integrated with the control system 222 of the electroplating system platform 200.

主電解液タンク602は、電解液のための貯蔵槽を供給し、および一つ以上の流体ポンプ608ならびにバルブ607を通って、電気めっき処理セルの各々に接続される、電解液供給ライン612を含む。主タンク602と熱的に接続するように配置された熱交換器624またはヒータ(heater)/チラー(chiller)は、主タンク602に貯蔵された電解液の温度を制御する。熱交換器624は、コントローラ610に接続され、およびそれによって操作される。   The main electrolyte tank 602 provides an electrolyte supply line 612 that supplies a reservoir for the electrolyte and is connected to each of the electroplating cells through one or more fluid pumps 608 and valves 607. Including. A heat exchanger 624 or heater / chiller arranged to be in thermal communication with the main tank 602 controls the temperature of the electrolyte stored in the main tank 602. The heat exchanger 624 is connected to and operated by the controller 610.

ドージング・モジュール603は、供給ラインによって主タンク602に接続されており、複数のソース・タンク606、またはフィード・ボトル(feed bottle)、複数のバルブ609、およびコントローラ611を含む。ソース・タンク606は、電解液を合成するために必要な化学物質を含み、通常は、電解液を合成するための脱イオン水源タンクおよび硫酸銅(CuSO4)ソース・タンクを含む。他のソース・タンク606は、硫酸水素塩(H2SO4)、塩酸塩(HCL)およびグリコール等の様々な添加物を含んでもよい。各ソース・タンクは、好ましくは色でコード化され、およびドージング・モジュールにおける適合流入コネクタに接続するように適応した、独自の接続流出コネクタを備える。ソース・タンクを色でコード化し、およびソース・タンクに独自のコネクタを備えることによって、ソース・タンクを交換し、または取りかえる時に、人間のオペレータによって生じる誤りが、顕著に低減される。 The dosing module 603 is connected to the main tank 602 by a supply line and includes a plurality of source tanks 606 or feed bottles, a plurality of valves 609 and a controller 611. The source tank 606 contains the chemicals necessary to synthesize the electrolyte, and typically includes a deionized water source tank and a copper sulfate (CuSO 4 ) source tank for synthesizing the electrolyte. Other source tanks 606 may contain various additives such as hydrogen sulfate (H 2 SO 4 ), hydrochloride (HCL) and glycol. Each source tank is provided with its own connection outlet connector, preferably color coded and adapted to connect to a matching inlet connector in the dosing module. By coding the source tank with color and providing the source tank with its own connector, errors caused by a human operator when replacing or replacing the source tank are significantly reduced.

脱イオン水源タンクは、好ましくは、脱イオン水を、メンテナンス中のシステムの清浄のためのシステムにも供給する。各ソース・タンク606と関連するバルブ609は、主タンク602への化学物質の流れを調整し、およびバタフライ・バルブ(butterfly valves)、スロットル・バルブ(throttle valves)等、数多くの商業上入手可能なバルブのいずれでもよい。バルブ609の作動は、好ましくはそこからの信号を受信するために、システム制御222に接続された、コントローラ611によって達成される。   The deionized water source tank preferably also supplies deionized water to a system for cleaning the system under maintenance. A valve 609 associated with each source tank 606 regulates the flow of chemicals to the main tank 602 and is a number of commercially available, such as butterfly valves, throttle valves, etc. Any of the valves may be used. Actuation of valve 609 is accomplished by a controller 611, preferably connected to system control 222 to receive signals therefrom.

電解液ろ過モジュール605は、複数のフィルタ・タンク604を含む。電解液返送ライン614は、処理セルの各々と、一つ以上のフィルタ・タンク604との間に接続される。フィルタ・タンク604は、再利用のために、電解液を主タンク602に戻す前に、使用された電解液における望ましくない含有物を除去する。主タンク602は、主タンク602における電解液の再循環およびろ過を容易にするために、フィルタ・タンク604にも接続されている。主タンク602からフィルタ・タンク604を通して電解液を再循環させることによって、電解液内の望ましくない含有物は、一定のレベルの純度を維持するために、フィルタ・タンク604によって継続的に除去される。さらに、主タンク602とろ過モジュール605との間で電解液を再循環させることで、電解液内の様々な化学物質が、完全に混合される。   The electrolyte filtration module 605 includes a plurality of filter tanks 604. An electrolyte return line 614 is connected between each of the processing cells and one or more filter tanks 604. The filter tank 604 removes undesirable contents in the used electrolyte before returning the electrolyte to the main tank 602 for reuse. The main tank 602 is also connected to a filter tank 604 to facilitate electrolyte recirculation and filtration in the main tank 602. By recirculating the electrolyte from the main tank 602 through the filter tank 604, unwanted contents in the electrolyte are continuously removed by the filter tank 604 to maintain a certain level of purity. . Furthermore, by recirculating the electrolyte between the main tank 602 and the filtration module 605, various chemical substances in the electrolyte are completely mixed.

電解液補充システム220は、電解液の化学的合成の、リアルタイムな化学的解析を供給する、化学的アナライザ・モジュール616も含む。アナライザ・モジュール616は、サンプル・ライン613によって主タンク602へと、および流出ライン621によって廃液廃棄システム622へと流体的に結合される。アナライザ・モジュール616は、通常、アナライザを操作するために、少なくとも一つのアナライザおよびコントローラを具備する。特定の処理ツールに必要となるアナライザの数は、電解液の合成による。例えば、第一のアナライザは、有機物質の濃度を監視するために使用されてもよい一方で、第二のアナライザは、無機化学物質に必要とされる。図16に記載の特定の実施形態において、化学的アナライザ・モジュール616は、自動滴定アナライザ615およびサイクリック・ボルタメトリック・ストリッパ(cyclic voltametric stripper)(CVS)617を具備する。どちらのアナライザも、様々な供給者から商業的に入手可能である。効果的に使用されてもよい自動滴定アナライザは、Parker Systemsから入手可能であり、サイクリック・ボルタメトリック・ストリッパは、ECIから入手可能である。自動適定アナライザ615は、塩化銅等の無機物質および酸の濃度を決定する。CVS617は、電解液において使用されるかもしれない様々な添加物、および処理セルから主タンク602に戻される、処理から生じる副産物等、有機物質の濃度を決定する。   The electrolyte replenishment system 220 also includes a chemical analyzer module 616 that provides real-time chemical analysis of the chemical synthesis of the electrolyte. Analyzer module 616 is fluidly coupled to main tank 602 by sample line 613 and to waste disposal system 622 by effluent line 621. The analyzer module 616 typically comprises at least one analyzer and controller for operating the analyzer. The number of analyzers required for a particular processing tool depends on the synthesis of the electrolyte. For example, a first analyzer may be used to monitor the concentration of organic material, while a second analyzer is required for inorganic chemicals. In the particular embodiment described in FIG. 16, the chemical analyzer module 616 includes an automatic titration analyzer 615 and a cyclic voltametric stripper (CVS) 617. Both analyzers are commercially available from various suppliers. An automated titration analyzer that may be used effectively is available from Parker Systems and a cyclic voltametric stripper is available from ECI. Automatic titration analyzer 615 determines the concentration of inorganic substances such as copper chloride and acid. CVS 617 determines the concentration of organic materials such as various additives that may be used in the electrolyte and by-products resulting from processing that are returned to the main tank 602 from the processing cell.

図16に記載のアナライザ・モジュールは、単なる例示である。他の実施形態において、各アナライザは、個別の供給ラインによって主電解液タンクに結合され、および個別のコントローラによって操作されてもよい。当業者は、他の実施形態を認識するであろう。   The analyzer module described in FIG. 16 is merely exemplary. In other embodiments, each analyzer may be coupled to the main electrolyte tank by a separate supply line and operated by a separate controller. Those skilled in the art will recognize other embodiments.

操作において、電解液のサンプルが、サンプル・ライン613を介してアナライザ・モジュール616へと流れる。サンプルは周期的に取られてもよいが、好ましくは、アナライザ・モジュール616へ、電解液の継続的な流れが維持される。サンプルの一部は、自動滴定アナライザ615に運ばれ、および一部は、適切な解析のためにCVS617に運ばれる。コントローラ619は、データを生成するために、アナライザ615、617を操作するためのコマンド信号を開始する。化学的アナライザ615、617からの情報は、制御システム222に送られる。制御システム222は前記情報を処理し、およびユーザが定義する化学的適正量パラメータ(chemical dosage parameters)を含む信号を、ドージング・コントローラ611に送信する。受信された情報は、バルブ609のうち一つ以上を操作することによって、ソース化学物質補充速度へのリアルタイムな調整を供給するために使用され、それによって、電気めっき処理の間、電解液の所望の、好ましくは一定した化学的合成を維持する。アナライザ・モジュールからの廃液電解液は、流出ライン621を介して廃液廃棄システム622へと流れる。   In operation, a sample of electrolyte flows through the sample line 613 to the analyzer module 616. Samples may be taken periodically, but preferably a continuous flow of electrolyte is maintained to the analyzer module 616. A portion of the sample is delivered to the automated titration analyzer 615 and a portion is delivered to the CVS 617 for proper analysis. The controller 619 initiates command signals for operating the analyzers 615, 617 to generate data. Information from the chemical analyzers 615, 617 is sent to the control system 222. The control system 222 processes the information and sends a signal to the dosing controller 611 that includes user defined chemical dosage parameters. The received information is used to provide real-time adjustments to the source chemical replenishment rate by manipulating one or more of the valves 609, thereby allowing the electrolyte to be desired during the electroplating process. Preferably, a constant chemical synthesis is maintained. The waste electrolyte from the analyzer module flows to the waste disposal system 622 via the outflow line 621.

好ましい実施形態は、電解液のリアルタイムな監視および調整を利用するが、様々な代替例が本発明に従って採用されてもよい。たとえば、ドージング・モジュール603は、化学的アナライザ・モジュール616によって供給される出力値を見ているオペレータによって、手動で制御されてもよい。好ましくは、システム・ソフトウェアによって、オペレータ(手動)モードと同様に、自動リアルタイム調整モードの両方が可能になる。さらに、複数のコントローラが図16に記載されているが、一つのコントローラが、化学的アナライザ・モジュール616、ドージング・モジュール603、および熱交換器624等、システムの様々な構成要素を操作するために使用されてもよい。他の実施形態は、当業者には明らかであろう。   Although the preferred embodiment utilizes real-time monitoring and adjustment of the electrolyte, various alternatives may be employed in accordance with the present invention. For example, dosing module 603 may be manually controlled by an operator viewing the output values provided by chemical analyzer module 616. Preferably, the system software allows both an automatic real-time adjustment mode as well as an operator (manual) mode. In addition, although multiple controllers are described in FIG. 16, one controller can operate various components of the system, such as chemical analyzer module 616, dosing module 603, and heat exchanger 624. May be used. Other embodiments will be apparent to those skilled in the art.

好ましくは、アナライザは、アナライザにおける電極またはセンサが、繰り返して使用されることによって腐食されると、コントローラが、計測におけるドリフト(drift)を補うことを容易にする標準および基準体系を含む。標準および基準体系は、好ましくは、アナライザによって解析されている物質に従って分類される。例えば、自動滴定アナライザ615は、無機物質のための標準および基準体系を含み、およびCVS617は、有機物質のための標準および基準体系を含む。例えば、表1に記載のとおり、三つの標準が、電解液における銅および塩化物含有の解析のために示される。   Preferably, the analyzer includes a standard and reference scheme that facilitates the controller to compensate for drift in the measurement when the electrodes or sensors in the analyzer are eroded by repeated use. Standards and reference systems are preferably classified according to the material being analyzed by the analyzer. For example, automatic titration analyzer 615 includes standards and reference systems for inorganic materials, and CVS 617 includes standards and reference systems for organic materials. For example, as described in Table 1, three standards are presented for analysis of copper and chloride content in the electrolyte.

表1:銅および塩化物含有に関する標準
銅 塩化物
標準1(低) 49g/l 40ppm
標準2(中) 50g/l 70ppm
標準3(高) 60g/l 100ppm

アナライザは、銅および塩化物含有に関するアナライザのための電極またはセンサが、繰返しの使用によって腐食されるにつれて、電極またはセンサの偏差または計測ドリフトを決定するために、前記標準を使用する。標準における既知の含有物と、アナライザによる計測との間に、線形関係(linear relationship)を補間することによって、アナライザは、電解液サンプルにおける物質の正確な解析を供給するために基準化される。電解液サンプルから計測されたデータは、正確な計測を供給するために、電極またはセンサの計測ドリフトに関して補われる。標準および基準体系を使用することによって、本発明は、電解液の、正確でリアルタイムな、オンライン解析を供給し、前記システムに取り付けられたアナライザによって実行されうる閉ループ解析を容易にする。本発明はまた、電極またはセンサの有効寿命を延長し、およびこれらの構成要素の取り替えによるシステムの遮断の頻度を下げる。
Table 1: Standards for copper and chloride content
Copper Chloride Standard 1 (Low) 49g / l 40ppm
Standard 2 (Medium) 50g / l 70ppm
Standard 3 (High) 60g / l 100ppm

The analyzer uses the standard to determine the electrode or sensor deviation or measurement drift as the electrode or sensor for the copper and chloride content analyzer is corroded by repeated use. By interpolating a linear relationship between the known content in the standard and the measurement by the analyzer, the analyzer is scaled to provide an accurate analysis of the substance in the electrolyte sample. Data measured from the electrolyte sample is supplemented with respect to electrode or sensor measurement drift to provide an accurate measurement. By using standards and reference schemes, the present invention provides accurate, real-time, online analysis of electrolytes and facilitates closed loop analysis that can be performed by an analyzer attached to the system. The present invention also extends the useful life of the electrode or sensor and reduces the frequency of system shutdowns due to replacement of these components.

電解液補充システム220はまた、使用された電解液、化学物質、および電気めっきシステムにおいて使用された他の流体の安全な廃棄のための、電解液廃液廃棄システム622へと接続された、電解液廃液ドレイン620も含む。好ましくは、電気めっきセルは、電解液補充システム220を通して電解液を戻すことなく、電気めっきセルを排出するために、電解液廃液ドレイン620または電解液廃液廃棄システム622への直接ライン接続を含む。電解液補充システム220は、好ましくは、過剰な電解液を、電解液廃液ドレイン620に抜き取るための、ブリード・オフ接続(bleed off connection)も含む。   The electrolyte replenishment system 220 is also connected to an electrolyte waste disposal system 622 for safe disposal of used electrolytes, chemicals, and other fluids used in the electroplating system. A waste liquid drain 620 is also included. Preferably, the electroplating cell includes a direct line connection to the electrolyte waste drain 620 or the electrolyte waste disposal system 622 to drain the electroplating cell without returning the electrolyte through the electrolyte replenishment system 220. The electrolyte replenishment system 220 also preferably includes a bleed off connection for drawing excess electrolyte to the electrolyte waste drain 620.

好ましくは、電解液補充システム220はまた、電解液から望ましくないガスを除去するように適応した、一つ以上のガス抜きモジュール630も含む。ガス抜きモジュールは、通常、解放されたガスを除去するためのガス抜きモジュールおよび真空システムを通過する流体から、ガスを分離するメンブレンを具備する。ガス抜きモジュール630は、好ましくは、処理セル240に隣接する電解液供給ライン612に一列で配置される。ガス抜きモジュール630は、好ましくは、電解液補充システムからのガスのほとんどが、電解液が処理セルに入る前に、ガス抜きモジュールによって除去されるように、処理セル240のできるだけ近くに配置される。好ましくは、各ガス抜きモジュール630は、ガス抜きされた電解液を、各処理ステーション218の二つの処理セル240に供給するための二つの流出口を含む。代替的に、ガス抜きモジュール630は、各処理セルのために供給される。ガス抜きモジュールは、多くの他の場所に配置されうる。例えば、ガス抜きモジュールは、電解液補充システムにおける他の場所に、例えばフィルタ・セクションとともに、または主タンクまたは処理セルを伴う閉ループ・システムに配置されうる。他の例として、一つのガス抜きモジュールは、ガス抜きされた電解液を、電気化学堆積システムの処理セル240のすべてに供給するために、電解液供給ライン612と、一列で配置される。さらに、個別のガス抜きモジュールは、直列に、または脱イオン水供給ラインを伴う閉ループに配置され、および脱イオン水源から酸素を除去することに専念する。脱イオン水は処理された基板を洗うために使用されるので、遊離酸素ガスは、好ましくは、電気めっきされた銅が、洗う処理によって酸化しにくいように、SRDモジュールに到達する前に、脱イオン水から除去される。ガス抜きモジュールは、当業界ではよく知られており、商業的実施形態が、様々な応用における使用に関して利用可能であり、適応している。商業的に入手可能なガス抜きモジュールは、マサチューセッツ州、ベッドフォードにある、Millipore Corporationから入手可能である。   Preferably, the electrolyte replenishment system 220 also includes one or more venting modules 630 adapted to remove unwanted gases from the electrolyte. The venting module typically comprises a venting module for removing the released gas and a membrane that separates the gas from the fluid passing through the vacuum system. The venting modules 630 are preferably arranged in a row on the electrolyte supply line 612 adjacent to the processing cell 240. The degas module 630 is preferably located as close as possible to the processing cell 240 so that most of the gas from the electrolyte replenishment system is removed by the degas module before the electrolyte enters the processing cell. . Preferably, each degassing module 630 includes two outlets for supplying degassed electrolyte to the two processing cells 240 of each processing station 218. Alternatively, a venting module 630 is provided for each processing cell. The venting module can be placed in many other locations. For example, the venting module can be placed elsewhere in the electrolyte replenishment system, for example with a filter section, or in a closed loop system with a main tank or processing cell. As another example, one degas module is arranged in line with an electrolyte supply line 612 to supply the degassed electrolyte to all of the processing cells 240 of the electrochemical deposition system. In addition, individual venting modules are placed in series or in a closed loop with deionized water supply lines and are dedicated to removing oxygen from the deionized water source. Since deionized water is used to wash the treated substrate, the free oxygen gas is preferably removed before reaching the SRD module so that the electroplated copper is less susceptible to oxidation by the washing process. Removed from ionic water. Degassing modules are well known in the art, and commercial embodiments are available and adapted for use in various applications. Commercially available venting modules are available from Millipore Corporation, Bedford, Massachusetts.

図26aに記載のとおり、ガス抜きモジュール630の一つの実施形態は、メンブレン632の一方に流体(すなわち、電解液)通路634を、およびメンブレンの反対側に配置された真空システム636を有する、疎水性メンブレン632を含む。ガス抜きモジュールのエンクロージャ638は、流入口640および一つ以上の流出口642を含む。電解液が、ガス抜きモジュール630を通過すると、電解液におけるガスおよび他の微小バブル(micro-bubbles)が、疎水性メンブレンを通る電解液から分離され、および真空システムによって除去される。ガス抜きモジュール630’の他の実施形態は、図26bに記載のとおり、疎水性メンブレンの管632’および疎水性メンブレンの管632’の周辺に配置された真空システム636を含む。電解液は、疎水性メンブレンの管の内側に導かれ、電解液が前記管における流体通路634を通過すると、電解液におけるガスおよび他の微小バブルが、疎水性メンブレンの管632’を通る電解液から分離され、および前記管を取り巻く真空システム636によって除去される。ガス抜きモジュールのより複雑な設計が本発明によって意図されており、それは、メンブレンを横切る電解液の蛇行したパス(paths)を有する設計、およびガス抜きモジュールの、他のマルチ・セクションな(multi-sectioned)設計を含む。   As described in FIG. 26a, one embodiment of the venting module 630 is hydrophobic, having a fluid (ie, electrolyte) passage 634 on one side of the membrane 632 and a vacuum system 636 disposed on the opposite side of the membrane. A conductive membrane 632. The venting module enclosure 638 includes an inlet 640 and one or more outlets 642. As the electrolyte passes through the venting module 630, gases and other micro-bubbles in the electrolyte are separated from the electrolyte passing through the hydrophobic membrane and removed by the vacuum system. Another embodiment of the degassing module 630 'includes a hydrophobic membrane tube 632' and a vacuum system 636 disposed around the hydrophobic membrane tube 632 'as described in FIG. 26b. The electrolyte is directed inside the tube of the hydrophobic membrane, and when the electrolyte passes through the fluid passage 634 in the tube, the gas and other microbubbles in the electrolyte pass through the tube 632 ′ of the hydrophobic membrane. And removed by a vacuum system 636 surrounding the tube. More complex designs of degassing modules are contemplated by the present invention, such as designs with serpentine paths of electrolyte across the membrane, and other multi-sections of degassing modules. sectioned) including design.

図16には記載されていないが、電解液補充システム220は、多くの他の構成要素を含んでもよい。例えば、電解液補充システム220は、好ましくは、ウェーハ清浄システム、例えばSRDステーションに関する化学物質の貯蔵のための一つ以上の付加的タンクも含む。有害物質コネクション(hazardous material connection)のための二重包含されたパイピング(piping)も、前記システム全体における化学物質の安全な輸送を供給するために採用されてもよい。任意で、電解液補充システム220は、電気めっきシステムへの付加的な電解液供給を供給するために、付加的なまたは外部の電解液処理システムへの接続を含む。   Although not illustrated in FIG. 16, the electrolyte replenishment system 220 may include many other components. For example, the electrolyte replenishment system 220 preferably also includes one or more additional tanks for chemical storage for a wafer cleaning system, such as an SRD station. Double-incorporated piping for hazardous material connections may also be employed to provide safe transport of chemicals throughout the system. Optionally, the electrolyte replenishment system 220 includes a connection to an additional or external electrolyte treatment system to provide an additional electrolyte supply to the electroplating system.

図17は、本発明に従った、急速熱アニール・チャンバ(thermal anneal chamber)の横断面図である。急速熱アニール(RTA)チャンバ211は、好ましくはローディング・ステーション(loading station)210に接続され、および基板は、ローディング・ステーション移送ロボット228によって、RTAチャンバ211へ、またはそこから移送される。電気めっきシステムは、図2および3に記載のとおり、好ましくは、ローディング・ステーション210の対称的な設計に対応して、ローディング・ステーションの反対側に配置された、二つのRTAチャンバ211を具備する。熱アニール処理チャンバは、当業界で一般的によく知られており、急速熱アニール・チャンバは、典型的には、堆積した物質の属性を向上させるために、基板処理システムにおいて利用される。本発明は、電気めっきの結果を向上させるために、ホット・プレート設計および熱ランプ設計を含む、様々な熱アニール・チャンバ設計を利用することを意図する。本発明に有効な、一つの特定的な熱アニール・チャンバは、カリフォルニア州、Santa Claraにある、Applied material Inc.,から入手可能な、WxZチャンバである。本発明は、ホット・プレート急速熱アニール・チャンバを使用して記述されているが、本発明は、他の熱アニール・チャンバのアプリケーションも、同様に意図する。   FIG. 17 is a cross-sectional view of a rapid thermal anneal chamber according to the present invention. A rapid thermal anneal (RTA) chamber 211 is preferably connected to a loading station 210 and the substrate is transferred to or from the RTA chamber 211 by a loading station transfer robot 228. The electroplating system comprises two RTA chambers 211, preferably arranged on opposite sides of the loading station, corresponding to the symmetrical design of the loading station 210, as described in FIGS. . Thermal annealing chambers are generally well known in the art, and rapid thermal annealing chambers are typically utilized in substrate processing systems to improve the attributes of the deposited material. The present invention contemplates utilizing a variety of thermal annealing chamber designs, including hot plate designs and thermal lamp designs, to improve electroplating results. One specific thermal annealing chamber useful for the present invention is Applied material Inc., located in Santa Clara, California. , A WxZ chamber. Although the present invention has been described using a hot plate rapid thermal anneal chamber, the present invention contemplates other thermal anneal chamber applications as well.

RTAチャンバ211は、通常、エンクロージャ902、ヒータ・プレート904、ヒータ907および複数の基板支持ピン906を具備する。エンクロージャ902は、基盤908、側壁910、および上部912を含む。好ましくは、冷プレート913が、エンクロージャの上部912の下に配置される。代替的には、冷プレートは、エンクロージャの上部912の一部として、一体的に形成される。好ましくは、反射絶縁皿(reflector insulator dish)914は、基盤908上のエンクロージャ902の内側に配置される。反射絶縁皿914は、典型的には、石英、アルミナ、または高温(すなわち、約500℃以上)に耐えることができ、およびヒータ907とエンクロージャ902との間の熱絶縁体として機能することができる他の物質で作られる。皿914は、熱をヒータ・プレート906に戻るように導くために、金等の反射性物質で覆われていてもよい。   The RTA chamber 211 typically includes an enclosure 902, a heater plate 904, a heater 907, and a plurality of substrate support pins 906. Enclosure 902 includes a base 908, sidewalls 910, and an upper portion 912. Preferably, a cold plate 913 is disposed below the top 912 of the enclosure. Alternatively, the cold plate is integrally formed as part of the upper portion 912 of the enclosure. Preferably, a reflector insulator dish 914 is disposed inside the enclosure 902 on the base 908. The reflective insulation dish 914 can typically withstand quartz, alumina, or high temperatures (ie, about 500 ° C. or higher) and can function as a thermal insulator between the heater 907 and the enclosure 902. Made of other substances. The dish 914 may be covered with a reflective material such as gold to direct heat back to the heater plate 906.

ヒータ・プレート904は、好ましくは、システムで処理されている基板と比較して質量が大きく、好ましくは、例えば、炭化ケイ素、石英、またはRTAチャンバにおける周囲のガスに反応せず、または基板素材と反応しない他の物質等、の物質から製造される。ヒータ907は、典型的には、抵抗性加熱素子または伝導性/放射性熱源を具備し、および被加熱プレート906と反射絶縁皿914との間に配置される。ヒータ907は、ヒータ907を熱するために必要なエネルギを供給する電源906に接続される。好ましくは、熱電対920は、コンジット922に配置され、基盤908および皿914を通るように配置され、ヒータ・プレート904へと伸びる。熱電対920は、コントローラ(すなわち、後述のシステム・コントローラ)に接続され、前記コントローラに温度計測を供給する。コントローラは、温度計測および所望のアニール温度に従って、ヒータ907によって供給される熱を上げたり下げたりする。   The heater plate 904 preferably has a large mass compared to the substrate being processed in the system and preferably does not react to ambient gases in, for example, silicon carbide, quartz, or RTA chambers, or the substrate material. Manufactured from other materials that do not react. The heater 907 typically comprises a resistive heating element or a conductive / radiant heat source and is disposed between the heated plate 906 and the reflective insulating dish 914. The heater 907 is connected to a power source 906 that supplies energy necessary to heat the heater 907. Preferably, the thermocouple 920 is disposed in the conduit 922 and is disposed through the base 908 and the pan 914 and extends to the heater plate 904. The thermocouple 920 is connected to a controller (ie, a system controller described below) and supplies temperature measurements to the controller. The controller raises or lowers the heat supplied by the heater 907 according to the temperature measurement and the desired annealing temperature.

エンクロージャ902は、好ましくは、エンクロージャ902を冷却するために、側壁910と熱的に接触するエンクロージャ902の外側に配置された、冷却メンバ918を含む。代替的には、一つ以上の冷却チャネル(図示されていない)が、エンクロージャ902の温度を制御するために、側壁910内に形成される。上部912の内側表面に配置された冷プレート913は、冷プレート913の近くに配置されている基板を冷却する。   Enclosure 902 preferably includes a cooling member 918 disposed outside enclosure 902 that is in thermal contact with sidewall 910 to cool enclosure 902. Alternatively, one or more cooling channels (not shown) are formed in the sidewall 910 to control the temperature of the enclosure 902. The cold plate 913 disposed on the inner surface of the upper portion 912 cools the substrate disposed near the cold plate 913.

RTAチャンバ211は、RTAチャンバへの、およびそこからの基板の移送を容易にするために、エンクロージャ902の側壁910に配置されたスリット・バルブ(slit valve)922を含む。スリット・バルブ922は、選択的に、ローディング・ステーション210と通じているエンクロージャの側壁910にある開口部924を密閉する。ローディング・ステーション移送ロボット228(図2参照)は、開口部924を通って、RTAチャンバへと、およびそこから基板を移送する。   The RTA chamber 211 includes a slit valve 922 disposed on the sidewall 910 of the enclosure 902 to facilitate transfer of substrates to and from the RTA chamber. The slit valve 922 optionally seals an opening 924 in the enclosure sidewall 910 that communicates with the loading station 210. A loading station transfer robot 228 (see FIG. 2) transfers the substrate through and through the opening 924 to and from the RTA chamber.

基板支持ピン906は、好ましくは、石英、酸化アルミニウム、炭化ケイ素、または他の高温耐性物質で作られた、末端に向かって先細りになったメンバを具備する。各基板支持ピン906は、管状コンジット926の中に配置され、好ましくは、熱および酸化耐性素材で作られており、ヒータ・プレート904を通って伸びる。基板支持ピン906は、統一的な方法で、基板支持ピン906を動かすためのリフト・プレート928に接続されている。リフト・プレート928は、RTAチャンバ内の様々な縦の位置に基板を配置することを容易にするためにリフト・プレート928を動かす、リフト・シャフト932を通って、ステッパ・モータ(stepper motor)等、アクチュエータ930に取り付けられる。リフト・シャフト932は、エンクロージャ902の基盤908を通って伸び、前記シャフト周辺に配置された密閉フランジ(sealing flange)934によって密閉される。   Substrate support pin 906 preferably comprises a member that tapers toward the end, made of quartz, aluminum oxide, silicon carbide, or other high temperature resistant material. Each substrate support pin 906 is disposed in a tubular conduit 926 and is preferably made of a heat and oxidation resistant material and extends through the heater plate 904. The substrate support pins 906 are connected to a lift plate 928 for moving the substrate support pins 906 in a unified manner. A lift plate 928 moves the lift plate 928 to facilitate placement of the substrate in various vertical positions within the RTA chamber, through a lift shaft 932, a stepper motor, etc. , Attached to the actuator 930. The lift shaft 932 extends through the base 908 of the enclosure 902 and is sealed by a sealing flange 934 disposed around the shaft.

基板をRTAチャンバ211に移送するために、スリット・バルブ922は開けられ、ローディング・ステーション移送ロボット228は、そこに配置された基板を持っているそのロボット・ブレード(robot blade)を、開口部924を通って、RTAチャンバへと伸ばす。ローディング・ステーション移送ロボット228のロボット・ブレードは、前記基板を、ヒータ・プレート904の上のRTAチャンバに配置し、および基板支持ピン906は、基板をロボット・ブレードの上に持ち上げるために、上方へと伸びる。ロボット・ブレードは、RTAチャンバから引き込まれ、スリット・バルブ922は開口部を閉じる。基板支持ピン906は、ヒータ・プレート904から所望の距離だけ、前記基板を下げるために引き込まれる。任意で、基板支持ピン906は、基板がヒータ・プレートに直接接触するように配置するために、完全に引き込まれてもよい。   In order to transfer the substrate to the RTA chamber 211, the slit valve 922 is opened and the loading station transfer robot 228 moves its robot blade with the substrate placed therein into the opening 924. Through and into the RTA chamber. The robot blade of the loading station transfer robot 228 places the substrate in the RTA chamber above the heater plate 904, and substrate support pins 906 move upward to lift the substrate over the robot blade. It grows. The robot blade is retracted from the RTA chamber and the slit valve 922 closes the opening. Substrate support pins 906 are retracted to lower the substrate a desired distance from the heater plate 904. Optionally, the substrate support pins 906 may be fully retracted to place the substrate in direct contact with the heater plate.

好ましくは、ガス流入口936は、アニール加工処理中に、RTAチャンバ211へ、選択されたガスが流れ込むようにするために、エンクロージャ902の側壁910を通して配置される。ガス流入口936は、RTAチャンバ211へのガスの流れを制御するためのバルブ940を通してガス・ソース(gas source)938に接続される。ガス流出口942は、好ましくは、RTAチャンバにおけるガスを排出するために、エンクロージャ902の側壁910の低位部分に配置され、および好ましくはチャンバの外側からの大気のバックストリーム(backstreaming)を防ぐために、リリーフ/チェック・バルブ(relief/check valve)944に接続される。任意で、ガス流出口942は、アニール加工中、所望の真空レベルに、RTAチャンバを排気するために、真空ポンプ(図示されていない)に接続される。   Preferably, a gas inlet 936 is disposed through the sidewall 910 of the enclosure 902 to allow selected gases to flow into the RTA chamber 211 during the annealing process. The gas inlet 936 is connected to a gas source 938 through a valve 940 for controlling the flow of gas to the RTA chamber 211. A gas outlet 942 is preferably located in the lower portion of the sidewall 910 of the enclosure 902 to exhaust gas in the RTA chamber, and preferably to prevent atmospheric backstreaming from the outside of the chamber. Connected to a relief / check valve 944. Optionally, gas outlet 942 is connected to a vacuum pump (not shown) to evacuate the RTA chamber to the desired vacuum level during the annealing process.

本発明に従って、基板は、電気めっきセルにおいて電気めっきされ、SRDステーションにおいて清浄された後、RTAチャンバ211においてアニールされる。好ましくは、RTAチャンバ211は、およそ大気圧に維持され、RTAチャンバ211内の酸素含有量は、アニール加工処理中は、およそ100ppm以下に制御される。好ましくは、RTAチャンバ211内の周辺環境は、窒素(N2)または窒素(N2)化合物および約4%以下の水素(H2)を含み、RTAチャンバ211への周辺ガスの流れは、酸素含有量を100ppm以下に制御するために、20リットル/分(20 liters/min)以上に維持される。電気めっきされた基板は、好ましくは、約30秒から30分間、約摂氏200度から約摂氏450度の間の温度で、より好ましくは、約1分から5分間、約摂氏250度から約摂氏400度の温度でアニールされる。急速熱アニール処理は、典型的には、少なくとも摂氏50度毎秒の温度上昇を必要とする。アニール加工中、基板のために必要とされる速度の温度上昇を供給するために、ヒータ・プレートは、好ましくは、約摂氏350度から約摂氏450度の間に維持され、および基板は、好ましくは、アニール加工処理中、ヒータ・プレートから約0mm(すなわち、ヒータ・プレートに接している)から約20mmの間で配置される。好ましくは、制御システム222は、RTAチャンバにおける所望の周辺環境およびヒータ・プレートの温度を維持することを含む、RTAチャンバ211のオペレーションを制御する。 In accordance with the present invention, the substrate is electroplated in an electroplating cell, cleaned in an SRD station, and then annealed in an RTA chamber 211. Preferably, the RTA chamber 211 is maintained at approximately atmospheric pressure, and the oxygen content in the RTA chamber 211 is controlled to approximately 100 ppm or less during the annealing process. Preferably, the ambient environment within the RTA chamber 211 includes nitrogen (N 2 ) or a nitrogen (N 2 ) compound and no more than about 4% hydrogen (H 2 ), and the ambient gas flow to the RTA chamber 211 is oxygen In order to control the content to 100 ppm or less, it is maintained at 20 liters / minute or more (20 liters / min) or more. The electroplated substrate is preferably about 30 seconds to 30 minutes at a temperature between about 200 degrees Celsius and about 450 degrees Celsius, more preferably about 1 minute to 5 minutes, about 250 degrees Celsius to about 400 degrees Celsius. Annealed at the temperature of degree. Rapid thermal annealing typically requires a temperature increase of at least 50 degrees Celsius per second. During the annealing process, the heater plate is preferably maintained between about 350 degrees Celsius to about 450 degrees Celsius to provide the rate of temperature increase required for the substrate, and the substrate is preferably Is disposed between about 0 mm (ie, in contact with the heater plate) to about 20 mm from the heater plate during the annealing process. Preferably, the control system 222 controls the operation of the RTA chamber 211, including maintaining the desired ambient environment and heater plate temperature in the RTA chamber.

アニール加工処理が完了した後、基板支持ピン906は、RTAチャンバ211からの移送のための位置に、前記基板を持ち上げる。スリット・バルブ922が開き、ローディング・ステーション移送ロボット228のロボット・ブレードは、RTAチャンバの中へと伸び、前記基板の下に配置される。基板支持ピン906は、前記基板をロボット・ブレードへと下げるために引き込まれ、ロボット・ブレードは、RTAチャンバから引き込まれる。ローディング・ステーション移送ロボット228は、電気めっき処理システムからの除去のため、処理された基板をカセット(cassette)232の中へと移送する(図2および3参照)。   After the annealing process is completed, the substrate support pins 906 lift the substrate to a position for transfer from the RTA chamber 211. The slit valve 922 opens and the robot blade of the loading station transfer robot 228 extends into the RTA chamber and is placed under the substrate. Substrate support pins 906 are retracted to lower the substrate to the robot blade, which is retracted from the RTA chamber. The loading station transfer robot 228 transfers the processed substrate into a cassette 232 for removal from the electroplating processing system (see FIGS. 2 and 3).

図2に戻って参照すると、電気めっきシステム・プラットフォーム200は、前記プラットフォームの各構成要素の機能を制御する制御システム222を含む。好ましくは、制御システム222は、メインフレーム214の上に搭載され、およびプログラム可能マイクロプロセッサを具備する。プログラム可能マイクロプロセッサは、典型的には、電気めっきシステム・プラットフォーム200のすべての構成要素を制御するために、特に設計されたソフトウェアを使用してプログラムされる。制御システム222は、前記システムの構成要素へ電力も供給し、およびオペレータが、電気めっきシステム・プラットフォーム200を監視しおよび操作することができるようにする制御パネル223を含む。制御パネル223は、図2に記載のとおり、ケーブルを通して制御システム222に接続され、オペレータに簡単なアクセスを供給する、スタンドアロン・モジュールである。通常は、制御システム222は、ローディング・ステーション210、RTAチャンバ211、SRDステーション212、メインフレーム214および処理ステーション218のオペレーションを調整する。さらに、制御システム222は、電気めっき処理のための電解液を供給するために、電解液補充システム220のコントローラと調整される。   Referring back to FIG. 2, the electroplating system platform 200 includes a control system 222 that controls the function of each component of the platform. Preferably, the control system 222 is mounted on the main frame 214 and comprises a programmable microprocessor. The programmable microprocessor is typically programmed using specially designed software to control all components of the electroplating system platform 200. The control system 222 also provides power to the components of the system and includes a control panel 223 that allows an operator to monitor and operate the electroplating system platform 200. The control panel 223 is a stand-alone module that is connected to the control system 222 through a cable and provides easy access to the operator as described in FIG. Typically, the control system 222 coordinates the operation of the loading station 210, RTA chamber 211, SRD station 212, mainframe 214 and processing station 218. In addition, the control system 222 is coordinated with the controller of the electrolyte replenishment system 220 to supply the electrolyte for the electroplating process.

以下の記述は、図2に記載されたとおり、電気めっきシステム・プラットフォーム200を通した、典型的なウェーハ電気めっき処理シーケンスの記述である。後述の処理シーケンスは、本発明に従って、電気化学堆積システムを利用して実行されうる、様々な他の処理シーケンスまたは組み合わせの例示である。複数のウェーハを含むウェーハ・カセットは、電気めっきシステム・プラットフォーム200のローディング・ステーション210におけるウェーハ・カセット受け取り領域224へとロードされる。ローディング・ステーション移送ロボット228は、ウェーハ・カセットにおけるウェーハ・スロット(wafer slot)からウェーハを取り上げ、前記ウェーハをウェーハ・オリエンタ(wafer orientor)230に配置する。ウェーハ・オリエンタ230は、前記システムを通した処理のための所望の位置に、前記ウェーハを決定しおよび向ける。ローディング・ステーション移送ロボット228はそれから、位置付けされたウェーハをウェーハ・オリエンタ230から移送し、前記ウェーハを、SRDステーション212におけるウェーハ通過カセット238におけるウェーハ・スロットの一つに配置する。メインフレーム移送ロボット242は、前記ウェーハを、ウェーハ通過カセット238から取り上げ、および前記ウェーハをフリッパ・ロボット・エンド・イフェクタ2404(flipper robot end effector)2404に固定する。メインフレーム移送ロボット242は、前記ウェーハをEDPセル3010へ移送し、シード層修復処理(seed layer repair process)は、無電解堆積を利用して実行される。   The following description is a description of a typical wafer electroplating process sequence through the electroplating system platform 200 as described in FIG. The process sequences described below are illustrative of various other process sequences or combinations that may be performed utilizing an electrochemical deposition system in accordance with the present invention. A wafer cassette containing a plurality of wafers is loaded into a wafer cassette receiving area 224 at the loading station 210 of the electroplating system platform 200. The loading station transfer robot 228 picks up a wafer from a wafer slot in a wafer cassette and places the wafer on a wafer orientor 230. Wafer orienter 230 determines and directs the wafer to a desired location for processing through the system. The loading station transfer robot 228 then transfers the positioned wafer from the wafer orienter 230 and places the wafer in one of the wafer slots in the wafer passage cassette 238 at the SRD station 212. The mainframe transfer robot 242 picks the wafer from the wafer passage cassette 238 and secures the wafer to a flipper robot end effector 2404. The mainframe transfer robot 242 transfers the wafer to the EDP cell 3010, and a seed layer repair process is performed using electroless deposition.

シード層修復処理の後、メインフレーム移送ロボットは、電気めっき処理のために、前記ウェーハを処理セル240に移送する。フリッパ・ロボット・エンド・イフェクタ2404は、ウェーハの表面が下になるように、ウェーハ・ホルダ・アセンブリ450において回転させ、配置する。前記ウェーハは、ウェーハ・ホルダ464の下に配置されるが、カソード接触環466の上に配置される。フリッパ・ロボット・エンド・イフェクタ2404はそれから、ウェーハをカソード接触環466へと配置するために、前記ウェーハを解放する。ウェーハ・ホルダ464は、前記ウェーハに向かって動き、真空チャック(vacuum chuck)は、前記ウェーハをウェーハ・ホルダ464に固定する。ウェーハ・ホルダ・アセンブリ450上にあるブラダ・アセンブリ470は、ウェーハめっき表面とカソード接触環466との間に電気的接触を確保するために、ウェーハの裏面に対して圧力をかける。   After the seed layer repair process, the mainframe transfer robot transfers the wafer to the processing cell 240 for the electroplating process. The flipper robot end effector 2404 is rotated and positioned in the wafer holder assembly 450 so that the wafer surface is down. The wafer is placed under the wafer holder 464 but above the cathode contact ring 466. The flipper robot end effector 2404 then releases the wafer for placement into the cathode contact ring 466. The wafer holder 464 moves toward the wafer, and a vacuum chuck secures the wafer to the wafer holder 464. A bladder assembly 470 on the wafer holder assembly 450 applies pressure against the backside of the wafer to ensure electrical contact between the wafer plating surface and the cathode contact ring 466.

ヘッド・アセンブリ452は、処理キット420の上の処理位置へと下げられる。この点において、ウェーハは、堰478の上面(upper plane)の下にあり、処理キット420に含まれる電解液と接触する。電源は、電気めっき処理を可能にするために、電力(すなわち、電圧および電流)をカソードおよびアノードに供給するように稼動する。電解液は、典型的には、電気めっき処理中、処理キットへと継続的に汲み込まれる。カソードおよびアノードに供給される電力、および電解液の流れは、所望の電気めっき結果を達成するために、制御システム222によって制御される。好ましくは、ヘッド・アセンブリは、ヘッド・アセンブリが下がると、また電気めっき処理中も回転する。   The head assembly 452 is lowered to a processing position above the processing kit 420. In this regard, the wafer is below the upper plane of the weir 478 and is in contact with the electrolyte contained in the processing kit 420. The power source operates to supply power (ie, voltage and current) to the cathode and anode to allow the electroplating process. The electrolyte is typically continuously pumped into the processing kit during the electroplating process. The power supplied to the cathode and anode and the flow of electrolyte are controlled by the control system 222 to achieve the desired electroplating result. Preferably, the head assembly rotates as the head assembly is lowered and also during the electroplating process.

電気めっき処理が完了した後、ヘッド・アセンブリ410は、ウェーハ・ホルダ・アセンブリを持ち上げ、前記ウェーハを電解液から除去する。好ましくは、ヘッド・アセンブリは、ウェーハ・ホルダ・アセンブリからの残余電解液の除去を促進するために、一定時間、回転する。真空チャックおよびウェーハ・ホルダのブラダ・アセンブリは、ウェーハ・ホルダからウェーハを解放し、およびウェーハ・ホルダは、フリッパ・ロボット・エンド・イフェクタ2404が、カソード接触環から処理されたウェーハを取り上げることができるようにするために、持ち上げられる。フリッパ・ロボット・エンド・イフェクタ2404は、カソード接触環における処理されたウェーハの裏面の上の位置に動かされ、およびフリッパ・ロボット・エンド・イフェクタ上の真空吸入グリッパ(vacuum suction gripper)を使用して、前記ウェーハを取り上げる。メインフレーム移送ロボットは、処理セル240から、ウェーハとともにフリッパ・ロボット・エンド・イフェクタを引き込み、フリッパ・ロボット・エンド・イフェクタは、表面が下を向いた位置から、表面を上に向けた位置へと、前記ウェーハをめくる。   After the electroplating process is complete, the head assembly 410 lifts the wafer holder assembly and removes the wafer from the electrolyte. Preferably, the head assembly rotates for a period of time to facilitate removal of residual electrolyte from the wafer holder assembly. The vacuum chuck and wafer holder bladder assembly releases the wafer from the wafer holder, and the wafer holder allows the flipper robot end effector 2404 to pick up the processed wafer from the cathode contact ring. To be lifted. The flipper robot end effector 2404 is moved to a position on the backside of the processed wafer in the cathode contact ring and using a vacuum suction gripper on the flipper robot end effector. The wafer is taken up. The mainframe transfer robot pulls the flipper robot end effector together with the wafer from the processing cell 240, and the flipper robot end effector moves from a position where the surface is facing down to a position where the surface is facing up. , Turn the wafer.

前記ウェーハは、EBR/SRDモジュール2200へと移送される。EBR/SRDウェーハ支持は前記ウェーハを持ち上げ、およびメインフレーム移送ロボットは、EBR/SRDモジュール2200から引き込まれる。ウェーハは、EBR/SRDセルにおける真空ウェーハ・ホルダへと配置され、詳細に上述されたとおり、ウェーハのエッジ部分での過剰な堆積を除去するために、エッジ・ビード除去処理(edge bead removal process)が実行される。ウェーハは、詳細に上述されたとおり、脱イオン水、または脱イオン水と清浄流体との組み合わせを使用して、EBR/SRDモジュールにおいて、スピン・リンス・ドライ処理(spin-rinse-dry process)を使用して清浄される。ウェーハは、EBR/SRDモジュールからの移送のために配置される。   The wafer is transferred to the EBR / SRD module 2200. The EBR / SRD wafer support lifts the wafer and the mainframe transfer robot is retracted from the EBR / SRD module 2200. The wafer is placed into a vacuum wafer holder in an EBR / SRD cell and an edge bead removal process to remove excess deposition at the edge portion of the wafer, as described in detail above. Is executed. The wafer is subjected to a spin-rinse-dry process in the EBR / SRD module using deionized water, or a combination of deionized water and a clean fluid, as described in detail above. Used to be cleaned. The wafer is placed for transfer from the EBR / SRD module.

ローディング・ステーション移送ロボット228は、EBR/SRDモジュール236からウェーハを取り上げ、堆積した物質の属性を向上させるための、アニール加工処理のために、RTAチャンバ211へと処理されたウェーハを移送する。アニールされたウェーハは、ローディング・ステーション・ロボット228によってRTAチャンバ211から移送され、電気めっきシステムからの除去のために、ウェーハ・カセットへと戻され、配置される。上述されたシーケンスは、本発明の電気めっきシステム・プラットフォーム200において、実質的に同時に、複数のウェーハに関して実行されうる。また、本発明に従った電気めっきシステムは、マルチスタック(multi-stack)のウェーハ処理を供給するように適応しうる。   The loading station transfer robot 228 picks up the wafer from the EBR / SRD module 236 and transfers the processed wafer to the RTA chamber 211 for an annealing process to improve the attributes of the deposited material. The annealed wafer is transferred from the RTA chamber 211 by the loading station robot 228 and returned to the wafer cassette for placement from the electroplating system. The sequence described above can be performed on a plurality of wafers substantially simultaneously in the electroplating system platform 200 of the present invention. Also, an electroplating system according to the present invention can be adapted to provide multi-stack wafer processing.

前述の内容は、本発明の好ましい実施形態のためのものである一方で、本発明の他の、およびさらなる実施形態が、その基本的な範囲から逸脱することなく考案されてもよい。   While the foregoing is for preferred embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof.

Claims (63)

a) メインフレーム・ウェーハ移送ロボットを有するメインフレームと;
b) 前記メインフレームに接続するように配置されたローディング・ステーションと;
c) 前記メインフレームと接続するように配置された一つ以上の処理セルと;および
d) 前記一つ以上の処理セルに流体で接続された電解液供給と
を具備する、電気化学堆積システム。
a) a mainframe having a mainframe / wafer transfer robot;
b) a loading station arranged to connect to the mainframe;
c) one or more processing cells arranged to connect to the mainframe; and d) an electrolyte supply fluidly connected to the one or more processing cells.
e) 電気化学堆積処理を制御するためのシステム・コントローラ
をさらに具備することを特徴とする、請求項1に記載のシステム。
The system of claim 1, further comprising: e) a system controller for controlling the electrochemical deposition process.
f) 前記ローディング・ステーションに隣接した前記メインフレーム上に配置された、エッジ・ビード除去/スピン・リンス・ドライ(EBR/SRD)ステーション
をさらに具備することを特徴とする、請求項2に記載のシステム。
f) further comprising an edge bead removal / spin rinse dry (EBR / SRD) station disposed on the mainframe adjacent to the loading station. system.
g) 前記ローディング・ステーションと接続するように配置された熱アニール・チャンバ
をさらに具備することを特徴とする、請求項3に記載のシステム。
g) The system of claim 3, further comprising a thermal annealing chamber positioned to connect with the loading station.
前記ローディング・ステーションは:
1) 一つ以上のウェーハ・カセット受け取り領域と;
2) 前記ローディング・ステーション内でウェーハを移送するための、一つ以上のローディング・ステーション・ウェーハ移送ロボットと;および
3) ウェーハ・オリエンタと
を具備することを特徴とする、請求項1に記載のシステム。
The loading station is:
1) one or more wafer cassette receiving areas;
The method of claim 1, comprising: 2) one or more loading station wafer transfer robots for transferring wafers within the loading station; and 3) a wafer orienter. system.
前記メインフレーム・ウェーハ移送ロボットは、複数の個別に操作可能なロボット・アームを具備することを特徴とする、請求項1に記載のシステム。   The system of claim 1, wherein the mainframe wafer transfer robot comprises a plurality of individually operable robot arms. 各ロボット・アームは、真空グリッパ・ロボット・ブレードを有するフリッパ・ロボットを具備するエンド・イフェクタを含むことを特徴とする、請求項6に記載のシステム。   The system of claim 6, wherein each robot arm includes an end effector comprising a flipper robot having a vacuum gripper robot blade. 前記処理セルは:
1) カソードと、前記カソードの上に配置されたウェーハ・ホルダとを具備するヘッド・アセンブリと;
2) 堰および電解液流入口を有する電解液コンテナと、前記電解液コンテナに配置されたアノードとを具備する処理キットと;
3) 前記堰の下に配置された過剰電解液受け(electrolyte overflow catch)と;および
4) 前記カソードおよび前記アノードに接続された電源と
を具備することを特徴とする、請求項1に記載のシステム。
The processing cell is:
1) a head assembly comprising a cathode and a wafer holder disposed on the cathode;
2) a treatment kit comprising an electrolyte container having a weir and an electrolyte inlet, and an anode disposed in the electrolyte container;
2) an excess electrolyte catch disposed under said weir; and 4) a power source connected to said cathode and said anode. system.
前記ヘッド・アセンブリは、前記ヘッド・アセンブリを、前記処理キットから離すように回転させるよう適応した回転アームに取り付けられることを特徴とする、請求項8に記載のシステム。   9. The system of claim 8, wherein the head assembly is attached to a rotating arm adapted to rotate the head assembly away from the processing kit. 前記ヘッド・アセンブリは、前記回転アームから伸びるカンチレバー・アームに取り付けられることを特徴とする、請求項9に記載のシステム。   The system of claim 9, wherein the head assembly is attached to a cantilever arm extending from the rotating arm. 前記処理キットは、前記メインフレームに、取り外すことができるように配置されることを特徴とする、請求項8に記載のシステム。   The system according to claim 8, wherein the processing kit is detachably disposed on the main frame. 前記電解液供給は、前記メインフレームと接続するように配置された電解液補充システムを具備し:
(1) 電解液供給タンクと;
(2) 前記電解液供給タンクと通じる一つ以上の化学的アナライザを具備する化学的アナライザ・モジュールと;
(3) 前記電解液供給タンクと通じる化学供給モジュールと;および
(4) 前記電解液補充ステーションを操作するための一つ以上のコントローラと
を具備する、請求項1に記載のシステム。
The electrolyte supply comprises an electrolyte replenishment system arranged to connect with the main frame:
(1) an electrolyte supply tank;
(2) a chemical analyzer module comprising one or more chemical analyzers in communication with the electrolyte supply tank;
The system of claim 1, comprising: (3) a chemical supply module in communication with the electrolyte supply tank; and (4) one or more controllers for operating the electrolyte replenishment station.
前記化学供給モジュールは、個別の適合コネクタを有する、色でコード化されたモジュール・タンクを具備する、一つ以上のソース・タンクを具備することを特徴とする、請求項12に記載のシステム。   13. The system of claim 12, wherein the chemical supply module comprises one or more source tanks comprising color-coded module tanks with individually adapted connectors. 前記電解液補充システムは:
(5) 前記電解液供給タンクに接続された一つ以上のフィルタを含むろ過モジュール
をさらに具備することを特徴とする、請求項12に記載のシステム。
The electrolyte replenishment system is:
(5) The system according to claim 12, further comprising a filtration module including one or more filters connected to the electrolyte supply tank.
前記一つ以上の化学アナライザは、有機化学アナライザおよび無機化学アナライザを具備することを特徴とする、請求項12に記載のシステム。   The system of claim 12, wherein the one or more chemical analyzers comprises an organic chemical analyzer and an inorganic chemical analyzer. 前記有機化学アナライザは、サイクリック・ボルタメトリック・ストリッパを具備することを特徴とする、請求項15に記載のシステム。   16. The system of claim 15, wherein the organic chemistry analyzer comprises a cyclic voltammetric stripper. 前記無機化学アナライザは、自動滴定アナライザを具備することを特徴とする、請求項15に記載のシステム。   The system of claim 15, wherein the inorganic chemistry analyzer comprises an automatic titration analyzer. 前記一つ以上の化学アナライザは、一つ以上の標準および一つ以上の基準体系を含むことを特徴とする、請求項12に記載のシステム。   The system of claim 12, wherein the one or more chemical analyzers include one or more standards and one or more reference schemes. e) 前記電解液供給と前記処理セルとの間に配置された一つ以上のガス抜き装置
をさらに具備することを特徴とする、請求項1に記載のシステム。
e) The system of claim 1, further comprising one or more venting devices disposed between the electrolyte supply and the processing cell.
g) 前記メインフレームに配置されたシード層修復ステーション
をさらに具備することを特徴とする、請求項1に記載のシステム。
2. The system of claim 1, further comprising a seed layer repair station located on the mainframe.
前記シード層ステーションは、無電解堆積セルを具備することを特徴とする、請求項20に記載のシステム。   The system of claim 20, wherein the seed layer station comprises an electroless deposition cell. 前記メインフレームは、保護コーティングを有する基盤を含むことを特徴とする、請求項1に記載のシステム。   The system of claim 1, wherein the mainframe includes a base having a protective coating. 前記コーティングは、エチレン・クロロ・トリ・フルオロ・エチレン(ethylen-chloro-tri-fluoro-ethaylene)(ECTFE)を具備することを特徴とする、請求項22に記載のシステム。   23. The system of claim 22, wherein the coating comprises ethylen-chloro-tri-fluoro-ethaylene (ECTFE). a) 一つ以上の電気化学処理セルと流体で通じている電解液供給タンクと;および
b) 前記電解液供給タンクと通じている一つ以上の化学アナライザを具備する化学アナライザ・モジュールと
を具備する、電気化学堆積システム。
a) an electrolyte supply tank in fluid communication with one or more electrochemical processing cells; and b) a chemical analyzer module comprising one or more chemical analyzers in communication with the electrolyte supply tank. Electrochemical deposition system.
前記一つ以上の化学アナライザに接続されたコントローラをさらに具備することを特徴とする、請求項24に記載のシステム。   25. The system of claim 24, further comprising a controller connected to the one or more chemical analyzers. 前記電解液供給タンクに接続された一つ以上のフィルタを含むろ過モジュールを、さらに具備することを特徴とする、請求項24に記載のシステム。   25. The system of claim 24, further comprising a filtration module including one or more filters connected to the electrolyte supply tank. 前記一つ以上の化学アナライザは、有機化学アナライザおよび無機化学アナライザを具備することを特徴とする、請求項24に記載のシステム。   25. The system of claim 24, wherein the one or more chemical analyzers comprises an organic chemical analyzer and an inorganic chemical analyzer. 前記有機化学アナライザは、サイクリック・ボルタメトリック・ストリッパを具備することを特徴とする、請求項27に記載のシステム。   28. The system of claim 27, wherein the organic chemistry analyzer comprises a cyclic voltammetric stripper. 前記無機化学アナライザは、自動滴定アナライザを具備することを特徴とする、請求項27に記載のシステム。   28. The system of claim 27, wherein the inorganic chemistry analyzer comprises an automatic titration analyzer. c) 前記電解液供給タンクと流体で通じている化学供給モジュール
をさらに具備することを特徴とする、請求項24に記載のシステム。
25. The system of claim 24, further comprising a chemical supply module in fluid communication with the electrolyte supply tank.
d) 前記化学アナライザ・モジュールおよび前記化学供給モジュールに結合された、電気化学堆積処理を操作するための制御システム
をさらに具備することを特徴とする、請求項30に記載のシステム。
31. The system of claim 30, further comprising a control system for operating an electrochemical deposition process coupled to the chemical analyzer module and the chemical supply module.
前記化学供給モジュールに接続されたコントローラをさらに具備することを特徴とする、請求項30に記載のシステム。   32. The system of claim 30, further comprising a controller connected to the chemical supply module. 前記化学供給モジュールおよび前記化学アナライザ・モジュールに接続されたコントローラをさらに具備することを特徴とする、請求項30に記載のシステム。   32. The system of claim 30, further comprising a controller connected to the chemical supply module and the chemical analyzer module. 主電解液供給タンクと通じる一つ以上の処理セルを具備する、電気化学堆積システムにおける電解液を解析するための方法であって:
a) 前記電解液の少なくとも一部を、前記主電解液供給タンクから、一つ以上の化学アナライザへ流し;および
b) 前記電解液を解析する
ステップを具備する前記方法。
A method for analyzing an electrolyte in an electrochemical deposition system comprising one or more processing cells in communication with a main electrolyte supply tank, comprising:
a) flowing at least a portion of the electrolyte from the main electrolyte supply tank to one or more chemical analyzers; and b) analyzing the electrolyte.
前記電解液を解析するステップは、無機物質および有機物質の濃度を決定するステップを具備することを特徴とする、請求項34に記載の方法。   35. The method of claim 34, wherein analyzing the electrolyte comprises determining the concentration of inorganic and organic materials. 前記電解液を解析するステップは、自動滴定アナライザおよびサイクリック・ボルタメトリック・ストリッパを操作するステップを具備することを特徴とする、請求項34に記載の方法。   35. The method of claim 34, wherein analyzing the electrolyte comprises operating an automatic titration analyzer and a cyclic voltammetric stripper. c) 一つ以上の化学物質を、一つ以上のソース・タンクから、前記主電解液供給タンクへと流す
ステップをさらに具備することを特徴とする、請求項34に記載の方法。
35. The method of claim 34, further comprising: c) flowing one or more chemicals from one or more source tanks to the main electrolyte supply tank.
c) 前記電解液の少なくとも一部を、一つ以上の処理セルへと流す
ステップをさらに具備することを特徴とする、請求項34に記載の方法。
35. The method of claim 34, further comprising: c) flowing at least a portion of the electrolyte to one or more processing cells.
プロセッサによって実行される時には、一つ以上のコントローラに:
a) 電解液の少なくとも一部を、電解液供給タンクから一つ以上の化学アナライザへと流すステップと;および
b) 前記電解液の合成を評価するデータを生成するステップと
を実行させるプログラムを含む単一ベアリング媒体(a single-bearing medium)。
When executed by a processor, one or more controllers:
a) a program for executing at least a portion of the electrolyte from the electrolyte supply tank to one or more chemical analyzers; and b) generating data for evaluating the synthesis of the electrolyte A single-bearing medium.
ステップ(b)は、自動滴定アナライザおよびサイクリック・ボルタメトリック・ストリッパを操作するステップを具備することを特徴とする、請求項39に記載の単一ベアリング媒体。   40. A single bearing medium according to claim 39, wherein step (b) comprises operating an automatic titration analyzer and a cyclic voltammetric stripper. ステップ(b)は、無機物質および有機物質の濃度を決定するステップを具備することを特徴とする、請求項39に記載の単一ベアリング媒体。   40. The single bearing medium of claim 39, wherein step (b) comprises determining the concentration of inorganic and organic materials. (c) ステップ(b)において生成されたデータに基づいて、一つ以上の化学物質を、一つ以上のソース・タンクから前記電解液供給タンクへと流す
ステップをさらに具備することを特徴とする、請求項39に記載の単一ベアリング媒体。
(C) further comprising flowing one or more chemical substances from one or more source tanks to the electrolyte supply tank based on the data generated in step (b). 40. A single bearing medium according to claim 39.
a)
1)カソードと;および
2)前記カソードの上に配置されたウェーハ・ホルダと
を具備するヘッド・アセンブリと
b)
1)堰および電解液流入口を有する電解液コンテナと;および
2)前記電解液コンテナに配置されたアノードと
を具備する、前記ヘッド・アセンブリの下に配置された処理キットと
c) 電解液流出口を有する、前記堰の下に配置された過剰電解液受けと;および
d) 前記カソードおよび前記アノードに接続された電源と
を具備する、金属を基板に電気化学的に堆積させるための装置。
a)
A head assembly comprising: 1) a cathode; and 2) a wafer holder disposed on said cathode; b)
A treatment kit disposed under the head assembly, comprising: 1) an electrolyte container having a weir and an electrolyte inlet; and 2) an anode disposed in the electrolyte container; c) an electrolyte stream An apparatus for electrochemically depositing metal on a substrate, comprising: an excess electrolyte receiver disposed under the weir with an outlet; and d) a power source connected to the cathode and the anode.
前記カソードは、カソード接触環を具備することを特徴とする、請求項43に記載の装置。   44. The apparatus of claim 43, wherein the cathode comprises a cathode contact ring. 前記カソード接触環は、複数のウェーハ接触パッドを有するウェーハ・シーティング表面(wafer seating surface)を具備することを特徴とする、請求項44に記載の装置。   45. The apparatus of claim 44, wherein the cathode contact ring comprises a wafer seating surface having a plurality of wafer contact pads. 前記カソード接触環は、親水性表面を有することを特徴とする、請求項44に記載の装置。   45. The apparatus of claim 44, wherein the cathode contact ring has a hydrophilic surface. 前記処理キットは、前記アノードの上の前記電解液コンテナに配置されたフィルタをさらに具備することを特徴とする、請求項43に記載の装置。   44. The apparatus of claim 43, wherein the processing kit further comprises a filter disposed in the electrolyte container above the anode. e)
1)ポンプを通して、前記電解液コンテナ上の前記電解液流入口へと接続された主タンクと;
2)前記主タンクに接続された一つ以上のフィルタ・タンクと;および
3)前記主タンクに接続された一つ以上のソース・タンクと
を具備する電解液供給
をさらに具備することを特徴とする、請求項43に記載の装置。
e)
1) a main tank connected through a pump to the electrolyte inlet on the electrolyte container;
And 2) one or more filter tanks connected to the main tank; and 3) one or more source tanks connected to the main tank. 44. The apparatus of claim 43.
前記アノードは:
a) 消耗アノード・プレートと;および
b) 前記消耗アノード・プレートを包囲する透過性封入メンバと
を具備することを特徴とする、請求項43に記載の装置。
The anode is:
44. The apparatus of claim 43, comprising: a) a consumable anode plate; and b) a permeable encapsulating member surrounding the consumable anode plate.
前記アノードは:
c) 前記封入メンバを通して前記アノード・プレートへと伸びる複数の電気接触メンバであって、その各々は前記電解液コンテナを通して伸び、およびそれに固定されている前記電気接触メンバ
をさらに具備することを特徴とする、請求項49に記載の装置。
The anode is:
c) a plurality of electrical contact members extending through the encapsulating member to the anode plate, each further comprising the electrical contact members extending through and secured to the electrolyte container. The apparatus of claim 49.
前記封入メンバは、親水性メンブレンを具備することを特徴とする、請求項49に記載の装置。   50. The apparatus of claim 49, wherein the encapsulating member comprises a hydrophilic membrane. 前記封入メンバは、それらの間に配置されたメンブレン支持環に取り付けられた、上部親水性メンブレンおよび底部親水性メンブレンを具備することを特徴とする、請求項49に記載の装置。   50. The apparatus of claim 49, wherein the encapsulating member comprises a top hydrophilic membrane and a bottom hydrophilic membrane attached to a membrane support ring disposed therebetween. 前記底部親水性メンブレンは、前記封入メンバと前記アノード・プレートとの間の間隙への、電解液の流れを容易にするように適応した開口部を含むことを特徴とする、請求項52に記載の装置。   53. The bottom hydrophilic membrane includes an opening adapted to facilitate electrolyte flow into a gap between the encapsulating member and the anode plate. Equipment. 前記アノードは:
d) 前記メンブレン支持環に接続され、および前記電解液コンテナを通って伸びるバイパス流出口
をさらに具備することを特徴とする、請求項53に記載の装置。
The anode is:
54. The apparatus of claim 53, further comprising a bypass outlet connected to the membrane support ring and extending through the electrolyte container.
前記アノードは:
d) 前記底部親水性メンブレンを通って接続されるバイパス電解液流入口
をさらに具備することを特徴とする、請求項52に記載の装置。
The anode is:
53. The apparatus of claim 52, further comprising a bypass electrolyte inlet connected through the bottom hydrophilic membrane.
前記アノードは:
e) 前記メンブレン支持環に接続され、および前記電解液コンテナを通って伸びるバイパス流出口
をさらに具備することを特徴とする、請求項55に記載の装置。
The anode is:
56. The apparatus of claim 55, further comprising a bypass outlet connected to the membrane support ring and extending through the electrolyte container.
前記バイパス流入口は、フロー制御バルブを含むことを特徴とする、請求項55に記載の装置。   56. The apparatus of claim 55, wherein the bypass inlet includes a flow control valve. 前記電解液流入口は、フロー制御バルブを含むことを特徴とする、請求項57に記載の装置。   58. The apparatus of claim 57, wherein the electrolyte inlet includes a flow control valve. e) 前記ヘッド・アセンブリに接続され、および前記ヘッド・アセンブリを回転させるように適応した回転アクチュエータ
をさらに具備することを特徴とする、請求項43に記載の装置。
44. The apparatus of claim 43, further comprising a rotary actuator connected to the head assembly and adapted to rotate the head assembly.
前記ウェーハ・ホルダは、ブラダ・アセンブリを具備することを特徴とする、請求項43に記載の装置。   44. The apparatus of claim 43, wherein the wafer holder comprises a bladder assembly. 前記ブラダ・アセンブリは、中間ウェーハ・ホルダ・プレートの裏面に取り付けられた膨張可能ブラダと、および前記中間ウェーハ・ホルダ・プレートの前面にある環状溝に配置されたオーリングとを具備することを特徴とする、請求項60に記載の装置。   The bladder assembly comprises an inflatable bladder attached to the back surface of the intermediate wafer holder plate and an O-ring disposed in an annular groove in the front surface of the intermediate wafer holder plate. 61. The apparatus of claim 60. 前記中間ウェーハ・ホルダ・プレートは、前記プレートを通して伸び、および真空ポートと流体で通じるように配置された複数の穴を含むことを特徴とする、請求項61に記載の装置。   62. The apparatus of claim 61, wherein the intermediate wafer holder plate includes a plurality of holes extending through the plate and arranged to fluidly communicate with a vacuum port. 前記オーリングの一つ以上の表面および前記中間ウェーハ・ホルダ・プレートは、親水性表面を具備することを特徴とする、請求項61に記載の装置。   62. The apparatus of claim 61, wherein one or more surfaces of the O-ring and the intermediate wafer holder plate comprise a hydrophilic surface.
JP2009214692A 1998-11-30 2009-09-16 Electro-chemical deposition system Pending JP2009293134A (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US11020998P 1998-11-30 1998-11-30
US09/263,649 US6254760B1 (en) 1999-03-05 1999-03-05 Electro-chemical deposition system and method
US09/289,074 US6258220B1 (en) 1998-11-30 1999-04-08 Electro-chemical deposition system
US09/350,210 US6267853B1 (en) 1999-07-09 1999-07-09 Electro-chemical deposition system

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2000585464A Division JP4766579B2 (en) 1998-11-30 1999-11-29 Electrochemical deposition equipment

Publications (1)

Publication Number Publication Date
JP2009293134A true JP2009293134A (en) 2009-12-17

Family

ID=27493736

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2000585464A Expired - Fee Related JP4766579B2 (en) 1998-11-30 1999-11-29 Electrochemical deposition equipment
JP2009214692A Pending JP2009293134A (en) 1998-11-30 2009-09-16 Electro-chemical deposition system

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2000585464A Expired - Fee Related JP4766579B2 (en) 1998-11-30 1999-11-29 Electrochemical deposition equipment

Country Status (3)

Country Link
JP (2) JP4766579B2 (en)
TW (1) TW513751B (en)
WO (1) WO2000032835A2 (en)

Families Citing this family (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6544585B1 (en) 1997-09-02 2003-04-08 Ebara Corporation Method and apparatus for plating a substrate
DE69929967T2 (en) 1998-04-21 2007-05-24 Applied Materials, Inc., Santa Clara ELECTROPLATING SYSTEM AND METHOD FOR ELECTROPLATING ON SUBSTRATES
US7048841B2 (en) 1998-12-07 2006-05-23 Semitool, Inc. Contact assemblies, methods for making contact assemblies, and plating machines with contact assemblies for plating microelectronic workpieces
EP1099012A4 (en) 1998-07-10 2006-11-15 Semitool Inc Method and apparatus for copper plating using electroless plating and electroplating
US6303010B1 (en) 1999-07-12 2001-10-16 Semitool, Inc. Methods and apparatus for processing the surface of a microelectronic workpiece
US6773560B2 (en) 1998-07-10 2004-08-10 Semitool, Inc. Dry contact assemblies and plating machines with dry contact assemblies for plating microelectronic workpieces
US6258220B1 (en) 1998-11-30 2001-07-10 Applied Materials, Inc. Electro-chemical deposition system
US6645356B1 (en) 1998-12-07 2003-11-11 Semitool, Inc. Methods and apparatus for processing the surface of a microelectronic workpiece
US6309520B1 (en) 1998-12-07 2001-10-30 Semitool, Inc. Methods and apparatus for processing the surface of a microelectronic workpiece
TW483950B (en) 1998-12-31 2002-04-21 Semitool Inc Method, chemistry, and apparatus for high deposition rate solder electroplating on a microelectronic workpiece
EP1031647A3 (en) * 1999-02-19 2002-03-06 Solid State Equipment Corporation Apparatus and method for plating a wafer
US6557237B1 (en) * 1999-04-08 2003-05-06 Applied Materials, Inc. Removable modular cell for electro-chemical plating and method
US6582578B1 (en) * 1999-04-08 2003-06-24 Applied Materials, Inc. Method and associated apparatus for tilting a substrate upon entry for metal deposition
US6551488B1 (en) * 1999-04-08 2003-04-22 Applied Materials, Inc. Segmenting of processing system into wet and dry areas
US6837978B1 (en) * 1999-04-08 2005-01-04 Applied Materials, Inc. Deposition uniformity control for electroplating apparatus, and associated method
US6585876B2 (en) 1999-04-08 2003-07-01 Applied Materials Inc. Flow diffuser to be used in electro-chemical plating system and method
US7264698B2 (en) * 1999-04-13 2007-09-04 Semitool, Inc. Apparatus and methods for electrochemical processing of microelectronic workpieces
JP4149620B2 (en) * 1999-08-25 2008-09-10 株式会社荏原製作所 Substrate copper plating method
KR100637890B1 (en) * 1999-07-08 2006-10-23 가부시키가이샤 에바라 세이사꾸쇼 Plating apparatus, plating method, plating process equipment
US20030213772A9 (en) * 1999-07-09 2003-11-20 Mok Yeuk-Fai Edwin Integrated semiconductor substrate bevel cleaning apparatus and method
US7645366B2 (en) 1999-07-12 2010-01-12 Semitool, Inc. Microelectronic workpiece holders and contact assemblies for use therewith
US6673216B2 (en) 1999-08-31 2004-01-06 Semitool, Inc. Apparatus for providing electrical and fluid communication to a rotating microelectronic workpiece during electrochemical processing
TW466561B (en) 1999-10-06 2001-12-01 Ebara Corp Method and apparatus for cleaning substrates
US6454927B1 (en) * 2000-06-26 2002-09-24 Applied Materials, Inc. Apparatus and method for electro chemical deposition
KR100800531B1 (en) * 2000-06-30 2008-02-04 가부시키가이샤 에바라 세이사꾸쇼 Copper-plating liquid, plating method and plating apparatus
US6576110B2 (en) 2000-07-07 2003-06-10 Applied Materials, Inc. Coated anode apparatus and associated method
AT412043B (en) * 2000-07-12 2004-08-26 Ind Tech Res Inst METHOD FOR CLEANING A WAFER WITH METALS ON THE BACK
EP1470268A2 (en) * 2000-10-03 2004-10-27 Applied Materials, Inc. Method and associated apparatus for tilting a substrate upon entry for metal deposition
JP2006339665A (en) * 2000-10-12 2006-12-14 Ebara Corp Apparatus for manufacturing semiconductor substrate
WO2002031227A2 (en) * 2000-10-12 2002-04-18 Applied Materials, Inc. Deposition uniformity control for electroplating apparatus, and associated method
US6569307B2 (en) * 2000-10-20 2003-05-27 The Boc Group, Inc. Object plating method and system
JP2002212786A (en) * 2001-01-17 2002-07-31 Ebara Corp Substrate processor
JP2002220692A (en) * 2001-01-24 2002-08-09 Ebara Corp Plating equipment and method
US7189647B2 (en) 2001-04-05 2007-03-13 Novellus Systems, Inc. Sequential station tool for wet processing of semiconductor wafers
WO2003007274A1 (en) * 2001-07-12 2003-01-23 James Hambleton Electro-chemical teaching unit
US7247222B2 (en) * 2002-07-24 2007-07-24 Applied Materials, Inc. Electrochemical processing cell
JP4303484B2 (en) 2003-01-21 2009-07-29 大日本スクリーン製造株式会社 Plating equipment
KR100518788B1 (en) * 2003-03-11 2005-10-05 삼성전자주식회사 Spin coating apparatus for coating photoresist
JP4872199B2 (en) * 2004-09-06 2012-02-08 ルネサスエレクトロニクス株式会社 Semiconductor manufacturing equipment
KR100854373B1 (en) 2006-12-29 2008-09-02 주식회사 포스코 Acid cleaning solution sampling apparatus of the acid solution tank
KR101590661B1 (en) * 2010-09-13 2016-02-01 도쿄엘렉트론가부시키가이샤 Liquid processing apparatus, liquid processing method and storage medium
JP5321574B2 (en) * 2010-12-17 2013-10-23 ルネサスエレクトロニクス株式会社 Method of operating semiconductor manufacturing apparatus and method of manufacturing semiconductor device
CN105044370B (en) * 2014-11-04 2017-03-08 长沙绿智电子科技有限公司 A kind of unattended heavy metal-polluted water monitoring apparatus
WO2017150657A1 (en) * 2016-03-04 2017-09-08 株式会社荏原製作所 Plating apparatus and plating method
JP6833557B2 (en) * 2016-03-04 2021-02-24 株式会社荏原製作所 Plating equipment and plating method
GB201701166D0 (en) * 2017-01-24 2017-03-08 Picofluidics Ltd An apparatus for electrochemically processing semiconductor substrates
KR102258314B1 (en) 2017-06-30 2021-06-01 쇼와 덴코 가부시키가이샤 Fluorine electrolyzer anode installation part, fluorine electrolyzer and fluorine gas manufacturing method
WO2019175990A1 (en) * 2018-03-13 2019-09-19 株式会社山本鍍金試験器 Plating device and plating system
CN110355025B (en) * 2019-08-01 2020-11-27 宿迁市创盈知识产权服务有限公司 Automobile spare and accessory part material nanometer modification equipment
US11686208B2 (en) 2020-02-06 2023-06-27 Rolls-Royce Corporation Abrasive coating for high-temperature mechanical systems

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03193899A (en) * 1989-12-22 1991-08-23 Ebara Yuujiraito Kk Method for automatically controlling electrolytic solution
JPH05195296A (en) * 1992-01-22 1993-08-03 Nippon Hyomen Kagaku Kk Automatic controlling device for electrolytic solution
JPH05214594A (en) * 1992-01-09 1993-08-24 Nec Corp Metal plating device
JP2003527477A (en) * 1998-07-13 2003-09-16 ディージェイ パーカー カンパニー、インク. ディー/ビー/エー パーカー システムズ Regular chemical replenishment system

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4529353A (en) * 1983-01-27 1985-07-16 At&T Bell Laboratories Wafer handling apparatus and method
US4732785A (en) * 1986-09-26 1988-03-22 Motorola, Inc. Edge bead removal process for spin on films
JPS6410073A (en) * 1987-07-01 1989-01-13 Sanyo Electric Co Decompression device
JPS6410073U (en) * 1987-07-03 1989-01-19
JPH0222499A (en) * 1988-07-12 1990-01-25 Yamaha Corp Plating equipment
US4981715A (en) * 1989-08-10 1991-01-01 Microelectronics And Computer Technology Corporation Method of patterning electroless plated metal on a polymer substrate
JP2557146B2 (en) * 1991-03-20 1996-11-27 日本エレクトロプレイテイング・エンジニヤース株式会社 Automatic wafer plating equipment
JPH06349952A (en) * 1993-06-14 1994-12-22 Oki Electric Ind Co Ltd Wiring forming method
JP2624200B2 (en) * 1994-11-29 1997-06-25 日本電気株式会社 Jet type electroplating apparatus and plating method
US5807469A (en) * 1995-09-27 1998-09-15 Intel Corporation Flexible continuous cathode contact circuit for electrolytic plating of C4, tab microbumps, and ultra large scale interconnects
WO1998002907A1 (en) * 1996-07-15 1998-01-22 Semitool, Inc. Control system for a semiconductor workpiece processing tool
JP3583883B2 (en) * 1997-01-24 2004-11-04 日本エレクトロプレイテイング・エンジニヤース株式会社 Automatic wafer plating equipment
US6188120B1 (en) * 1997-02-24 2001-02-13 International Business Machines Corporation Method and materials for through-mask electroplating and selective base removal
EP1015669B1 (en) * 1997-04-04 2010-11-17 University Of Southern California Electroplating method for forming a multilayer structure

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03193899A (en) * 1989-12-22 1991-08-23 Ebara Yuujiraito Kk Method for automatically controlling electrolytic solution
JPH05214594A (en) * 1992-01-09 1993-08-24 Nec Corp Metal plating device
JPH05195296A (en) * 1992-01-22 1993-08-03 Nippon Hyomen Kagaku Kk Automatic controlling device for electrolytic solution
JP2003527477A (en) * 1998-07-13 2003-09-16 ディージェイ パーカー カンパニー、インク. ディー/ビー/エー パーカー システムズ Regular chemical replenishment system

Also Published As

Publication number Publication date
WO2000032835A2 (en) 2000-06-08
TW513751B (en) 2002-12-11
JP2003528214A (en) 2003-09-24
JP4766579B2 (en) 2011-09-07
WO2000032835A8 (en) 2000-08-17

Similar Documents

Publication Publication Date Title
JP4766579B2 (en) Electrochemical deposition equipment
US6267853B1 (en) Electro-chemical deposition system
US6635157B2 (en) Electro-chemical deposition system
US6254760B1 (en) Electro-chemical deposition system and method
US6582578B1 (en) Method and associated apparatus for tilting a substrate upon entry for metal deposition
US6551488B1 (en) Segmenting of processing system into wet and dry areas
US6585876B2 (en) Flow diffuser to be used in electro-chemical plating system and method
EP1037263B1 (en) Apparatus for electro-chemical deposition of copper with the capability of in-situ thermal annealing
US20030201184A1 (en) Method and associated apparatus for tilting a substrate upon entry for metal deposition
US6551484B2 (en) Reverse voltage bias for electro-chemical plating system and method
US6837978B1 (en) Deposition uniformity control for electroplating apparatus, and associated method
US6557237B1 (en) Removable modular cell for electro-chemical plating and method
US6571657B1 (en) Multiple blade robot adjustment apparatus and associated method
US6662673B1 (en) Linear motion apparatus and associated method
US20040079633A1 (en) Apparatus for electro chemical deposition of copper metallization with the capability of in-situ thermal annealing
US7114693B1 (en) Stable cell platform
US20040020780A1 (en) Immersion bias for use in electro-chemical plating system
WO2002031227A2 (en) Deposition uniformity control for electroplating apparatus, and associated method

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090917

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090917

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120719

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20121019

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20121024

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20130424