JP2009024252A - Atomic layer deposition process for tungsten material - Google Patents

Atomic layer deposition process for tungsten material Download PDF

Info

Publication number
JP2009024252A
JP2009024252A JP2008128784A JP2008128784A JP2009024252A JP 2009024252 A JP2009024252 A JP 2009024252A JP 2008128784 A JP2008128784 A JP 2008128784A JP 2008128784 A JP2008128784 A JP 2008128784A JP 2009024252 A JP2009024252 A JP 2009024252A
Authority
JP
Japan
Prior art keywords
tungsten
layer
substrate
seconds
range
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2008128784A
Other languages
Japanese (ja)
Inventor
Amit Khandelwal
カーンデルウェル アミット
Madhu Moorthy
ムーアシー マデュ
Avgerinos V Gelatos
ヴイ. ジェラトス アヴェジェリノス
Kai Wu
ウー カイ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2009024252A publication Critical patent/JP2009024252A/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides

Abstract

<P>PROBLEM TO BE SOLVED: To provide an improved process where, using an ALD process, a tungsten-containing material having satisfactory uniformity, hardly having contamination or having no contamination at all, and also having high electrical conductivity, i.e., low resistivity. <P>SOLUTION: In one embodiment, the method for forming a tungsten-containing material on a substrate comprises: a step for positioning a substrate inside a process chamber, where the substrate comprises a base layer arranged thereon; and a step for successively exposing the substrate to a tungsten precursor and a reduction gas, so as to deposit a tungsten nucleation layer on the base layer upon an ALD process, where the reduction gas has a hydrogen/hydride flow ratio satisfying about 40:1, 100:1, 500:1, 800:1, 1,000:1 or more; and a step for depositing a tungsten bulk layer on the tungsten nucleation layer. The reduction gas comprises a hydride compound such as diborane, silane or a disilane. <P>COPYRIGHT: (C)2009,JPO&INPIT

Description

発明の背景Background of the Invention

発明の分野
[0001]本発明の実施形態は、基板の処理、より具体的には、気相堆積プロセスを使用して基板上にタングステン層を堆積することに関する。
Field of Invention
[0001] Embodiments of the present invention relate to processing of a substrate, and more particularly, to depositing a tungsten layer on a substrate using a vapor deposition process.

従来技術の説明
[0002]半導体処理産業、ならびに基板処理技術を利用する他の産業は、より大きな表面積を有する基板上に堆積された層の均一性を増大させる一方で、より大きな生産歩留まりを得ようと努力し続けている。新たな材料と関連するこれらの要因もまた、基板の単位面積あたりのより高い回路集積を提供する。回路集積が増大すると、層の厚さに関するより大きな均一性およびプロセスコントロールの必要性が生じる。結果として、層の特徴に対するコントロールを維持しつつコスト効率よく基板上に層を堆積するための種々の技術が開発されてきた。
Description of prior art
[0002] The semiconductor processing industry, as well as other industries that utilize substrate processing technology, strives to obtain greater production yields while increasing the uniformity of layers deposited on substrates with larger surface areas. continuing. These factors associated with new materials also provide higher circuit integration per unit area of the substrate. As circuit integration increases, there is a need for greater uniformity and process control with respect to layer thickness. As a result, various techniques have been developed to cost-effectively deposit layers on a substrate while maintaining control over the layer characteristics.

[0003]化学気相堆積法(CVD)は、基板上に層を堆積するために用いられる最も一般的な堆積プロセスの1つである。CVDは、均一な厚さの所望の層を生成するために、処理チャンバに導入される基板温度および前駆体の正確なコントロールを必要とするフラックス依存堆積技術である。これらの要件は、基板サイズが増大するに伴ってより重要になり、チャンバ設計およびガス流の技術におけるさらなる複雑さが適切な均一性を維持する必要性をもたらした。   [0003] Chemical vapor deposition (CVD) is one of the most common deposition processes used to deposit layers on a substrate. CVD is a flux-dependent deposition technique that requires precise control of the substrate temperature and precursor introduced into the processing chamber in order to produce a desired layer of uniform thickness. These requirements became more important as the substrate size increased, and further complexity in the chamber design and gas flow techniques led to the need to maintain proper uniformity.

[0004]優れたステップカバレージを明示するCVDの変形例は周期堆積つまり原子層堆積法(ALD)である。周期堆積は原子層エピタキシー(ALE)に基づいており、また連続サイクルで基板表面上に前駆体分子を送出する化学吸着技術を用いている。サイクルは基板表面を第1の前駆体、パージガス、第2の前駆体およびパージガスに曝す。第1および第2の前駆体は反応して、基板表面上に生成化合物を膜として形成する。サイクルは反復されて、層を所望の厚さに形成する。   [0004] A variation of CVD that demonstrates superior step coverage is periodic deposition or atomic layer deposition (ALD). Periodic deposition is based on atomic layer epitaxy (ALE) and uses a chemisorption technique that delivers precursor molecules onto the substrate surface in a continuous cycle. The cycle exposes the substrate surface to a first precursor, a purge gas, a second precursor, and a purge gas. The first and second precursors react to form the product compound as a film on the substrate surface. The cycle is repeated to form the layer to the desired thickness.

[0005]適切なステップカバレージを提供しつつ高堆積レートで膜層を形成することは、一方を取得するためにはもう一方の犠牲をしばしば必要とする対立特徴である。この対立は、耐熱金属層が、誘電層によって分離されている隣接金属層を相互接続するコンタクトの形成時にギャップやビア上に堆積される場合にとりわけ当てはまる。歴史的に、CVD技術は、コンタクトを安価かつ迅速に形成するために耐熱金属などの導電性材料を堆積するために用いられてきた。半導体回路の集積の増大によって、タングステンが優れたステップカバレージに基づいて使用されてきた。結果として、CVD技術を用いるタングステンの堆積は、プロセスの高スループットゆえに半導体処理における広範な用途を享受している。   [0005] Forming a film layer at a high deposition rate while providing adequate step coverage is an opposing feature that often requires the sacrifice of the other to obtain one. This conflict is especially true when a refractory metal layer is deposited over a gap or via during the formation of a contact that interconnects adjacent metal layers separated by a dielectric layer. Historically, CVD techniques have been used to deposit conductive materials such as refractory metals to form contacts inexpensively and quickly. With the increasing integration of semiconductor circuits, tungsten has been used on the basis of excellent step coverage. As a result, tungsten deposition using CVD technology enjoys widespread use in semiconductor processing due to the high throughput of the process.

[0006]しかしながら、従来のCVD方法によるタングステンの堆積は複数の欠点を伴っている。例えば、ALDプロセスは、高アスペクト比(例えば、20)を含有するビアにタングステン膜を堆積するのに対して、従来のCVDプロセスは普通、類似のビアを「ピンチオフ」させて、完全に充填させない。また、半導体ウェーハ上へのタングステン層のブランケット堆積は、400℃未満の温度では時間がかかる。タングステンの堆積レートは、例えば約500℃〜約550℃に堆積温度を増大させることによって改良可能である。しかしながら、このより高い範囲の温度は、形成中の集積回路の下地部分の構造的かつ動作的一体性を妥協することがある。タングステンの使用はまた、シリコンの反射率(厚さおよび波長依存的)の70%以下を有する比較的粗い表面をもたらすため、製造プロセス時にフォトリソグラフィステップを挫折した。さらに、タングステンは均一な堆積が困難であることを証明している。不良な表面均一性は通常膜抵抗率を増大させる。   [0006] However, tungsten deposition by conventional CVD methods has several drawbacks. For example, ALD processes deposit tungsten films on vias that contain high aspect ratios (eg, 20), whereas conventional CVD processes usually “pinch off” similar vias and not fill completely. . Also, blanket deposition of a tungsten layer on a semiconductor wafer takes time at temperatures below 400 ° C. The deposition rate of tungsten can be improved, for example, by increasing the deposition temperature to about 500 ° C to about 550 ° C. However, this higher range of temperatures may compromise the structural and operational integrity of the underlying portion of the integrated circuit being formed. The use of tungsten has also frustrated the photolithography step during the manufacturing process because it results in a relatively rough surface with 70% or less of the silicon reflectivity (thickness and wavelength dependent). In addition, tungsten has proven difficult to deposit uniformly. Poor surface uniformity usually increases film resistivity.

[0007]従って、ALDプロセスを使用して、均一性が良好で、ほとんどまたは全く汚染がなく、かつ導電率が高いつまり抵抗率が低いタングステン含有材料を堆積するための改良された方法が必要である。   [0007] Therefore, there is a need for an improved method for depositing tungsten-containing materials using the ALD process that has good uniformity, little or no contamination, and high electrical conductivity, ie low resistivity. is there.

発明の概要Summary of the Invention

[0008]本発明の実施形態は、タングステン含有材料を堆積するための改良されたプロセスを提供する。該プロセスは含浸プロセスと、原子層堆積法(ALD)などの気相堆積プロセスとを利用して、導電率、表面均一性および生産レベルスループットがかなり改良されたタングステン含有材料を提供する。一実施形態では、プロセスチャンバ内に基板を位置決めするステップであって、該基板がこの上に配置されている下地層を含有しているステップと、該基板をタングステン前駆体および還元ガスに順次曝して、原子層堆積プロセス時に該下地層上にタングステン核形成層を堆積するステップであって、該還元ガスが約40:1以上の水素/ハイドライド流量比を含有しているステップと、該タングステン核形成層上にタングステンバルク層を堆積するステップとを含む、基板上にタングステン含有材料を形成するための方法が提供される。該還元ガスは、シラン、ジシラン、ジボラン、ホスフィン、これらの誘導体またはこれらの組合せなどのハイドライド化合物を含有している。   [0008] Embodiments of the present invention provide an improved process for depositing tungsten-containing materials. The process utilizes an impregnation process and a vapor deposition process such as atomic layer deposition (ALD) to provide tungsten-containing materials with significantly improved conductivity, surface uniformity, and production level throughput. In one embodiment, positioning a substrate within a process chamber, the substrate containing an underlying layer disposed thereon, and sequentially exposing the substrate to a tungsten precursor and a reducing gas. Depositing a tungsten nucleation layer on the underlayer during an atomic layer deposition process, wherein the reducing gas contains a hydrogen / hydride flow ratio of about 40: 1 or more; Depositing a tungsten bulk layer on the forming layer, and providing a method for forming a tungsten-containing material on the substrate. The reducing gas contains a hydride compound such as silane, disilane, diborane, phosphine, derivatives thereof, or combinations thereof.

[0009]一部の実施例では、該還元ガスの該水素/ハイドライド流量比は約100:1以上、例えば約500:1以上、例えば約800:1、約1,000:1、約1,200:1以上である。該ハイドライド化合物は、約1sccm〜約40sccm、好ましくは約3sccm〜約30sccm、より好ましくは約5sccm〜約15sccmの範囲内の流量を有してもよい。該還元ガスは、約1slm〜約20slm、好ましくは約3slm〜約15slm、より好ましくは約5slm〜約10slmの範囲内の流量を有する水素を含有する。   [0009] In some embodiments, the hydrogen / hydride flow ratio of the reducing gas is about 100: 1 or higher, such as about 500: 1 or higher, such as about 800: 1, about 1,000: 1, about 1, 200: 1 or more. The hydride compound may have a flow rate in the range of about 1 seem to about 40 seem, preferably about 3 seem to about 30 seem, more preferably about 5 seem to about 15 seem. The reducing gas contains hydrogen having a flow rate in the range of about 1 slm to about 20 slm, preferably about 3 slm to about 15 slm, more preferably about 5 slm to about 10 slm.

[0010]一部の実施形態では、該方法はさらに、該タングステン核形成層を堆積する前に、事前含浸プロセス時に還元剤を含有する事前含浸ガスに該下地層を曝すステップを含む。他の実施形態では、該方法は、事後含浸プロセス時に還元剤を含有する事後含浸ガスに該タングステン核形成層を曝すステップを含んでもよい。該還元剤は、シラン、ジシラン、ジボラン、ホスフィン、これらの誘導体またはこれらの組合せを含有してもよい。該基板は、約5秒〜約60秒、好ましくは約10秒〜約30秒、より好ましくは約15秒〜約20秒の範囲内の期間該還元剤に曝されてもよい。一部の実施例では、該事前含浸ガスや該事後含浸ガスは独立して、約40:1以上、例えば100:1、約500:1、約800:1、約1,000:1、約1,200:1以上の水素/ハイドライド流量比を含有してもよい。   [0010] In some embodiments, the method further includes exposing the underlayer to a pre-impregnation gas containing a reducing agent during a pre-impregnation process prior to depositing the tungsten nucleation layer. In other embodiments, the method may include exposing the tungsten nucleation layer to a post-impregnation gas containing a reducing agent during the post-impregnation process. The reducing agent may contain silane, disilane, diborane, phosphine, derivatives thereof or combinations thereof. The substrate may be exposed to the reducing agent for a period in the range of about 5 seconds to about 60 seconds, preferably about 10 seconds to about 30 seconds, more preferably about 15 seconds to about 20 seconds. In some embodiments, the pre-impregnation gas and the post-impregnation gas are independently about 40: 1 or more, such as 100: 1, about 500: 1, about 800: 1, about 1,000: 1, about A hydrogen / hydride flow ratio of 1,200: 1 or greater may be included.

[0011]一部の実施例では、該基板は、該ALDプロセス、該事前含浸プロセスまたは該事後含浸プロセス時に約200℃〜約600℃、好ましくは約300℃〜約500℃、より好ましくは約350℃〜約420℃、より好ましくは約375℃〜約400℃の範囲内の温度に加熱されてもよい。該プロセスチャンバは、該ALDプロセス、該事前含浸プロセスおよび/または該事後含浸プロセス時に約1トール〜約300トール、好ましくは約1トール〜約100トール、より好ましくは約10トール〜約50トール、より好ましくは約20トール〜約40トールの範囲内の内部圧力を有してもよい。   [0011] In some embodiments, the substrate is about 200 ° C. to about 600 ° C., preferably about 300 ° C. to about 500 ° C., more preferably about 200 ° C. during the ALD process, the pre-impregnation process or the post-impregnation process. It may be heated to a temperature in the range of 350 ° C to about 420 ° C, more preferably about 375 ° C to about 400 ° C. The process chamber is about 1 to about 300 torr, preferably about 1 to about 100 torr, more preferably about 10 to about 50 torr during the ALD process, the pre-impregnation process and / or the post-impregnation process. More preferably, it may have an internal pressure in the range of about 20 Torr to about 40 Torr.

[0012]該タングステンバルク層は、六フッ化タングステンおよび水素ガスを該プロセスチャンバに同時に流すなど、従来の化学気相堆積(CVD)プロセスによって堆積されてもよい。該タングステンバルク層は、約20Ωμ−cm以下、好ましくは約16Ωμ−cm以下、例えば約10Ωμ−cm以下、好ましくは約9Ωμ−cm以下、より好ましくは約8Ωμ−cm以下、より好ましくは約7Ωμ−cm以下の、基板全体で測定される抵抗率を有してもよい。該タングステン核形成層は、約2Å〜約200Åの範囲内、例えば約50Å未満の厚さを有してもよい。   [0012] The tungsten bulk layer may be deposited by a conventional chemical vapor deposition (CVD) process, such as simultaneously flowing tungsten hexafluoride and hydrogen gas into the process chamber. The tungsten bulk layer is about 20 Ωμ-cm or less, preferably about 16 Ωμ-cm or less, such as about 10 Ωμ-cm or less, preferably about 9 Ωμ-cm or less, more preferably about 8 Ωμ-cm or less, more preferably about 7 Ωμ-cm. It may have a resistivity measured on the entire substrate of cm or less. The tungsten nucleation layer may have a thickness in the range of about 2 mm to about 200 mm, for example less than about 50 mm.

[0013]一実施形態では、該下地層は、金属チタン、窒化チタン、金属タンタル、窒化タンタル、これらのシリサイド、これらの誘導体、これらの合金またはこれらの組合せを含有してもよいバリア層である。該バリア層は、ALDプロセス、CVDプロセスまたは物理気相堆積(PVD)プロセスによって堆積されてもよい。別の実施形態では、該下地層は、ルテニウム、ニッケル、コバルト、金属タングステン、窒化タングステン、これらのシリサイド、これらの誘導体、これらの合金またはこれらの組合せを含有してもよい核形成/バリア層である。該核形成/バリア層はALDプロセスまたはPVDプロセスによって堆積されてもよい。   [0013] In one embodiment, the underlayer is a barrier layer that may contain metallic titanium, titanium nitride, metallic tantalum, tantalum nitride, silicides thereof, derivatives thereof, alloys thereof, or combinations thereof. . The barrier layer may be deposited by an ALD process, a CVD process or a physical vapor deposition (PVD) process. In another embodiment, the underlayer is a nucleation / barrier layer that may contain ruthenium, nickel, cobalt, metallic tungsten, tungsten nitride, silicides thereof, derivatives thereof, alloys thereof, or combinations thereof. is there. The nucleation / barrier layer may be deposited by an ALD process or a PVD process.

[0014]一実施例では、プロセスチャンバ内に基板を位置決めするステップであって、該基板がこの上に配置されている下地層を含有しているステップと、該基板をタングステン前駆体および還元ガスに順次曝して、ALDプロセス時に該下地層上にタングステン核形成層を堆積するステップであって、該還元ガスが約40:1以上の水素/ジボラン流量比を含有しているステップと、該タングステン核形成層上にタングステンバルク層を堆積するステップとを含む、基板上にタングステン含有材料を形成するための方法が提供される。   [0014] In one embodiment, positioning a substrate within a process chamber, the substrate containing an underlying layer disposed thereon, the substrate with a tungsten precursor and a reducing gas. Depositing a tungsten nucleation layer on the underlayer during an ALD process, wherein the reducing gas contains a hydrogen / diborane flow ratio of about 40: 1 or more, and the tungsten Depositing a tungsten bulk layer on the nucleation layer, and providing a method for forming a tungsten-containing material on the substrate.

[0015]該方法はさらに、該タングステン核形成層を堆積する前に、事前含浸プロセス時に還元剤(例えば、ジボランやシラン)を含有する事前含浸ガスに該下地層を曝すステップを含んでもよい。該方法はまた、事後含浸プロセス時に還元剤(例えば、ジボランやシラン)を含有する事後含浸ガスに該タングステン核形成層を曝すステップを含んでもよい。一部の実施例では、該還元ガスの該水素/ジボラン流量比は約100:1以上、例えば約500:1、約800:1、約1,000:1以上である。該ジボランは、約1sccm〜約40sccm、好ましくは約3sccm〜約30sccm、より好ましくは約5sccm〜約15sccmの範囲内の流量を有してもよい。該還元ガスは、約1slm〜約20slm、好ましくは約3slm〜約15slm、より好ましくは約5slm〜約10slmの範囲内の流量を有する水素を含有してもよい。   [0015] The method may further include exposing the underlayer to a pre-impregnation gas containing a reducing agent (eg, diborane or silane) during the pre-impregnation process prior to depositing the tungsten nucleation layer. The method may also include exposing the tungsten nucleation layer to a post-impregnation gas containing a reducing agent (eg, diborane or silane) during the post-impregnation process. In some embodiments, the hydrogen / diborane flow ratio of the reducing gas is about 100: 1 or greater, such as about 500: 1, about 800: 1, or about 1,000: 1 or greater. The diborane may have a flow rate in the range of about 1 sccm to about 40 sccm, preferably about 3 sccm to about 30 sccm, more preferably about 5 sccm to about 15 sccm. The reducing gas may contain hydrogen having a flow rate in the range of about 1 slm to about 20 slm, preferably about 3 slm to about 15 slm, more preferably about 5 slm to about 10 slm.

[0016]本発明の上記引用された特徴が詳細に理解されるように、上記簡潔に要約された本発明のより具体的な説明は実施形態を参照してなされてもよく、この一部は添付の図面に図示されている。しかしながら、添付の図面は本発明の通常の実施形態のみを図示しており、また本発明は他の等しく効果的な実施形態を認めてもよいため、従ってこの範囲を制限するものとみなされるべきではない点に注目する。   [0016] To provide a thorough understanding of the above-cited features of the invention, a more specific description of the invention briefly summarized above may be made by reference to the embodiments, some of which are It is illustrated in the accompanying drawings. However, the attached drawings illustrate only typical embodiments of the invention, and the invention may recognize other equally effective embodiments and therefore should be regarded as limiting the scope thereof. Note that this is not the case.

詳細な説明Detailed description

[0024]本発明の実施形態は、タングステン含有材料を堆積するための改良されたプロセスを提供する。本プロセスは、事前含浸および事後含浸プロセスとALDプロセス時の高水素/還元剤比を利用して、導電率、表面均一性および生産レベルスループットが大きく改良されたタングステン含有材料を提供する。一実施形態では、基板をタングステン前駆体および還元剤に順次曝して、ALDプロセス時に下地層(例えば、バリア層)上にタングステン核形成層を堆積するステップであって、還元ガスが約40:1以上の水素/ハイドライド流量比を有するステップを含む、基板上にタングステン含有材料を形成するための方法が提供される。一部の実施例では、還元ガスの水素/ハイドライド流量比は約100:1、約500:1、約800:1、約1,000:1、約1,200:1以上である。還元ガスは、シラン、ジシラン、ボラン、ジボラン、ホスフィン、これらの誘導体またはこれらの組合せなどのハイドライド化合物を含有している。続いて、タングステンバルク層がタングステン核形成層上に堆積されてもよい。   [0024] Embodiments of the present invention provide an improved process for depositing tungsten-containing materials. The process utilizes a high hydrogen / reducing agent ratio during pre-impregnation and post-impregnation processes and ALD processes to provide tungsten-containing materials with greatly improved conductivity, surface uniformity and production level throughput. In one embodiment, sequentially exposing the substrate to a tungsten precursor and a reducing agent to deposit a tungsten nucleation layer on an underlying layer (eg, a barrier layer) during the ALD process, wherein the reducing gas is about 40: 1. A method is provided for forming a tungsten-containing material on a substrate comprising the steps of having the above hydrogen / hydride flow ratio. In some embodiments, the hydrogen / hydride flow ratio of the reducing gas is about 100: 1, about 500: 1, about 800: 1, about 1,000: 1, about 1,200: 1 or more. The reducing gas contains a hydride compound such as silane, disilane, borane, diborane, phosphine, derivatives thereof, or combinations thereof. Subsequently, a tungsten bulk layer may be deposited on the tungsten nucleation layer.

[0025]図1は、本発明の一実施形態に従った、改良されたタングステン含有膜を形成するための例示的プロセスシーケンス100を図示している。処理される基板はまず、周期堆積を実行可能なプロセスチャンバにロードされて、この中に位置決めされ、プロセス条件が調整される(ステップ110)。基板は次いで含浸プロセスに曝され、約1秒〜約90秒の範囲内で続く(ステップ120)。適切なキャリアガスを伴う1パルスのタングステン含有前駆体が処理チャンバに導入される(ステップ130)。1パルスのガスが次いでプロセスチャンバにパルスされて(ステップ140)、残渣タングステン含有前駆体や副生成物をパージまたは除去する。次に、適切なキャリアガスを伴う1パルスの還元剤または還元化合物がプロセスチャンバに導入される(ステップ150)。還元ガスは、含浸プロセス(ステップ120)に使用されるガスと同じ還元化合物を含有してもよく、あるいは代替的に、還元ガスは生産スループット要件およびデバイス用途に応じて異なる還元化合物を含有してもよい。1パルスのパージガスが次いで処理チャンバに導入されて(ステップ160)、残渣還元化合物をパージまたは除去する。   [0025] FIG. 1 illustrates an exemplary process sequence 100 for forming an improved tungsten-containing film, according to one embodiment of the invention. The substrate to be processed is first loaded into a process chamber capable of performing periodic deposition, positioned therein, and the process conditions are adjusted (step 110). The substrate is then subjected to an impregnation process and continues within a range of about 1 second to about 90 seconds (step 120). A pulse of tungsten-containing precursor with an appropriate carrier gas is introduced into the processing chamber (step 130). A pulse of gas is then pulsed into the process chamber (step 140) to purge or remove residual tungsten-containing precursors and by-products. Next, a pulse of reducing agent or reducing compound with an appropriate carrier gas is introduced into the process chamber (step 150). The reducing gas may contain the same reducing compound as that used in the impregnation process (step 120), or alternatively, the reducing gas contains a different reducing compound depending on production throughput requirements and device applications. Also good. A pulse of purge gas is then introduced into the processing chamber (step 160) to purge or remove residual reducing compounds.

[0026]適切なキャリアガスまたはパージガスは、ヘリウム、アルゴン、窒素、水素、フォーミングガスまたはこれらの組合せを含む。通常、ボランまたはシラン化合物を含有する還元ガスはまた水素を含んでおり、さらにアルゴンや窒素を含有してもよい。   [0026] Suitable carrier or purge gases include helium, argon, nitrogen, hydrogen, forming gas, or combinations thereof. Usually, the reducing gas containing borane or silane compound also contains hydrogen and may further contain argon or nitrogen.

[0027]ステップ170を参照すると、各堆積サイクル(ステップ130〜160)の後、特定の厚さを有するタングステン核形成層が基板表面上に堆積される。普通、各ALDサイクルは、約0.1Å〜約5Å以下の範囲内の厚さを有する層を形成してもよい。特定のデバイス要件に応じて、後続の堆積サイクルは、所望の厚さを有するタングステン核形成層を堆積するために必要とされることがある。従って、堆積サイクル(ステップ130〜160)は、タングステン膜の所望の厚さが達成されるまで反復可能である。核形成層は通常、約2Å〜約200Åの範囲内の厚さに堆積される。その後、所望の厚さが達成されると、プロセスはステップ180で示されるように停止される。   [0027] Referring to step 170, after each deposition cycle (steps 130-160), a tungsten nucleation layer having a specific thickness is deposited on the substrate surface. Typically, each ALD cycle may form a layer having a thickness in the range of about 0.1 to about 5 inches. Depending on the specific device requirements, subsequent deposition cycles may be required to deposit a tungsten nucleation layer having the desired thickness. Thus, the deposition cycle (steps 130-160) can be repeated until the desired thickness of the tungsten film is achieved. The nucleation layer is typically deposited to a thickness in the range of about 2 to about 200 inches. Thereafter, when the desired thickness is achieved, the process is stopped as indicated at step 180.

[0028]適切なタングステン含有前駆体または化合物は、六フッ化タングステン(WF)、六塩化タングステン(WCl)、タングステンカルボニル(W(CO))、ビス(シクロペンタジエニル)タングステンジクロライド(CpWCl)、メシチレンタングステントリカルボニル(C12W(CO))またはこれらの誘導体を含む。適切な還元化合物および含浸化合物は、シラン化合物、ボラン化合物、ホスフィン化合物、アンモニア、アミン化合物、水素、これらの誘導体、これらの混合物またはこれらの組合せを含む。シラン化合物は、シラン、ジシラン、トリシラン、テトラシラン、クロロシラン、ジクロロシラン、テトラクロロシラン、ヘキサクロロジシラン、メチルシランまたはこれらの誘導体を含む。ボラン化合物は、ボラン、ジボラン、トリボラン、テトラボラン、ペンタボラン、アルキルボラン(例えば、トリエチルボラン)またはこれらの誘導体を含む。複数の例は含浸プロセス用の還元ガスを提供して、シラン、ジシラン、ジボラン、水素、これらの誘導体、これらの混合物またはこれらの組合せを含有する。 [0028] Suitable tungsten-containing precursors or compounds include tungsten hexafluoride (WF 6 ), tungsten hexachloride (WCl 6 ), tungsten carbonyl (W (CO) 6 ), bis (cyclopentadienyl) tungsten dichloride ( Cp 2 WCl 2 ), mesitylene tungsten tricarbonyl (C 9 H 12 W (CO) 3 ) or derivatives thereof. Suitable reducing and impregnating compounds include silane compounds, borane compounds, phosphine compounds, ammonia, amine compounds, hydrogen, derivatives thereof, mixtures thereof or combinations thereof. The silane compound includes silane, disilane, trisilane, tetrasilane, chlorosilane, dichlorosilane, tetrachlorosilane, hexachlorodisilane, methylsilane, or derivatives thereof. Borane compounds include borane, diborane, triborane, tetraborane, pentaborane, alkylborane (eg, triethylborane) or derivatives thereof. Examples provide a reducing gas for the impregnation process and contain silane, disilane, diborane, hydrogen, derivatives thereof, mixtures thereof, or combinations thereof.

[0029]基板表面は概して、この上に配置されているバリア層を含有する。タングステン核形成層はバリア層上に堆積されてもよい。一実施形態では、基板表面は、基板が加熱されている間に事前含浸ガスに曝されてもよい。基板は、ステップ110または120時、かつプロセス100時のステップ130〜170の間プロセス温度に加熱されてもよい。基板は、事前含浸プロセスの前またはこの最中に、例えば約100℃〜約600℃、好ましくは約200℃〜約600℃、より好ましくは約300℃〜約500℃、より好ましくは約350℃〜約420℃、より好ましくは約375℃〜約500℃の範囲内のプロセス温度に加熱されてもよい。一実施例では、含浸プロセスの温度は後続の気相堆積プロセスについて維持される。含浸プロセス(ステップ120)は通常、約1トール〜約150トール、好ましくは約1トール〜約100トール、より好ましくは約10トール〜約50トール、より好ましくは約20トール〜約40トールの範囲内のプロセスチャンバの内部圧力で実行される。一部の実施例では、圧力は約5トール〜約20トールの範囲内である。別の実施例では、圧力は約40トールである。含浸プロセスは普通、約1秒〜約90秒の範囲内の期間、基板表面に行われる。一実施例では、含浸プロセスは約60秒以下の間続く。別の実施例では、含浸プロセスは約30秒以下の間続く。別の態様では、含浸プロセスは約10秒続く。   [0029] The substrate surface generally contains a barrier layer disposed thereon. A tungsten nucleation layer may be deposited on the barrier layer. In one embodiment, the substrate surface may be exposed to a pre-impregnation gas while the substrate is heated. The substrate may be heated to the process temperature during step 110 or 120 and during steps 130-170 of process 100. The substrate may be, for example, from about 100 ° C to about 600 ° C, preferably from about 200 ° C to about 600 ° C, more preferably from about 300 ° C to about 500 ° C, more preferably about 350 ° C, prior to or during the pre-impregnation process. It may be heated to a process temperature in the range of about ~ 420C, more preferably about 375C to about 500C. In one embodiment, the temperature of the impregnation process is maintained for subsequent vapor deposition processes. The impregnation process (step 120) typically ranges from about 1 Torr to about 150 Torr, preferably from about 1 Torr to about 100 Torr, more preferably from about 10 Torr to about 50 Torr, more preferably from about 20 Torr to about 40 Torr. It is performed at the internal pressure of the internal process chamber. In some embodiments, the pressure is in the range of about 5 Torr to about 20 Torr. In another embodiment, the pressure is about 40 Torr. The impregnation process is typically performed on the substrate surface for a period in the range of about 1 second to about 90 seconds. In one example, the impregnation process lasts for about 60 seconds or less. In another embodiment, the impregnation process lasts for about 30 seconds or less. In another aspect, the impregnation process lasts about 10 seconds.

[0030]基板は、水素ガスおよびハイドライド化合物を含有する含浸ガス(例えば、事前含浸ガス)や還元ガス、例えばシラン、ジシラン、ジボラン、ホスフィン、これらの誘導体またはこれらの組合せに曝される。還元ガスは、処理/堆積チャンバの内外で混合されてもよく、また複数のソースから入ってきてもよい。一実施例では、基板は、還元またはハイドライド化合物と水素混合物(例えば、H中の5%B)のガス流を、水素ガスのガス流とチャンバにおいて組み合わせることによって形成される還元ガスに曝される。別の実施例では、還元またはハイドライド化合物と水素混合物(例えば、H中の5%B)のガス流および水素ガスのガス流は、チャンバに入る前に組み合わせられる。 [0030] The substrate is exposed to an impregnation gas (eg, a pre-impregnation gas) containing hydrogen gas and a hydride compound, or a reducing gas such as silane, disilane, diborane, phosphine, derivatives thereof, or combinations thereof. The reducing gas may be mixed in and out of the processing / deposition chamber and may come from multiple sources. In one embodiment, the substrate is a reducing gas which is formed by combining a reducing or hydride compound and hydrogen mixtures (e.g., 5% B 2 H 6 in H 2) gas flow of, in the gas stream and the chamber of the hydrogen gas Exposed to. In another embodiment, the reduction or hydride compound and hydrogen mixtures (e.g., 5% B 2 H 6 in H 2) gas flow and a gas flow of hydrogen gas are combined before entering the chamber.

[0031]一実施形態では、還元ガスは、約40:1以上、好ましくは約100:1以上、好ましくは約500:1以上、より好ましくは約800:1以上、より好ましくは約1,000:1以上の水素/ハイドライド流量比を含有している。ハイドライド化合物(例えば、ジボラン)は、約1sccm〜約40sccm、好ましくは約3sccm〜約30sccm、より好ましくは約5sccm〜約15sccmの範囲内の流量を有してもよい。ハイドライド化合物は、混合物が、約50sccm〜約500sccm、好ましくは約75sccm〜約400sccm、より好ましくは約100sccm〜約300sccmの範囲内の流量を有するように、キャリアガス(例えば、H)内にあってもよい。水素ガスは、約1slm〜約20slm、好ましくは約3slm〜約15slm、より好ましくは約5slm〜約10slmの範囲内の流量を有してもよい。水素/ハイドライド流量比は、全水素流量を全ハイドライド流量で除算することによって算出可能である。全水素流量は、任意の水素キャリアガスの流量および任意の独立水素ガスの流量を含む水素の全ソースの合計を含有する。 [0031] In one embodiment, the reducing gas is about 40: 1 or more, preferably about 100: 1 or more, preferably about 500: 1 or more, more preferably about 800: 1 or more, more preferably about 1,000. 1 or higher hydrogen / hydride flow ratio. The hydride compound (eg, diborane) may have a flow rate in the range of about 1 sccm to about 40 sccm, preferably about 3 sccm to about 30 sccm, more preferably about 5 sccm to about 15 sccm. The hydride compound is present in a carrier gas (eg, H 2 ) such that the mixture has a flow rate in the range of about 50 sccm to about 500 sccm, preferably about 75 sccm to about 400 sccm, more preferably about 100 sccm to about 300 sccm. May be. The hydrogen gas may have a flow rate in the range of about 1 slm to about 20 slm, preferably about 3 slm to about 15 slm, more preferably about 5 slm to about 10 slm. The hydrogen / hydride flow ratio can be calculated by dividing the total hydrogen flow by the total hydride flow. The total hydrogen flow rate includes the sum of all sources of hydrogen including any hydrogen carrier gas flow and any independent hydrogen gas flow.

[0032]基板上に配置されているバリア層は還元される、および/または還元剤を吸収して、後続の核形成層に対する調整層を形成する。調整バリア層は、核形成層のより迅速かつより平滑な堆積を提供する。一実施形態では、ステップ120において、含浸プロセスで利用される還元剤または還元化合物はジボランまたはシランである。一実施例では、還元ガスは、約1sccm〜約40sccmの範囲内の流量を有するハイドライド化合物(例えば、BやSiH)および約1slm〜約20slmの範囲内の流量を有する水素ガスを含有する。別の実施例では、還元ガスは、約3sccm〜約30sccmの範囲内の流量を有するハイドライド化合物および約3slm〜約15slmの範囲内の流量を有する水素ガスを含有する。別の実施例では、還元ガスは、約5sccm〜約15sccmの範囲内の流量を有するハイドライド化合物および約5slm〜約10slmの範囲内の流量を有する水素ガスを含有する。 [0032] The barrier layer disposed on the substrate is reduced and / or absorbs the reducing agent to form a conditioning layer for subsequent nucleation layers. The conditioning barrier layer provides a quicker and smoother deposition of the nucleation layer. In one embodiment, in step 120, the reducing agent or reducing compound utilized in the impregnation process is diborane or silane. In one embodiment, the reducing gas comprises a hydride compound (eg, B 2 H 6 or SiH 4 ) having a flow rate in the range of about 1 sccm to about 40 sccm and hydrogen gas having a flow rate in the range of about 1 slm to about 20 slm. contains. In another embodiment, the reducing gas contains a hydride compound having a flow rate in the range of about 3 seem to about 30 seem and hydrogen gas having a flow rate in the range of about 3 slm to about 15 slm. In another example, the reducing gas contains a hydride compound having a flow rate in the range of about 5 sccm to about 15 sccm and hydrogen gas having a flow rate in the range of about 5 slm to about 10 slm.

[0033]ステップ130では、タングステン含有前駆体は好ましくは六フッ化タングステンであり、約5sccm〜約200sccmの範囲内のレートで導入される。タングステン含有前駆体は、約50sccm〜約1,000sccmの範囲内の流量のアルゴンなどのキャリアガスを具備するプロセスチャンバに導入可能である。   [0033] In step 130, the tungsten-containing precursor is preferably tungsten hexafluoride and is introduced at a rate in the range of about 5 seem to about 200 seem. The tungsten-containing precursor can be introduced into a process chamber comprising a carrier gas such as argon at a flow rate in the range of about 50 seem to about 1,000 seem.

[0034]ステップ150において、還元ガスはジボランまたはシランを含有しており、プロセスチャンバに導入される。還元ガスは、約1sccm〜約40sccmの範囲内の流量を有するハイドライド化合物(例えば、BまたはSiH)および約1slm〜約20slmの範囲内の流量を有する水素ガスを含有する。別の実施例では、還元ガスは、約3sccm〜約30sccmの範囲内の流量を有するハイドライド化合物および約3slm〜約15slmの範囲内の流量を有する水素ガスを含有する。別の実施例では、還元ガスは、約5sccm〜約15sccmの範囲内の流量を有するハイドライド化合物および約5slm〜約10slmの範囲内の流量を有する水素ガスを含有する。 [0034] In step 150, the reducing gas contains diborane or silane and is introduced into the process chamber. The reducing gas contains a hydride compound (eg, B 2 H 6 or SiH 4 ) having a flow rate in the range of about 1 sccm to about 40 sccm and hydrogen gas having a flow rate in the range of about 1 slm to about 20 slm. In another embodiment, the reducing gas contains a hydride compound having a flow rate in the range of about 3 seem to about 30 seem and hydrogen gas having a flow rate in the range of about 3 slm to about 15 slm. In another embodiment, the reducing gas contains a hydride compound having a flow rate in the range of about 5 seem to about 15 seem and hydrogen gas having a flow rate in the range of about 5 slm to about 10 slm.

[0035]パージガス、好ましくはアルゴンまたは窒素のパルスは、ステップ140および160において、通常、約50sccm〜約2,000sccm、好ましくは約500sccmのレートで導入される。ステップ140および160はパージガスの連続流を含むことがあるのに対して、タングステン含有化合物および還元ガスは、キャリアガスの定常ストリームにパルスされる。各処理ステップ(ステップ130〜160)は約0.01秒〜約10秒、好ましくは約0.1秒〜約1秒の範囲の間続く。例えば約30秒または約60秒のより長い処理ステップがタングステン堆積を達成する。しかしながら、スループットは削減される。特定の圧力および時間が実験を通して取得される。一実施例では、300mm直径の基板またはウェーハは、同様のスループットを維持するために、200mm直径の基板またはウェーハの約2倍の流量を必要とする。   [0035] A pulse of purge gas, preferably argon or nitrogen, is introduced in steps 140 and 160, typically at a rate of about 50 seem to about 2,000 seem, preferably about 500 seem. Steps 140 and 160 may include a continuous flow of purge gas, whereas the tungsten-containing compound and the reducing gas are pulsed into a steady stream of carrier gas. Each processing step (steps 130-160) lasts for a range of about 0.01 seconds to about 10 seconds, preferably about 0.1 seconds to about 1 second. Longer processing steps, for example about 30 seconds or about 60 seconds, achieve tungsten deposition. However, the throughput is reduced. Specific pressures and times are obtained throughout the experiment. In one embodiment, a 300 mm diameter substrate or wafer requires approximately twice the flow rate of a 200 mm diameter substrate or wafer to maintain similar throughput.

[0036]本明細書に説明されている実施形態で使用されるALDプロセスチャンバは、カリフォルニア州サンタクララにあるApplied Materials,Inc.から入手可能である。ALDプロセスチャンバについてのより詳細な説明は、全体が参照によって本明細書に組み込まれている、2002年10月25日に出願され、かつUS2003−0121608号として公開された、共同出願人による米国特許第6,878,206号および6,916,398号と、共同出願人による米国出願第10/281,079号に見ることができる。ソフトウェアルーチンが、プロセスレシピやシーケンスを開始するために実行される。ソフトウェアルーチンは、実行される場合に、汎用コンピュータを、チャンバ動作をコントロールする特定プロセスコンピュータに変換して、チャンバプロセスが実行される。例えば、ソフトウェアルーチンは、本発明の態様に従ってプロセスシーケンスを実行するために電子コントロールバルブの起動を正確にコントロールするために使用されてもよい。代替的に、ソフトウェアルーチンは、ハードウェアで、特定用途向け集積回路や他のタイプのハードウェア実現で、あるいはソフトウェアまたはハードウェアの組合せで実行されてもよい。   [0036] The ALD process chamber used in the embodiments described herein is from Applied Materials, Inc., located in Santa Clara, California. Is available from A more detailed description of the ALD process chamber can be found in the co-assigned US patent filed Oct. 25, 2002 and published as US 2003-0121608, which is hereby incorporated by reference in its entirety. Nos. 6,878,206 and 6,916,398 and co-assigned US application Ser. No. 10 / 281,079. A software routine is executed to initiate a process recipe or sequence. When executed, the software routine converts the general purpose computer into a specific process computer that controls chamber operation and the chamber process is executed. For example, a software routine may be used to accurately control the activation of an electronic control valve to perform a process sequence in accordance with aspects of the present invention. Alternatively, software routines may be executed in hardware, in an application specific integrated circuit or other type of hardware implementation, or in a combination of software or hardware.

[0037]図2は、本明細書に説明されている一実施形態に従った、タングステン含有材料を形成するためのプロセス200を図示している。ステップ210において、基板はプロセスチャンバにロードされる。基板は普通、この上に配置されているバリア層を含有しており、またステップ220で事前含浸プロセスつまり第1の含浸プロセスに曝される。含浸プロセスは、事前含浸ガス、または還元剤を含有する還元ガスをプロセスチャンバに送出するステップを含む。基板表面は、還元バリア層などの処置層を形成する。ステップ230において、核形成層(例えば、タングステン)が基板上に堆積される。概して、核形成層は、ALD、CVDまたはパルスCVDを含む気相堆積プロセスによって堆積される。ステップ240において、核形成層は、ステップ220で使用されたのと同じまたは異なる還元剤による第2の含浸プロセスに曝される。その後、バルク層(例えば、タングステン)がステップ250で核形成層上に堆積される。バルク層はCVDプロセスによって堆積されてもよい。   [0037] FIG. 2 illustrates a process 200 for forming a tungsten-containing material, according to one embodiment described herein. In step 210, the substrate is loaded into the process chamber. The substrate typically contains a barrier layer disposed thereon and is exposed to a pre-impregnation process or first impregnation process at step 220. The impregnation process includes delivering a pre-impregnation gas or a reducing gas containing a reducing agent to the process chamber. A treatment layer such as a reduction barrier layer is formed on the substrate surface. In step 230, a nucleation layer (eg, tungsten) is deposited on the substrate. In general, the nucleation layer is deposited by a vapor deposition process including ALD, CVD or pulsed CVD. In step 240, the nucleation layer is exposed to a second impregnation process with the same or different reducing agent used in step 220. A bulk layer (eg, tungsten) is then deposited on the nucleation layer at step 250. The bulk layer may be deposited by a CVD process.

[0038]ステップ210において、基板はプロセスチャンバにロードされて、この中に位置決めされてもよい。基板表面または下地層はこの上に配置されているバリア層、接着または起動層を含有してもよい。バリア層は普通金属材料や窒化金属材料を含有する。一実施形態では、下地層は、金属チタン、窒化チタン、金属タンタル、窒化タンタル、これらのシリサイド、これらの誘導体、これらの合金またはこれらの組合せを含有するバリア層である。バリア層は、ALDプロセス、CVDプロセスまたは物理気相堆積(PVD)プロセスで堆積されてもよい。別の実施形態では、下地層は、ルテニウム、ニッケル、コバルト、金属タングステン、窒化タングステン、これらのシリサイド、これらの誘導体、これらの合金またはこれらの組合せを含有してもよい核形成/バリア層である。核形成/バリア層は、ALDプロセス、CVDプロセス、PVDプロセスまたはこれらの組合せで堆積されてもよい。   [0038] In step 210, the substrate may be loaded into the process chamber and positioned therein. The substrate surface or underlayer may contain a barrier layer, adhesion or activation layer disposed thereon. The barrier layer usually contains a metal material or a metal nitride material. In one embodiment, the underlayer is a barrier layer containing titanium metal, titanium nitride, metal tantalum, tantalum nitride, silicides thereof, derivatives thereof, alloys thereof, or combinations thereof. The barrier layer may be deposited by an ALD process, a CVD process or a physical vapor deposition (PVD) process. In another embodiment, the underlying layer is a nucleation / barrier layer that may contain ruthenium, nickel, cobalt, metallic tungsten, tungsten nitride, their silicides, their derivatives, their alloys, or combinations thereof. . The nucleation / barrier layer may be deposited by an ALD process, a CVD process, a PVD process, or a combination thereof.

[0039]バリア層は普通、例えば約30Å〜約100Åの範囲内の、少なくとも約30Åの厚さに堆積される。一実施例では、バリア層は、テトラキス(ジメチルアミノ)チタン(TDMAT)およびアンモニアを使用することによってCVDプロセスで基板表面上に堆積される。別の実施例では、バリア層は、四塩化チタン(TiCl)およびアンモニアを順次パルスすることによってALDプロセスで基板表面上に堆積される。別の実施例では、バリア層は、窒素環境においてチタンソースからスパッタリングすることによってPVDプロセスで基板表面上に堆積される。バリア層は、後続の製作プロセスを実行する前にプラズマ緻密化されてもよい。別の実施例では、下地層は、PVDプロセスで堆積されたタングステンおよび/または窒化タングステン層である。 [0039] The barrier layer is typically deposited to a thickness of at least about 30 inches, for example, in the range of about 30 inches to about 100 inches. In one example, the barrier layer is deposited on the substrate surface in a CVD process by using tetrakis (dimethylamino) titanium (TDMAT) and ammonia. In another embodiment, the barrier layer is deposited on the substrate surface in an ALD process by sequentially pulsing titanium tetrachloride (TiCl 4 ) and ammonia. In another example, the barrier layer is deposited on the substrate surface in a PVD process by sputtering from a titanium source in a nitrogen environment. The barrier layer may be plasma densified before performing subsequent fabrication processes. In another example, the underlying layer is a tungsten and / or tungsten nitride layer deposited by a PVD process.

[0040]一実施例では、下地層は、金属チタン、窒化チタン、金属タンタル、窒化タンタル、これらのシリサイド、これらの誘導体、これらの合金またはこれらの組合せを含有するバリア層であり、またALDプロセス、CVDプロセスまたはPVDプロセスで堆積されてもよい。別の実施例では、下地層は、ルテニウム、ニッケル、コバルト、金属タングステン、窒化タングステン、これらのシリサイド、これらの誘導体、これらの合金またはこれらの組合せを含有する核形成/バリア層であり、またALDプロセスやPVDプロセスで堆積されてもよい。   [0040] In one embodiment, the underlayer is a barrier layer containing metal titanium, titanium nitride, metal tantalum, tantalum nitride, silicides thereof, derivatives thereof, alloys thereof, or combinations thereof, and an ALD process It may be deposited by a CVD process or a PVD process. In another embodiment, the underlayer is a nucleation / barrier layer containing ruthenium, nickel, cobalt, metallic tungsten, tungsten nitride, silicides thereof, derivatives thereof, alloys thereof, or combinations thereof, and ALD It may be deposited by a process or PVD process.

[0041]ステップ210で設定される温度および圧力などのプロセス条件はステップ220で使用されてもよい。一実施形態では、プロセスチャンバは、プロセス200のステップ210、220、230および/または240において、約100℃〜約600℃、好ましくは約200℃〜約600℃、より好ましくは約300℃〜約500℃、より好ましくは約350℃〜約420℃、より好ましくは約375℃〜約500℃の範囲内の温度で基板を加熱するように調整される。プロセスチャンバは、約1トール〜約150トール、好ましくは約1トール〜約100トール、より好ましくは約10トール〜約50トール、より好ましくは約20トール〜約40トールの範囲内の内部圧力を有してもよい。一実施例では、含浸プロセスで使用されるプロセスチャンバは、バリア層を堆積するのに使用されたのと同じプロセスチャンバであってもよい。別の実施例では、含浸プロセスで使用されるプロセスチャンバは、ステップ230で後続の核形成層を堆積するのに使用される。   [0041] Process conditions such as temperature and pressure set in step 210 may be used in step 220. In one embodiment, the process chamber is about 100 ° C. to about 600 ° C., preferably about 200 ° C. to about 600 ° C., more preferably about 300 ° C. to about 300 ° C. in steps 210, 220, 230 and / or 240 of process 200. It is adjusted to heat the substrate at a temperature in the range of 500 ° C, more preferably from about 350 ° C to about 420 ° C, more preferably from about 375 ° C to about 500 ° C. The process chamber has an internal pressure in the range of about 1 Torr to about 150 Torr, preferably about 1 Torr to about 100 Torr, more preferably about 10 Torr to about 50 Torr, more preferably about 20 Torr to about 40 Torr. You may have. In one example, the process chamber used in the impregnation process may be the same process chamber used to deposit the barrier layer. In another example, the process chamber used in the impregnation process is used to deposit a subsequent nucleation layer at step 230.

[0042]ステップ220において、基板表面は、還元剤および任意のキャリアガスを含有する含浸プロセスに曝される。還元剤は基板表面、つまりバリア層を吸収し、および/またはこれと反応して、処置表面を形成する。処置表面は、平滑かつより均一なタングステン層全体により迅速な堆積プロセスを提供する。還元剤は、シラン化合物、ボラン化合物、ホスフィン化合物、アンモニア、アミン化合物、水素、これらの誘導体、これらの混合物またはこれらの組合せを含んでもよい。シラン化合物は、シラン、ジシラン、トリシラン、テトラシラン、クロロシラン、ジクロロシラン、テトラクロロシラン、ヘキサクロロジシラン、メチルシランまたはこれらの誘導体を含むのに対して、ボラン化合物は、ボラン、ジボラン、トリボラン、テトラボラン、ペンタボラン、アルキルボラン(例えば、トリエチルボラン)またはこれらの誘導体を含んでいる。好ましい還元剤は、シラン、ジシラン、ジボランおよび水素を含んでいる。キャリアガスが還元剤と同時に流されてもよい。キャリアガスは、水素、窒素、アルゴン、ヘリウム、これらの誘導体、これらの混合物またはこれらの組合せを含んでいる。   [0042] In step 220, the substrate surface is exposed to an impregnation process containing a reducing agent and optional carrier gas. The reducing agent absorbs and / or reacts with the substrate surface, i.e. the barrier layer, to form a treatment surface. The treatment surface provides a quicker deposition process with a smoother and more uniform entire tungsten layer. The reducing agent may include silane compounds, borane compounds, phosphine compounds, ammonia, amine compounds, hydrogen, derivatives thereof, mixtures thereof, or combinations thereof. Silane compounds include silane, disilane, trisilane, tetrasilane, chlorosilane, dichlorosilane, tetrachlorosilane, hexachlorodisilane, methylsilane or their derivatives, whereas borane compounds are borane, diborane, triborane, tetraborane, pentaborane, alkyl It contains borane (eg triethylborane) or derivatives thereof. Preferred reducing agents include silane, disilane, diborane and hydrogen. The carrier gas may be flowed simultaneously with the reducing agent. The carrier gas includes hydrogen, nitrogen, argon, helium, derivatives thereof, mixtures thereof, or combinations thereof.

[0043]基板は、約1秒〜約90秒、好ましくは約5秒〜約60秒、より好ましくは約10秒〜約30秒、より好ましくは約15秒〜約20秒の範囲内の期間、ステップ220の事前含浸プロセスにおいて事前含浸ガスまたは還元ガスに曝されてもよい。基板上に配置されているバリア層は還元され、および/または還元剤を吸収して、後続の核形成層に対して調整層を形成する。ALD核形成プロセス前に実行される一実施例では、水素中の約300sccmの5vol%ジボランが、約30秒間約15トールかつ約350℃で基板に曝される。ALD核形成プロセス前に実行される別の実施例では、水素中の約300sccmの5vol%ジボランおよび約2slmの水素が、約20秒間約10トールかつ約300℃で基板に曝される。パルスCVD核形成プロセス前に実行される一実施例では、水素中の約200sccmの5vol%ジボランが、約24秒間約90トールかつ約400℃で基板に曝される。   [0043] The substrate has a duration in the range of about 1 second to about 90 seconds, preferably about 5 seconds to about 60 seconds, more preferably about 10 seconds to about 30 seconds, more preferably about 15 seconds to about 20 seconds. , The pre-impregnation process of step 220 may be exposed to a pre-impregnation gas or a reducing gas. The barrier layer disposed on the substrate is reduced and / or absorbs the reducing agent to form a conditioning layer for subsequent nucleation layers. In one example performed prior to the ALD nucleation process, about 300 sccm of 5 vol% diborane in hydrogen is exposed to the substrate at about 15 Torr and about 350 ° C. for about 30 seconds. In another example performed prior to the ALD nucleation process, about 300 sccm of 5 vol% diborane and about 2 slm hydrogen in hydrogen are exposed to the substrate at about 10 Torr and about 300 ° C. for about 20 seconds. In one example performed prior to the pulsed CVD nucleation process, about 200 sccm of 5 vol% diborane in hydrogen is exposed to the substrate at about 90 Torr and about 400 ° C. for about 24 seconds.

[0044]ステップ230において、核形成層が基板表面上、つまり処置バリア層上に堆積される。核形成層は、ALD、CVDおよび/またはパルスCVDを含む気相堆積プロセスで堆積されてもよい。核形成層を堆積するのに使用されるプロセスチャンバは、ステップ220および240で説明された含浸プロセスで使用されるのと同じプロセスチャンバであってもよい。核形成層は、タングステン、タングステン合金、タングステン含有材料(例えば、ホウ化タングステンやタングステンシリサイド)およびこれらの組合せを含有してもよい。核形成層は通常、約2Å〜約200Åの範囲内の厚さに堆積される。一実施例では、WFおよびBを利用するALDプロセスが、約2Å〜約20Åの範囲内、例えば約12Åの厚さの核形成層を堆積する。別の実施例では、WFおよびSiHを利用するALDプロセスは、約2Å〜約50Åの範囲内、例えば約30Åの厚さの核形成層を堆積する。別の実施例では、WFおよびSiHまたはWFおよびBを利用するパルスCVD(例えば、同時流れ)プロセスは、約2Å〜約200Åの範囲内、例えば約50Åの厚さの核形成層を堆積する。好ましくは、プロセス100における、本明細書に説明されているようなALDプロセスが核形成層を堆積するために使用されてもよい。 [0044] In step 230, a nucleation layer is deposited on the substrate surface, ie, the treatment barrier layer. The nucleation layer may be deposited by a vapor deposition process including ALD, CVD and / or pulsed CVD. The process chamber used to deposit the nucleation layer may be the same process chamber used in the impregnation process described in steps 220 and 240. The nucleation layer may contain tungsten, a tungsten alloy, a tungsten-containing material (eg, tungsten boride or tungsten silicide), and combinations thereof. The nucleation layer is typically deposited to a thickness in the range of about 2 to about 200 inches. In one example, an ALD process utilizing WF 6 and B 2 H 6 deposits a nucleation layer in the range of about 2 to about 20 inches, for example about 12 inches. In another example, an ALD process utilizing WF 6 and SiH 4 deposits a nucleation layer in the range of about 2 to about 50 inches, for example about 30 inches. In another example, a pulsed CVD (eg, co-flow) process utilizing WF 6 and SiH 4 or WF 6 and B 2 H 6 is performed in a range of about 2 to about 200 inches, for example, about 50 inches thick. A forming layer is deposited. Preferably, an ALD process as described herein in process 100 may be used to deposit the nucleation layer.

[0045]ステップ240において、基板表面は、還元剤および任意のキャリアガスを含有する事後含浸プロセスつまり第2の含浸プロセスに曝される。還元剤は基板表面、つまり核形成層を吸収し、および/またはこれと反応して、処置表面を形成する。上記のような還元剤または還元化合物はまたシラン化合物、ボラン化合物、ホスフィン化合物、アンモニア、アミン化合物、水素、これらの誘導体、これらの混合物またはこれらの組合せを含んでもよい。キャリアガスは、還元剤または還元化合物と同時に流されてもよく、また水素、窒素、アルゴンまたはこれらの組合せを含んでもよい。   [0045] In step 240, the substrate surface is exposed to a post-impregnation process or second impregnation process containing a reducing agent and optional carrier gas. The reducing agent absorbs and / or reacts with the substrate surface, ie the nucleation layer, to form a treatment surface. The reducing agent or reducing compound as described above may also include silane compounds, borane compounds, phosphine compounds, ammonia, amine compounds, hydrogen, derivatives thereof, mixtures thereof, or combinations thereof. The carrier gas may be flowed simultaneously with the reducing agent or reducing compound and may include hydrogen, nitrogen, argon, or combinations thereof.

[0046]基板は、約1秒〜約90秒、好ましくは約5秒〜約60秒、より好ましくは約10秒〜約30秒、より好ましくは約15秒〜約20秒の範囲内の期間、ステップ240の事後含浸プロセス時に事後含浸ガスまたは還元ガスに曝されてもよい。一実施形態では、基板表面は事後含浸ガスに曝されてもよいのに対して、基板は、事後含浸プロセス時に、約100℃〜約600℃、好ましくは約200℃〜約600℃、より好ましくは約300℃〜約500℃、より好ましくは約350℃〜約420℃、より好ましくは約375℃〜約500℃の範囲内の温度に加熱される。約1トール〜約150トール、好ましくは約1トール〜約100トール、より好ましくは約10トール〜約50トール、より好ましくは約20トール〜約40トールの範囲内の内部圧力を有するプロセスチャンバが維持される。基板上に配置されているバリア層は還元され、および/または還元剤を吸収し、後続のバルク層に対する調整層を形成する。   [0046] The substrate has a duration in the range of about 1 second to about 90 seconds, preferably about 5 seconds to about 60 seconds, more preferably about 10 seconds to about 30 seconds, more preferably about 15 seconds to about 20 seconds. , May be exposed to a post-impregnation gas or a reducing gas during the post-impregnation process of step 240. In one embodiment, the substrate surface may be exposed to a post-impregnation gas, whereas the substrate is about 100 ° C. to about 600 ° C., preferably about 200 ° C. to about 600 ° C., more preferably during the post-impregnation process. Is heated to a temperature in the range of about 300 ° C to about 500 ° C, more preferably about 350 ° C to about 420 ° C, more preferably about 375 ° C to about 500 ° C. A process chamber having an internal pressure in the range of about 1 Torr to about 150 Torr, preferably about 1 Torr to about 100 Torr, more preferably about 10 Torr to about 50 Torr, more preferably about 20 Torr to about 40 Torr; Maintained. The barrier layer disposed on the substrate is reduced and / or absorbs the reducing agent to form a conditioning layer for subsequent bulk layers.

[0047]基板は、水素ガスおよびハイドライド化合物を含有する含浸ガス(例えば、事後含浸ガス)または還元ガス、例えばシラン、ジシラン、ボラン、ジボラン、ホスフィン、これらの誘導体またはこれらの組合せなどに曝される。一実施形態では、還元ガスは、約40:1以上、好ましくは約100:1以上、より好ましくは約500:1以上、より好ましくは800:1以上の水素/ハイドライド流量比を含有する。ハイドライド化合物(例えば、ジボラン)は、約1sccm〜約40sccm、好ましくは約3sccm〜約30sccm、より好ましくは約5sccm〜約15sccmの範囲内の流量を有してもよい。還元ガスは、約1slm〜約20slm、好ましくは約3slm〜約15slm、より好ましくは約5slm〜約10slmの範囲内の流量を有する水素ガスを含有する。   [0047] The substrate is exposed to an impregnation gas (eg, post-impregnation gas) or a reducing gas containing hydrogen gas and a hydride compound, such as silane, disilane, borane, diborane, phosphine, derivatives thereof, or combinations thereof. . In one embodiment, the reducing gas contains a hydrogen / hydride flow ratio of about 40: 1 or higher, preferably about 100: 1 or higher, more preferably about 500: 1 or higher, more preferably 800: 1 or higher. The hydride compound (eg, diborane) may have a flow rate in the range of about 1 sccm to about 40 sccm, preferably about 3 sccm to about 30 sccm, more preferably about 5 sccm to about 15 sccm. The reducing gas contains hydrogen gas having a flow rate in the range of about 1 slm to about 20 slm, preferably about 3 slm to about 15 slm, more preferably about 5 slm to about 10 slm.

[0048]ALD核形成プロセス後に実行される一実施例では、水素中の約300sccmの5vol%ジボランが、約30秒間約30トールかつ約300℃で基板に曝されてもよい。パルスCVD核形成プロセス後に実行される別の実施例では、水素中の約200sccmの5vol%ジボランおよび約3slmの水素が約30秒間約15トールかつ約400℃で基板に曝される。パルスCVD核形成プロセス後に実行される別の実施例では、ジボラン中の約300sccmの5vol%ジボランが、約20秒間約90トールかつ約400℃で基板に曝される。   [0048] In one example performed after the ALD nucleation process, about 300 sccm of 5 vol% diborane in hydrogen may be exposed to the substrate at about 30 Torr and about 300 ° C. for about 30 seconds. In another example performed after the pulsed CVD nucleation process, about 200 sccm of 5 vol% diborane and about 3 slm hydrogen in hydrogen are exposed to the substrate at about 15 Torr and about 400 ° C. for about 30 seconds. In another example performed after the pulsed CVD nucleation process, about 300 sccm of 5 vol% diborane in diborane is exposed to the substrate at about 90 Torr and about 400 ° C. for about 20 seconds.

[0049]ステップ250において、バルク層が基板表面、つまり処置核形成層に堆積される。バルク層は、CVDまたはパルスCVDを含む気相堆積プロセスで堆積されてもよい。バルク層を堆積するために使用されるプロセスチャンバは、ステップ240で説明されるような事後含浸プロセスで使用されるのと同じプロセスチャンバであってもよい。バルク層はタングステン、タングステン合金、タングステン含有材料(例えば、ホウ化タングステン、タングステンシリサイドまたはリン化タングステン)およびこれらの組合せを含有する。バルク層は通常、約100Å〜約10,000Å、好ましくは約1,000Å〜約5,000Åの範囲内の厚さに堆積される。一実施例では、WFおよびBを利用するCVDプロセスが、処置核形成層上にバルク層を堆積するために使用される。別の実施例では、WFおよびSiHを利用するCVDプロセスが、処置核形成層上にバルク層を堆積するために使用される。別の実施例では、タングステンソースを利用するPVDプロセスが、処置核形成層上にバルク層を堆積するために使用される。タングステン核形成層を含浸して、タングステンバルク層をこの上に堆積するためのプロセスが、参照によって本明細書に組み込まれている、共同出願人による米国特許第6,156,382号にさらに説明されている。 [0049] In step 250, a bulk layer is deposited on the substrate surface, ie, the treatment nucleation layer. The bulk layer may be deposited by a vapor deposition process including CVD or pulsed CVD. The process chamber used to deposit the bulk layer may be the same process chamber used in the post-impregnation process as described in step 240. The bulk layer contains tungsten, a tungsten alloy, a tungsten-containing material (eg, tungsten boride, tungsten silicide, or tungsten phosphide) and combinations thereof. The bulk layer is typically deposited to a thickness in the range of about 100 to about 10,000, preferably about 1,000 to about 5,000. In one example, a CVD process utilizing WF 6 and B 2 H 6 is used to deposit a bulk layer over the treated nucleation layer. In another example, a CVD process utilizing WF 6 and SiH 4 is used to deposit a bulk layer on the treated nucleation layer. In another example, a PVD process utilizing a tungsten source is used to deposit a bulk layer on the treatment nucleation layer. A process for impregnating a tungsten nucleation layer and depositing a tungsten bulk layer thereon is further described in co-assigned US Pat. No. 6,156,382, which is incorporated herein by reference. Has been.

[0050]代替実施形態では、タングステン含有膜が2つ以上の核形成層を堆積することによって堆積され、また複数のサイクルの気相堆積プロセスを含んでもよい。一実施例では、バリア層は、パルスCVDプロセスによって第1の核形成層を形成する前に含浸プロセスに曝されてもよい。プロセスチャンバは約400℃〜約450℃の範囲内、例えば約425℃の温度に加熱されて、約10トール〜約20トールの範囲内、例えば約15トールの圧力に維持される。パルスCVDプロセスは、約1秒〜約3秒、例えば約1.5秒の期間、タングステン含有化合物および還元剤の同時流に基板を曝すステップを含んでもよい。試薬流は、約30sccm〜約90sccm、例えば約60sccmの流量のタングステン含有化合物を含むのに対して、還元剤は、約10sccm〜約50sccm、例えば約30sccmの流量である。同時流は停止されてプロセスチャンバはパージされる。次いで還元剤は、約1秒〜約3秒、例えば約1秒の期間チャンバにパルスされる。還元剤は、約10sccm〜約50sccm、例えば約30sccmの流量を有してもよい。還元剤流は停止されて、チャンバは約0.5秒間パージされる。所定の厚さの第1の核形成層が形成されるまでサイクルが反復される。例えば、プロセスは、約30Åの厚さの層を形成するために3回反復される。好ましいタングステン含有化合物は六フッ化タングステンであり、好ましい還元剤はシランおよび/またはジボランである。還元剤は、第1の核形成層の堆積の前後の含浸プロセス時に場合により使用される。   [0050] In an alternative embodiment, the tungsten-containing film is deposited by depositing two or more nucleation layers and may include multiple cycles of a vapor deposition process. In one example, the barrier layer may be exposed to an impregnation process prior to forming the first nucleation layer by a pulsed CVD process. The process chamber is heated to a temperature in the range of about 400 ° C. to about 450 ° C., such as about 425 ° C., and maintained at a pressure in the range of about 10 Torr to about 20 Torr, such as about 15 Torr. The pulsed CVD process may include exposing the substrate to a simultaneous flow of tungsten-containing compound and reducing agent for a period of about 1 second to about 3 seconds, such as about 1.5 seconds. The reagent stream comprises a tungsten-containing compound at a flow rate of about 30 seem to about 90 seem, for example about 60 seem, whereas the reducing agent is at a flow rate of about 10 seem to about 50 seem, for example about 30 seem. The simultaneous flow is stopped and the process chamber is purged. The reducing agent is then pulsed into the chamber for a period of about 1 second to about 3 seconds, for example about 1 second. The reducing agent may have a flow rate between about 10 seem and about 50 seem, for example about 30 seem. The reducing agent flow is stopped and the chamber is purged for about 0.5 seconds. The cycle is repeated until a first nucleation layer of a predetermined thickness is formed. For example, the process is repeated three times to form a layer about 30 mm thick. The preferred tungsten-containing compound is tungsten hexafluoride and the preferred reducing agent is silane and / or diborane. A reducing agent is optionally used during the impregnation process before and after the deposition of the first nucleation layer.

[0051]第2の核形成層が第1の核形成層上に形成されてもよい。プロセスチャンバは同一温度に保たれてもよいが、プロセスチャンバの圧力は普通増大される。プロセスチャンバは約400℃〜約450℃の範囲内、例えば約425℃の温度に維持され、また圧力は、約20トール〜約50トールの範囲内、例えば約30トールである。CVDプロセスは、約4秒〜約8秒間、例えば約6秒間タングステン含有化合物および還元剤の同時流に基板を曝すステップを含んでもよい。試薬流は、約30sccm〜約70sccm、例えば約50sccmの流量のタングステン含有化合物を含んでいるのに対して、還元剤は、約10sccm〜約50sccm、例えば約25sccmの流量を有する。第2の核形成層が第1の核形成層上に堆積され、約50Å〜約1,000Å、好ましくは約150Å〜約300Åの範囲内の厚さを有する。好ましいタングステン含有化合物は六フッ化タングステンであり、好ましい還元剤はシランおよび/またはジボランである。還元剤は、第2の核形成層の堆積の前後に含浸プロセスで場合により使用される。   [0051] A second nucleation layer may be formed on the first nucleation layer. The process chambers may be kept at the same temperature, but the pressure in the process chamber is usually increased. The process chamber is maintained at a temperature in the range of about 400 ° C. to about 450 ° C., such as about 425 ° C., and the pressure is in the range of about 20 Torr to about 50 Torr, such as about 30 Torr. The CVD process may include exposing the substrate to a simultaneous flow of tungsten-containing compound and reducing agent for about 4 seconds to about 8 seconds, for example about 6 seconds. The reagent stream includes a tungsten-containing compound at a flow rate of about 30 seem to about 70 seem, for example about 50 seem, whereas the reducing agent has a flow rate of about 10 seem to about 50 seem, for example about 25 seem. A second nucleation layer is deposited on the first nucleation layer and has a thickness in the range of about 50 to about 1,000, preferably about 150 to about 300. The preferred tungsten-containing compound is tungsten hexafluoride and the preferred reducing agent is silane and / or diborane. A reducing agent is optionally used in the impregnation process before and after the deposition of the second nucleation layer.

[0052]最後に、バルク層が第2の核形成層上に堆積されてもよい。バルク層は従来のCVDプロセスで堆積されてもよい。一実施例では、プロセスチャンバは約400℃〜約450℃の範囲内、例えば約425℃の温度に維持され、圧力は約100トール〜約350トールの範囲内、例えば約200トールに維持される。試薬流は、約200sccm〜約800sccm、例えば約400sccmの流量のタングステン含有化合物を含んでいるのに対して、還元剤は約2,000sccm〜約8,000sccm、例えば約4,000sccmの流量を有する。好ましいタングステン含有化合物は六フッ化タングステンであり、好ましい還元剤は水素である。   [0052] Finally, a bulk layer may be deposited on the second nucleation layer. The bulk layer may be deposited by a conventional CVD process. In one embodiment, the process chamber is maintained at a temperature in the range of about 400 ° C. to about 450 ° C., such as about 425 ° C., and the pressure is maintained in the range of about 100 Torr to about 350 Torr, such as about 200 Torr. . The reagent stream includes a tungsten-containing compound at a flow rate of about 200 seem to about 800 seem, for example about 400 seem, whereas the reducing agent has a flow rate of about 2,000 seem to about 8,000 seem, for example about 4,000 seem. . A preferred tungsten-containing compound is tungsten hexafluoride and a preferred reducing agent is hydrogen.

プロセス集積
[0053]上記のタングステン核形成層は、従来のバルク充填技術と一体化されて膜特性の優れた特徴部を形成する場合に具体的な実用性を示してきた。集積スキームは核形成層を堆積するためにALDやパルスCVDプロセスを含むことができるのに対して、バルク層はCVDやPVDプロセスで堆積可能である。このような集積スキームを実行可能な集積処理システムはENDURA(登録商標)、ENDURA SL(登録商標)、CENTURA(登録商標)およびPRODUCER(登録商標)処理システムを含み、各々はカリフォルニア州サンタクララにあるApplied Materials,Inc.から入手可能である。これらのシステムのいずれも、核形成層を堆積するための少なくとも1つのALDまたはパルスCVDチャンバ、バルク充填を堆積するための少なくとも1つのCVDチャンバおよび/または追加材料用の少なくとも1つのPVDチャンバを含むように構成されてもよい。
Process integration
[0053] The above tungsten nucleation layer has been shown to have a practical utility when integrated with conventional bulk filling techniques to form features with excellent film properties. Integration schemes can include ALD or pulsed CVD processes to deposit nucleation layers, whereas bulk layers can be deposited by CVD or PVD processes. Integrated processing systems that can implement such an integration scheme include ENDURA®, ENDURA SL®, CENTURA®, and PRODUCER® processing systems, each in Santa Clara, California Applied Materials, Inc. Is available from Any of these systems include at least one ALD or pulsed CVD chamber for depositing a nucleation layer, at least one CVD chamber for depositing bulk fill, and / or at least one PVD chamber for additional material. It may be configured as follows.

[0054]図3Aは、例示的マルチチャンバ処理システム300の概略平面図である。類似のマルチチャンバ処理システムが、参照によって本明細書に組み込まれている、共同出願人による米国特許第5,186,718号に開示されている。システム300は概して、システム300に対して基板を移送するためのロードロックチャンバ302、304を含んでいる。通常、システム300は真空下にあるため、ロードロックチャンバ302、304は、システム300に導入された基板を「ポンプダウン」することができる。第1のロボット310は、ロードロックチャンバ302、304と第1のセットの1つ以上の基板処理チャンバ312、314、316、318(4つが示されている)の間に基板を移送してもよい。各処理チャンバ312、314、316、318は、周期層堆積、CVD、PVD、エッチング、プレクリーニング、脱ガス化、配向および他の基板プロセスなどの多数の基板処理動作を実行するようになっていてもよい。第1のロボット310はまた、1つ以上の移送チャンバ322、324に対して基板を移送する。   [0054] FIG. 3A is a schematic plan view of an exemplary multi-chamber processing system 300. FIG. A similar multi-chamber processing system is disclosed in co-assigned US Pat. No. 5,186,718, which is incorporated herein by reference. System 300 generally includes load lock chambers 302, 304 for transferring substrates to system 300. Since the system 300 is typically under vacuum, the load lock chambers 302, 304 can “pump down” substrates introduced into the system 300. The first robot 310 may also transfer substrates between the load lock chambers 302, 304 and the first set of one or more substrate processing chambers 312, 314, 316, 318 (four are shown). Good. Each processing chamber 312, 314, 316, 318 is adapted to perform a number of substrate processing operations such as periodic layer deposition, CVD, PVD, etching, pre-cleaning, degassing, orientation and other substrate processes. Also good. The first robot 310 also transfers the substrate to one or more transfer chambers 322, 324.

[0055]移送チャンバ322、324は、システム300内に基板が移送されるようにする一方で、超高真空条件を維持するために使用される。第2のロボット330は、移送チャンバ322、324と第2のセットの1つ以上の処理チャンバ332、334、336、338の間に基板を移送してもよい。処理チャンバ312、314、316、318と同様に、処理チャンバ332、334、336、338は、例えば周期堆積、CVD、PVD、エッチング、プレクリーニング、脱ガス化および配向などの多様な基板処理動作を実行するようになっていてもよい。基板処理チャンバ312、314、316、318、332、334、336、338のいずれも、システム300によって実行される具体的なプロセスに必要ならばシステム300から除去されてもよい。   [0055] Transfer chambers 322, 324 are used to maintain ultra-high vacuum conditions while allowing substrates to be transferred into system 300. The second robot 330 may transfer the substrate between the transfer chambers 322, 324 and the second set of one or more processing chambers 332, 334, 336, 338. Similar to the processing chambers 312, 314, 316, 318, the processing chambers 332, 334, 336, 338 perform various substrate processing operations such as periodic deposition, CVD, PVD, etching, pre-cleaning, degassing, and orientation, for example. It may be designed to execute. Any of the substrate processing chambers 312, 314, 316, 318, 332, 334, 336, 338 may be removed from the system 300 as needed for the specific processes performed by the system 300.

[0056]一構造では、各処理チャンバ332および338は、核形成層を堆積するように適合されている周期堆積チャンバであってもよく、各処理チャンバ334および336は、バルク層を形成するように適合されている周期堆積チャンバ、化学気相堆積チャンバまたは物理気相堆積チャンバであってもよく、各処理チャンバ312および314は、誘電層を堆積するように適合されている物理気相堆積チャンバ、化学気相堆積チャンバまたは周期堆積チャンバであってもよく、各処理チャンバ316および318は、相互接続特徴部用のアパーチャーや開口をエッチングするようになっているエッチングチャンバであってもよい。システム300のこの具体的な構造は本発明を図示するために提供されており、本発明の範囲を制限するために使用されるべきではない。   [0056] In one structure, each processing chamber 332 and 338 may be a periodic deposition chamber adapted to deposit a nucleation layer, and each processing chamber 334 and 336 may form a bulk layer. Each processing chamber 312 and 314 may be a physical vapor deposition chamber adapted to deposit a dielectric layer, which may be a periodic deposition chamber, a chemical vapor deposition chamber or a physical vapor deposition chamber adapted to A chemical vapor deposition chamber or a periodic deposition chamber, and each processing chamber 316 and 318 may be an etching chamber adapted to etch apertures and openings for interconnect features. This specific structure of system 300 is provided to illustrate the invention and should not be used to limit the scope of the invention.

[0057]別の集積システムが、核形成堆積ならびにバルク充填堆積を単一チャンバに含むことがある。周期堆積モードならびに従来のCVDモードの両方で動作するように構成されているチャンバが使用可能である。このようなチャンバの一例が、参照によって本明細書に組み込まれている、共同出願人による米国特許第6,878,206号に説明されている。   [0057] Another integrated system may include nucleation deposition as well as bulk fill deposition in a single chamber. Chambers configured to operate in both periodic deposition mode as well as conventional CVD mode can be used. An example of such a chamber is described in co-assigned US Pat. No. 6,878,206, which is incorporated herein by reference.

[0058]別の集積スキームでは、1つ以上の周期堆積核形成チャンバが第1の処理システムに集積されるのに対して、1つ以上のバルク層堆積チャンバが第2の処理システムに集積される。本構成では、基板はまず第1のシステムで処理されて、ここで核形成層が基板上に堆積される。その後、基板は第2の処理システムに移動されて、ここでバルク堆積が生じる。   [0058] In another integration scheme, one or more periodic deposition nucleation chambers are integrated into the first processing system, while one or more bulk layer deposition chambers are integrated into the second processing system. The In this configuration, the substrate is first processed in a first system, where a nucleation layer is deposited on the substrate. Thereafter, the substrate is moved to a second processing system where bulk deposition occurs.

[0059]図3Bは、例示的マルチチャンバ処理システム350の概略平面図である。システム350は概して、システム350に対して基板を移送するためのロードロックチャンバ352、354を含んでいる。通常、システム350は真空下にあるため、ロードロックチャンバ352、354は、システム350に導入された基板を「ポンプダウン」することができる。ロボット360は、ロードロックチャンバ352、354と基板処理チャンバ362、364、366、368、370および372間に基板を移送してもよい。各処理チャンバ362、364、366、368、370および372は、周期層堆積、CVD、PVD、エッチング、プレクリーニング、脱ガス化、加熱、配向および他の基板プロセスなどの多数の基板処理動作を実行するようになっていてもよい。ロボット360はまた移送チャンバ356に対して基板を移送する。基板処理チャンバ362、364、366、368、370および372のいずれも、システム350によって実行される具体的なプロセスに必要でないならばシステム350から除去されてもよい。   [0059] FIG. 3B is a schematic plan view of an exemplary multi-chamber processing system 350. FIG. System 350 generally includes load lock chambers 352, 354 for transferring substrates to system 350. Since the system 350 is typically under vacuum, the load lock chambers 352, 354 can “pump down” the substrates introduced into the system 350. The robot 360 may transfer substrates between the load lock chambers 352, 354 and the substrate processing chambers 362, 364, 366, 368, 370 and 372. Each processing chamber 362, 364, 366, 368, 370 and 372 performs a number of substrate processing operations such as periodic layer deposition, CVD, PVD, etching, pre-cleaning, degassing, heating, orientation and other substrate processes. You may come to do. The robot 360 also transfers the substrate to the transfer chamber 356. Any of the substrate processing chambers 362, 364, 366, 368, 370, and 372 may be removed from the system 350 if not required for the specific processes performed by the system 350.

[0060]一構造では、各処理チャンバ364および370は、核形成層を堆積するように適合されている周期堆積チャンバであってもよく、各処理チャンバ366および368は、バルク充填堆積層を形成するように適合されている周期堆積チャンバ、化学気相堆積チャンバまたは物理気相堆積チャンバであってもよい。システム350の具体的な構造は本発明を図示するために提供されており、本発明の範囲を制限するために使用されるべきではない。   [0060] In one configuration, each processing chamber 364 and 370 may be a periodic deposition chamber adapted to deposit a nucleation layer, and each processing chamber 366 and 368 forms a bulk-filled deposition layer. It may be a periodic deposition chamber, a chemical vapor deposition chamber or a physical vapor deposition chamber that is adapted to do so. The specific structure of system 350 is provided to illustrate the invention and should not be used to limit the scope of the invention.

[0061]代替的に、単一チャンバ内に複数のステーションを有するカルーセルタイプバッチ処理システムが、核形成およびバルク層堆積を単一処理システムに組み込むように適合可能である。このような処理システムでは、アルゴンガスカーテンなどのパージガスカーテンが各ステーション間に確立可能であり、各ステーションで微小または小型環境を作成する。基板はシステムに順次ロードされてから、各ステーションで回転されて、また各ステーションで少なくとも部分的に処理される。例えば、基板は、第1のステーションの周期堆積核形成ステップに、次いで後続ステーションの各々で部分的バルク充填CVDステップに曝されてもよい。代替的に、核形成が2つ以上のステーションで生じることもあり、またバルク充填が1つ以上のステーションで生じることもある。さらにまた、核形成層およびバルク層は別個のカルーセルタイプシステムで堆積されてもよい。別の態様では、含浸および核形成ステップがあるカルーセルで完了されるのに対して、バルクステップは別のカルーセルで行われ、両カルーセルは同一プロセスシステムの一部である。各プラテンは、各ステーションで少なくともなんらかのプロセスコントロールを提供するように温度コントロール可能である。しかしながら、ステーションは単一チャンバ内に収容されているため、プロセス圧力は通常ステーション間で同じままである。不活性ガスカーテンによって各ステーションにある微小または小型環境において何らかの圧力コントロールが使用可能である。   [0061] Alternatively, a carousel-type batch processing system having multiple stations in a single chamber can be adapted to incorporate nucleation and bulk layer deposition into a single processing system. In such a processing system, a purge gas curtain, such as an argon gas curtain, can be established between each station, creating a micro or small environment at each station. Substrates are loaded sequentially into the system and then rotated at each station and processed at least partially at each station. For example, the substrate may be exposed to a periodic deposition nucleation step at a first station and then to a partial bulk fill CVD step at each subsequent station. Alternatively, nucleation can occur at more than one station, and bulk filling can occur at more than one station. Furthermore, the nucleation layer and the bulk layer may be deposited in separate carousel type systems. In another embodiment, the impregnation and nucleation steps are completed in one carousel, while the bulk step is performed in another carousel, both carousels being part of the same process system. Each platen can be temperature controlled to provide at least some process control at each station. However, since the stations are housed in a single chamber, the process pressure usually remains the same between the stations. Some pressure control can be used in a micro or small environment at each station by an inert gas curtain.

[0062]集積スキームに関係なく、核形成層は約2Å〜約200Å、例えば約5Å〜約100Åの範囲内の厚さを有してもよく、またバルク層は約100Å〜約10,000Å、例えば約1,000Å〜約5,000Åの範囲内の厚さを有してもよい。しかしながら、これらの膜の厚さは、所与の用途の特徴部サイズおよびアスペクト比に応じて変化することがある。従って、膜は所与の用途の形状に対応するように適切にサイズ設定される。以下は、本明細書に説明されている実施形態に従って堆積された核形成層から利点を享受可能な例示的形状および用途である。以下の説明は図示目的にすぎず、本発明の使用を制限することを意図していない。   [0062] Regardless of the integration scheme, the nucleation layer may have a thickness in the range of about 2 to about 200, such as about 5 to about 100, and the bulk layer is about 100 to about 10,000, For example, it may have a thickness in the range of about 1,000 to about 5,000. However, the thickness of these films can vary depending on the feature size and aspect ratio for a given application. Thus, the membrane is appropriately sized to accommodate the shape of a given application. The following are exemplary shapes and applications that can benefit from a nucleation layer deposited according to the embodiments described herein. The following description is for illustrative purposes only and is not intended to limit the use of the present invention.

[0063]図4A〜図4Cは、プロセスの一実施形態がビア460を充填するために利用される半導体特徴部の断面図である。図4Aでは、基板450は少なくとも1つのビア460を含む。バリア層451はALD、CVDまたはPVD技術によって、ビア460を具備する基板450に堆積されてもよい。バリア層451は、チタン、窒化チタン、タンタル、窒化タンタル、タングステン、窒化タングステン、これらのホウ化物、これらのシリサイド、これらの合金またはこれらの組合せを含有してもよい。タングステン層452の核形成前に、図4Bに描かれているように、含浸プロセスがバリア層451に施されてもよい。含浸プロセスは、ビア460内のバリア層451の側壁に、ビア460外のバリア層451とほぼ同じレートでタングステン層452を接着および成長させる。含浸プロセスが省略される場合、側壁上のタングステン層452の成長は、ビア460外のタングステン層452の成長に対して一定ではない。タングステン層452の核形成が堆積されると、二次含浸つまり事後含浸プロセスがタングステン層452を処置するために行われる。事後含浸プロセスはタングステン層452を提供して、図4Cに明示されているように、ビア460を充填しつつより平滑に成長を継続する。一実施例では、ALDプロセスがタングステン核形成層の堆積後に継続されて、タングステンバルク層を堆積する。別の実施例では、ALDプロセスがタングステン核形成層を堆積するために使用され、CVDプロセスがタングステンバルク層を堆積するために使用される。別の実施例では、ALDプロセスがタングステン核形成層を堆積するために使用され、PVDプロセスがタングステンバルク層を堆積するために使用される。別の実施例では、パルスCVDプロセスがタングステン核形成層を堆積するために使用され、従来のCVDプロセスがタングステンバルク層を堆積するために使用される。別の実施例では、パルスCVDプロセスがタングステン核形成層を堆積するために使用され、PVDプロセスがタングステンバルク層を堆積するために使用される。   [0063] FIGS. 4A-4C are cross-sectional views of semiconductor features in which one embodiment of the process is utilized to fill vias 460. FIG. In FIG. 4A, the substrate 450 includes at least one via 460. The barrier layer 451 may be deposited on the substrate 450 with vias 460 by ALD, CVD or PVD techniques. The barrier layer 451 may contain titanium, titanium nitride, tantalum, tantalum nitride, tungsten, tungsten nitride, borides thereof, silicides thereof, alloys thereof, or combinations thereof. Prior to nucleation of the tungsten layer 452, an impregnation process may be applied to the barrier layer 451, as depicted in FIG. 4B. The impregnation process adheres and grows a tungsten layer 452 on the sidewalls of the barrier layer 451 in the via 460 at approximately the same rate as the barrier layer 451 outside the via 460. If the impregnation process is omitted, the growth of the tungsten layer 452 on the sidewall is not constant relative to the growth of the tungsten layer 452 outside the via 460. Once the nucleation of the tungsten layer 452 has been deposited, a secondary impregnation or post-impregnation process is performed to treat the tungsten layer 452. The post-impregnation process provides a tungsten layer 452 and continues to grow more smoothly while filling the via 460, as evidenced in FIG. 4C. In one embodiment, the ALD process is continued after deposition of the tungsten nucleation layer to deposit a tungsten bulk layer. In another example, an ALD process is used to deposit a tungsten nucleation layer and a CVD process is used to deposit a tungsten bulk layer. In another example, an ALD process is used to deposit a tungsten nucleation layer and a PVD process is used to deposit a tungsten bulk layer. In another example, a pulsed CVD process is used to deposit the tungsten nucleation layer and a conventional CVD process is used to deposit the tungsten bulk layer. In another example, a pulsed CVD process is used to deposit a tungsten nucleation layer and a PVD process is used to deposit a tungsten bulk layer.

タングステン金属ゲート
[0064]図5は、本明細書に説明されている実施形態に従って堆積された核形成層を利用する例示的金属酸化物ゲートデバイス400の断面図を示している。デバイス400は概して、スペーサー416と、基板表面412内に形成されたシリコンソース/ドレインエリア420とによって囲まれている暴露ゲート410を含んでいる。スペーサー416は通常、二酸化シリコンなどの酸化物や、窒化シリコンなどの窒化物を含んでいる。
Tungsten metal gate
[0064] FIG. 5 illustrates a cross-sectional view of an exemplary metal oxide gate device 400 that utilizes a nucleation layer deposited according to embodiments described herein. Device 400 generally includes an exposed gate 410 surrounded by a spacer 416 and a silicon source / drain area 420 formed in the substrate surface 412. The spacer 416 typically includes an oxide such as silicon dioxide or a nitride such as silicon nitride.

[0065]金属ゲート410は、酸化層411と、多結晶シリコン層414と、窒化チタンバリア層415とタングステン層422とを含んでいる。酸化層411は基板412を多結晶シリコン層414から分離する。酸化層411および多結晶シリコン層414は従来の堆積技術を使用して堆積される。   [0065] The metal gate 410 includes an oxide layer 411, a polysilicon layer 414, a titanium nitride barrier layer 415, and a tungsten layer 422. Oxide layer 411 separates substrate 412 from polycrystalline silicon layer 414. Oxide layer 411 and polycrystalline silicon layer 414 are deposited using conventional deposition techniques.

[0066]窒化チタンバリア層415は多結晶シリコン層414上に堆積される。窒化チタンバリア層415は、PVDチタン層、次いでCVD窒化チタン層を堆積することによって形成される二層スタックであってもよい。窒化チタンバリア層415はまた、参照によって本明細書に組み込まれている2001年12月21日に出願され、かつUS2003−0116087号として公開されている、共同出願人による米国出願第10/032,293号に示されかつ説明されているプロセスなどの周期堆積技術を使用して堆積されてもよい。   [0066] A titanium nitride barrier layer 415 is deposited on the polycrystalline silicon layer 414. The titanium nitride barrier layer 415 may be a bilayer stack formed by depositing a PVD titanium layer followed by a CVD titanium nitride layer. Titanium nitride barrier layer 415 is also filed on Dec. 21, 2001, which is hereby incorporated by reference, and published as US 2003-0116087, co-assigned US application Ser. No. 10/032, It may be deposited using periodic deposition techniques such as the process shown and described in No. 293.

[0067]含浸プロセスが基板表面に施される。含浸は、少なくとも1つのキャリアガスに伴ってシラン化合物またはボラン化合物を含む。好ましいシラン化合物はシランであり、好ましいボラン化合物はジボランであり、好ましいキャリアガスは水素、窒素および/またはアルゴンのいずれかである。一態様では、シランは約25sccm〜約500sccmの範囲内の流量を有しており、水素は約200sccm〜約700sccmの範囲内の流量を有する。含浸プロセスは約100℃〜約500℃の範囲内、好ましくは約300℃の温度で、約1トール〜約150トール、好ましくは約30トール〜約120トールの範囲内の圧力で、約1秒〜約90秒の期間、行われる。別の態様では、ジボランは約25sccm〜約500sccmの範囲内の流量を有しており、水素および/またはアルゴンは約200sccm〜約700sccmの範囲内の流量を有する。含浸プロセスは、約100℃〜約500℃の範囲内、好ましくは約300℃の温度で、約1トール〜約120トール、好ましくは約5トール〜約50トールの範囲内の圧力で、約1秒〜約90秒、好ましくは約60秒未満の期間、行われる。   [0067] An impregnation process is applied to the substrate surface. The impregnation includes a silane compound or a borane compound with at least one carrier gas. The preferred silane compound is silane, the preferred borane compound is diborane, and the preferred carrier gas is either hydrogen, nitrogen and / or argon. In one aspect, the silane has a flow rate in the range of about 25 seem to about 500 seem, and the hydrogen has a flow rate in the range of about 200 seem to about 700 seem. The impregnation process is carried out at a temperature in the range of about 100 ° C. to about 500 ° C., preferably at a temperature of about 300 ° C., at a pressure in the range of about 1 Torr to about 150 Torr, preferably about 30 Torr to about 120 Torr for about 1 second. Performed for a period of ~ 90 seconds. In another aspect, diborane has a flow rate in the range of about 25 seem to about 500 seem, and hydrogen and / or argon has a flow rate in the range of about 200 seem to about 700 seem. The impregnation process is performed at a temperature in the range of about 100 ° C. to about 500 ° C., preferably about 300 ° C., at a pressure in the range of about 1 Torr to about 120 Torr, preferably about 5 Torr to about 50 Torr. Second to about 90 seconds, preferably less than about 60 seconds.

[0068]一実施例では、核形成層417は次いで、含浸プロセスによる基板表面の処置に続いてバリア層415に周期的に堆積される。一態様では、核形成層417は、六フッ化タングステンおよびジボランの交互パルスを使用して周期的に堆積される。六フッ化タングステンはチャンバにパルスされてもよく、また基板は約1sccm〜約100sccm、好ましくは約5sccm〜約50sccmの範囲内のレートで、約0.3秒間曝される。アルゴンなどのキャリアガスは、約100sccm〜約1,000sccm、好ましくは約100sccm〜約500sccmの範囲内の流量で六フッ化タングステンに伴って提供される。ジボラン(例えば、H中5%)はチャンバにパルスされてもよく、また基板は約50sccm〜約1,000sccm、好ましくは約100sccm〜約400sccmの範囲内のレートで約0.3秒間曝される。水素ガスはチャンバにパルスされてもよく、基板は、約1slm〜約20slm、好ましくは約3slm〜約15slm、より好ましくは約5slm〜約10slmの範囲内のレートで曝される。基板は約100℃〜約400℃、好ましくは約300℃の温度に、約1トール〜約120トール、好ましくは約5トール〜約50トールのチャンバ圧力で維持されてもよい。六フッ化タングステンとジボランの両パルスにおいて、水素が約0.5秒間パルスされて、処理チャンバから反応性化合物をパージまたは除去する。 [0068] In one example, the nucleation layer 417 is then periodically deposited on the barrier layer 415 following treatment of the substrate surface by an impregnation process. In one aspect, the nucleation layer 417 is deposited periodically using alternating pulses of tungsten hexafluoride and diborane. Tungsten hexafluoride may be pulsed into the chamber and the substrate is exposed for about 0.3 seconds at a rate in the range of about 1 sccm to about 100 sccm, preferably about 5 sccm to about 50 sccm. A carrier gas such as argon is provided with tungsten hexafluoride at a flow rate in the range of about 100 seem to about 1,000 seem, preferably about 100 seem to about 500 seem. Diborane (eg, 5% in H 2 ) may be pulsed into the chamber, and the substrate is exposed for about 0.3 seconds at a rate in the range of about 50 seem to about 1,000 seem, preferably about 100 seem to about 400 seem. The Hydrogen gas may be pulsed into the chamber and the substrate is exposed at a rate in the range of about 1 slm to about 20 slm, preferably about 3 slm to about 15 slm, more preferably about 5 slm to about 10 slm. The substrate may be maintained at a temperature of about 100 ° C. to about 400 ° C., preferably about 300 ° C., with a chamber pressure of about 1 Torr to about 120 Torr, preferably about 5 Torr to about 50 Torr. In both tungsten hexafluoride and diborane pulses, hydrogen is pulsed for about 0.5 seconds to purge or remove reactive compounds from the processing chamber.

[0069]別の実施例では、核形成層417は、六フッ化タングステンおよびシランの交互パルスを使用して周期的に堆積される。六フッ化タングステンは約0.5秒間、アルゴンによって上記のようにパルスされる。シランは約1sccm〜約100sccm、例えば約5sccm〜約50sccmのレートで約0.5秒間パルスされる。水素などのキャリアガスが、約100sccm〜約1,000sccm、例えば約100sccm〜約500sccmのレートで、シランに伴って提供される。水素ガスはチャンバにパルスされてもよく、また基板は約1slm〜約20slm、好ましくは約3slm〜約15slm、より好ましくは約5slm〜約10slmの範囲内のレートで曝される。基板は約100℃〜約400℃、好ましくは約300℃の温度に、約1トール〜約30トールのチャンバ圧力で維持される。   [0069] In another example, the nucleation layer 417 is deposited periodically using alternating pulses of tungsten hexafluoride and silane. Tungsten hexafluoride is pulsed as described above with argon for about 0.5 seconds. Silane is pulsed at a rate of about 1 seem to about 100 seem, for example about 5 seem to about 50 seem for about 0.5 seconds. A carrier gas, such as hydrogen, is provided with the silane at a rate of about 100 seem to about 1,000 seem, for example about 100 seem to about 500 seem. Hydrogen gas may be pulsed into the chamber and the substrate is exposed at a rate in the range of about 1 slm to about 20 slm, preferably about 3 slm to about 15 slm, more preferably about 5 slm to about 10 slm. The substrate is maintained at a temperature of about 100 ° C. to about 400 ° C., preferably about 300 ° C., with a chamber pressure of about 1 Torr to about 30 Torr.

[0070]別の実施例では、核形成層417は、含浸プロセスによる基板表面の処置に続いて、バリア層415上にパルスCVDプロセスで堆積される。パルスCVDプロセスは、約300℃〜約500℃、好ましくは約400℃〜約450℃の範囲内の温度でWFおよびBまたはWFおよびSiHを同時に流すステップを含んでいる。パルスCVDプロセスは、約0.5秒〜約3秒、好ましくは約1.5秒の期間同時流前駆体をパルスする。 [0070] In another example, the nucleation layer 417 is deposited on the barrier layer 415 by a pulsed CVD process following treatment of the substrate surface by an impregnation process. The pulsed CVD process includes flowing WF 6 and B 2 H 6 or WF 6 and SiH 4 simultaneously at a temperature in the range of about 300 ° C. to about 500 ° C., preferably about 400 ° C. to about 450 ° C. The pulsed CVD process pulses the co-flow precursor for a period of about 0.5 seconds to about 3 seconds, preferably about 1.5 seconds.

[0071]第2の含浸プロセスつまり事後含浸プロセスが基板表面に施される。含浸プロセスは、少なくとも1つのキャリアガスに伴ってシラン化合物やボラン化合物などの還元剤を含んでいる。好ましくは、還元剤はジボランであり、好ましいキャリアガスは水素、窒素および/またはアルゴンである。一実施例では、水素中に5vol%のジボランを含有する還元ガスが、約100sccm〜約500sccmの範囲内、好ましくは約300sccmの流量を有する。一部の実施例では、基板は、還元ガスに伴って、約1slm〜約20slm、好ましくは約3slm〜約15slm、より好ましくは約5slm〜約10slmの範囲内の流量を有する水素ガスに曝されてもよい。含浸プロセスは、約100℃〜約500℃の範囲内、好ましくは約300℃の温度で、約1トール〜約120トール、好ましくは約10トール〜約50トールの範囲内の圧力で約1秒〜約90秒、好ましくは約30秒未満の期間、行われる。   [0071] A second or post-impregnation process is applied to the substrate surface. The impregnation process includes a reducing agent such as a silane compound or a borane compound with at least one carrier gas. Preferably, the reducing agent is diborane and the preferred carrier gas is hydrogen, nitrogen and / or argon. In one example, the reducing gas containing 5 vol% diborane in hydrogen has a flow rate in the range of about 100 seem to about 500 seem, preferably about 300 seem. In some embodiments, the substrate is exposed to hydrogen gas having a flow rate in the range of about 1 slm to about 20 slm, preferably about 3 slm to about 15 slm, more preferably about 5 slm to about 10 slm with the reducing gas. May be. The impregnation process is carried out at a temperature in the range of about 100 ° C. to about 500 ° C., preferably about 300 ° C., at a pressure in the range of about 1 Torr to about 120 Torr, preferably about 10 Torr to about 50 Torr for about 1 second. For about 90 seconds, preferably less than about 30 seconds.

[0072]含浸プロセスを利用して形成された核形成層は、含浸プロセスで形成されたのではない核形成層に対して利点を有している。タングステン膜は、集積膜に対する低ストレス、ならびに核形成層の界面での低フッ素含有率を示す。また、含浸処置後に堆積された核形成層はより高い均一性カバレージを有しており、また培養期間の削減ゆえにより迅速に堆積される。   [0072] Nucleation layers formed using an impregnation process have advantages over nucleation layers that are not formed by an impregnation process. The tungsten film exhibits low stress on the integrated film and low fluorine content at the nucleation layer interface. Also, the nucleation layer deposited after the impregnation treatment has a higher uniformity coverage and is deposited more rapidly due to the reduced culture period.

[0073]タングステンバルク充填422が次いで処置済みタングステン核形成層417上に堆積される。従来の化学気相堆積法や物理気相堆積法などの任意の金属堆積プロセスが使用可能であるが、タングステンバルク充填422は、上記のようなタングステン含有化合物および還元化合物を交互に吸収することによって堆積されてもよい。周期堆積技術を使用するタングステン堆積についてのより詳細な説明は、全体を参照してここに組み込まれており、2002年2月20日に出願され、かつUS2003−0157760号として公開された、共同出願人による米国特許第6,878,206号および共同出願人による米国出願第10/082,048号に見ることができる。含浸プロセスを利用せずに堆積されたタングステン膜、事後タングステンバルク充填と比較して、含浸プロセスを利用して堆積されたタングステン膜の表面上に少数の火山(volvanoes)が現れる。   [0073] A tungsten bulk fill 422 is then deposited on the treated tungsten nucleation layer 417. Although any metal deposition process such as conventional chemical vapor deposition or physical vapor deposition can be used, the tungsten bulk fill 422 can absorb the tungsten-containing and reducing compounds alternately as described above. It may be deposited. A more detailed description of tungsten deposition using periodic deposition techniques is incorporated herein by reference in its entirety, filed on Feb. 20, 2002 and published as US 2003-0157760. Can be found in US Pat. No. 6,878,206 by human and US application Ser. No. 10 / 082,048 by co-applicant. Compared to tungsten films deposited without using the impregnation process, post-tungsten bulk filling, fewer volvanoes appear on the surface of the tungsten film deposited using the impregnation process.

[0074]堆積に続いて、得られる構造体400の上部は平坦化されてもよい。カリフォルニア州サンタクララにあるApplied Materials,Inc.から入手可能なMIRRA(登録商標)システムなどの化学的機械的研磨(CMP)装置が使用されてもよい。タングステンバルク充填422の一部が構造の上部から除去されて、完全に平坦な表面を残すことになる。場合により、構造の中間表面が、上記の後続層の堆積の間に平坦化されてもよい。   [0074] Following deposition, the top of the resulting structure 400 may be planarized. Applied Materials, Inc., located in Santa Clara, California. Chemical mechanical polishing (CMP) equipment such as the MIRRA® system available from may be used. A portion of the tungsten bulk fill 422 will be removed from the top of the structure, leaving a completely flat surface. In some cases, the intermediate surface of the structure may be planarized during the deposition of the subsequent layers.

[0075]図6は、トランジスタ520がトレンチキャパシタ530の上部に隣接して位置決めされている従来のDRAMデバイスの断面図である。DRAMデバイス510のアクセストランジスタ520はトレンチキャパシタ530の上部に隣接して位置決めされる。好ましくは、アクセストランジスタ520は、ソース領域522と、ゲート領域524とドレイン領域526とを有するn−p−nトランジスタを含有している。ゲート領域524はP基板上に配置されているPドープシリコンエピ層である。アクセストランジスタ520のソース領域522は、ゲート領域524の第1の側に配置されているNドープ材料であり、ドレイン領域526は、ソース領域522の反対側に、ゲート領域524の第2の側に配置されているNドープ材料である。 FIG. 6 is a cross-sectional view of a conventional DRAM device in which transistor 520 is positioned adjacent to the top of trench capacitor 530. Access transistor 520 of DRAM device 510 is positioned adjacent to the top of trench capacitor 530. Preferably, access transistor 520 includes an npn transistor having a source region 522, a gate region 524, and a drain region 526. Gate region 524 is a P-doped silicon epilayer disposed on a P + substrate. The source region 522 of the access transistor 520 is N + doped material disposed on the first side of the gate region 524 and the drain region 526 is on the opposite side of the source region 522 on the second side of the gate region 524. Is an N + doped material.

[0076]ソースおよびドレイン領域522、524はタングステンプラグ560に接続されてもよい。各タングステンプラグ560はチタンライナー562と、タングステン核形成層564と、バルクタングステン充填566とを含んでいる。チタンライナー562は、PVDチタンに続いてCVD窒化チタンを含有する二層スタックであってもよい。代替的に、チタンライナー562は、ALD堆積チタンに続いてALD堆積窒化チタンを含有する二層スタックであってもよい。タングステン核形成層564は上記のように含浸プロセスおよびALDプロセスまたは含浸プロセスおよびパルスCVDプロセスを使用して形成される。タングステンバルク充填566は、事後含浸プロセス、およびCVDを含む任意の従来の堆積技術を使用して堆積されてもよい。   [0076] Source and drain regions 522, 524 may be connected to a tungsten plug 560. Each tungsten plug 560 includes a titanium liner 562, a tungsten nucleation layer 564, and a bulk tungsten fill 566. The titanium liner 562 may be a bilayer stack containing PVD titanium followed by CVD titanium nitride. Alternatively, the titanium liner 562 may be a bilayer stack containing ALD deposited titanium followed by ALD deposited titanium nitride. Tungsten nucleation layer 564 is formed using an impregnation process and an ALD process or impregnation process and a pulsed CVD process as described above. The tungsten bulk fill 566 may be deposited using a post-impregnation process and any conventional deposition technique including CVD.

[0077]トレンチキャパシタ530は概して、第1の電極532と、第2の電極534と、これらの間に配置されている誘電材料536とを含んでいる。P基板はトレンチキャパシタ530の第1の電極532として作用し、接地接続541に接続されている。トレンチ538がP基板に形成され、またトレンチキャパシタ530の第2の電極として作用する重ドープN多結晶シリコンで充填される。誘電材料536は第1の電極532(つまり、P基板)と第2の電極534(つまり、N多結晶シリコン)との間に配置される。 [0077] The trench capacitor 530 generally includes a first electrode 532, a second electrode 534, and a dielectric material 536 disposed therebetween. The P + substrate acts as the first electrode 532 of the trench capacitor 530 and is connected to the ground connection 541. A trench 538 is formed in the P + substrate and is filled with heavily doped N + polycrystalline silicon that acts as the second electrode of the trench capacitor 530. Dielectric material 536 is disposed between first electrode 532 (ie, P + substrate) and second electrode 534 (ie, N + polycrystalline silicon).

[0078]トレンチキャパシタ530はまた、誘電材料536と第1の電極532間に配置されている第1の窒化タングステンバリア層540を含んでいる。好ましくは、第2の窒化タングステンバリア層542が誘電材料536と第2の電極534間に配置されている。代替的に、バリア層540、542は、W/WNなどの結合膜である。   [0078] The trench capacitor 530 also includes a first tungsten nitride barrier layer 540 disposed between the dielectric material 536 and the first electrode 532. Preferably, a second tungsten nitride barrier layer 542 is disposed between the dielectric material 536 and the second electrode 534. Alternatively, the barrier layers 540, 542 are binding films such as W / WN.

[0079]上記DRAMデバイスはn−p−nトランジスタと、第1の電極であるP基板と、キャパシタの第2の電極であるN多結晶シリコンとを利用するが、他のトランジスタ設計および電極材料もDRAMデバイスを形成するために本発明によって想定されている。付加的に、例えばクラウンキャパシタなどの他のデバイスも本明細書に説明されている実施形態によって想定されている。 [0079] The DRAM device utilizes an npn transistor, a P + substrate as the first electrode, and N + polycrystalline silicon as the second electrode of the capacitor, but other transistor designs and Electrode materials are also contemplated by the present invention to form DRAM devices. Additionally, other devices such as crown capacitors are also envisioned by the embodiments described herein.

[0080]本明細書で使用されている「基板表面」や「基板」とは、製作プロセス時に膜処理が実行される基板上に形成された任意の基板や材料表面のことである。例えば、処理が実行可能な基板表面は、単結晶、多結晶またはアモルファスシリコン、歪シリコン、シリコン・オン・インシュレーター(SOI)、ドープされたシリコン、シリコンゲルマニウム、ゲルマニウム、ガリウムヒ素、ガラス、サファイア、酸化シリコン、窒化シリコン、酸窒化シリコンおよび/または、SiOなどの炭素ドープシリコン酸化物、例えばカリフォルニア州サンタクララにあるApplied Materials,Inc.から入手可能なBLACK DIAMOND(登録商標)低k誘電体などの材料を含む。基板は、200mmまたは300mm直径のウェーハ、ならびに矩形または正方形ペイン(pane)などの種々の寸法を有してもよい。特に言及されない限り、本明細書に説明されている実施形態および実施例は好ましくは、200mm直径または300mm直径、より好ましくは300mm直径の基板に行われる。本明細書に説明されているプロセスの実施形態は、金属タングステン、窒化タングステン、ホウ化タングステン、タングステンシリサイド、これらの誘導体、これらの合金、および、多数の基板および表面上、特にバリア層、接着層または導電層上の他のタングステン含有材料を堆積する。本発明の実施形態が有用である基板は、単結晶シリコン(例えば、Si<100>やSi<111>)、酸化シリコン、歪シリコン、シリコンゲルマニウム、ドープまたは無ドープ多結晶シリコン、ドープまたは無ドープシリコンウェーハおよびパターン化または非パターン化ウェーハなどの半導体ウェーハを含んでいるが、これらに制限されない。基板は、基板表面を研磨、エッチング、還元、酸化、水酸化、アニーリングおよび/または焼成するために事前処置プロセスに曝されてもよい。 [0080] As used herein, a "substrate surface" or "substrate" is any substrate or material surface formed on a substrate on which film processing is performed during the fabrication process. For example, the substrate surface where processing can be performed is monocrystalline, polycrystalline or amorphous silicon, strained silicon, silicon-on-insulator (SOI), doped silicon, silicon germanium, germanium, gallium arsenide, glass, sapphire, oxide silicon, silicon nitride, silicon oxynitride and / or, SiO x C carbon-doped silicon oxide such as y, for example, Applied Materials in Santa Clara, California, Inc. Materials such as BLACK DIAMOND® low-k dielectrics available from: The substrate may have various dimensions such as a 200 mm or 300 mm diameter wafer, as well as a rectangular or square pane. Unless otherwise stated, the embodiments and examples described herein are preferably performed on 200 mm diameter or 300 mm diameter, more preferably 300 mm diameter substrates. Embodiments of the processes described herein include metallic tungsten, tungsten nitride, tungsten boride, tungsten silicide, derivatives thereof, alloys thereof, and numerous substrates and surfaces, particularly barrier layers, adhesion layers Or deposit another tungsten-containing material on the conductive layer. Substrates for which embodiments of the present invention are useful include single crystal silicon (eg, Si <100> or Si <111>), silicon oxide, strained silicon, silicon germanium, doped or undoped polycrystalline silicon, doped or undoped This includes, but is not limited to, semiconductor wafers such as silicon wafers and patterned or unpatterned wafers. The substrate may be subjected to a pretreatment process to polish, etch, reduce, oxidize, hydroxylate, anneal and / or bake the substrate surface.

[0081]本明細書で使用されているような「原子層堆積法」や「周期堆積」とは、基板表面上に1層の材料を堆積するために2つ以上の反応性化合物を順次導入することである。2つ、3つまたはこれ以上の反応性化合物は代替的にプロセスチャンバの反応ゾーンに導入されてもよい。普通、各反応性化合物は時間遅延によって分離されて、各化合物を基板表面上に接着および/または反応させる。一態様では、第1の前駆体つまり化合物Aが反応ゾーンにパルスされて第1の時間遅延が続く。次に、第2の前駆体つまり化合物Bが反応ゾーンにパルスされて第2の遅延が続く。各時間遅延時に、窒素などのパージガスがプロセスチャンバに導入されて、反応ゾーンをパージするか、残渣反応性化合物や副生成物を反応ゾーンから除去する。代替的に、パージガスは堆積プロセスを通して連続的に流れることが可能なため、パージガスのみが反応性化合物のパルス間の時間遅延時に流れる。代替実施形態では、パージガスはまた、水素、ジボランまたはシランなどの還元剤であってもよい。反応性化合物は代替的に、所望の膜または膜厚が基板表面上に形成されるまでパルスされる。いずれのシナリオでも、化合物Aおよびパージガスをパルスし、化合物BおよびパージガスをパルスするというALDプロセスで1サイクルである。1サイクルは化合物Aまたは化合物Bのいずれかで開始可能であり、また所望の厚さの膜を達成するまでそれぞれのサイクル順序を継続可能である。別の実施形態では、化合物Aを含有する第1の前駆体、化合物Bを含有する第2の前駆体、化合物Cを含有する第3の前駆体は各々個別または代替的に、プロセスチャンバにパルスされる。代替的には、化合物Aを含有する第1の前駆体および化合物Bを含有する第2の前駆体は各々個別または代替的にプロセスチャンバにパルスされるのに対して、化合物Cを含有する第3の前駆体はプロセスチャンバに継続的に流される。代替的に1パルスの第1の前駆体は1パルスの第2の前駆体に時間的に重畳する場合があるのに対して、1パルスの第3の前駆体は第1および第2の前駆体のいずれのパルスとも時間的に重畳しない。   [0081] As used herein, "atomic layer deposition" or "periodic deposition" refers to the sequential introduction of two or more reactive compounds to deposit a layer of material on a substrate surface. It is to be. Two, three or more reactive compounds may alternatively be introduced into the reaction zone of the process chamber. Usually, each reactive compound is separated by a time delay to cause each compound to adhere and / or react on the substrate surface. In one aspect, the first precursor, Compound A, is pulsed into the reaction zone followed by a first time delay. Next, a second precursor, Compound B, is pulsed into the reaction zone followed by a second delay. At each time delay, a purge gas such as nitrogen is introduced into the process chamber to purge the reaction zone or remove residual reactive compounds and by-products from the reaction zone. Alternatively, the purge gas can flow continuously throughout the deposition process so that only the purge gas flows during the time delay between pulses of reactive compound. In alternative embodiments, the purge gas may also be a reducing agent such as hydrogen, diborane or silane. The reactive compound is alternatively pulsed until the desired film or film thickness is formed on the substrate surface. In either scenario, there is one cycle in the ALD process of pulsing compound A and purge gas and pulsing compound B and purge gas. One cycle can be initiated with either Compound A or Compound B, and each cycle sequence can be continued until a desired thickness of film is achieved. In another embodiment, the first precursor containing Compound A, the second precursor containing Compound B, and the third precursor containing Compound C are each individually or alternatively pulsed into the process chamber. Is done. Alternatively, the first precursor containing compound A and the second precursor containing compound B are each individually or alternatively pulsed into the process chamber, whereas the first precursor containing compound C The three precursors are continuously flowed into the process chamber. Alternatively, one pulse of the first precursor may overlap in time with the one pulse of the second precursor, whereas one pulse of the third precursor is the first and second precursors. It does not overlap in time with any body pulse.

[0082]本明細書で使用されている「パルス」とは、処理チャンバの反応ゾーンに断続的または非継続的に導入される具体的な化合物の量のことである。各パルス内の具体的な化合物の量はパルス期間に応じて経時的に変化することがある。各パルス期間は、例えば用いられているプロセスチャンバの容積容量、これに結合されている真空システムおよび具体的な化合物自体の揮発性/反応性などの多数の要因に応じて可変的である。本明細書で使用されている「半反応」とは、パージステップが続く前駆体のパルスのことである。   [0082] As used herein, "pulse" refers to the amount of a particular compound that is intermittently or non-continuously introduced into the reaction zone of the processing chamber. The specific amount of compound in each pulse may change over time depending on the pulse duration. Each pulse period is variable depending on a number of factors such as the volume capacity of the process chamber being used, the vacuum system coupled to it and the volatility / reactivity of the particular compound itself. As used herein, “half reaction” refers to a pulse of precursor followed by a purge step.

[0083]以下の実施例は、表面上に堆積されたバリア層を含有する基板に行われた。バリア層は、まずチタン層をPVDプロセスで300mm基板表面上に約100Åの厚さに堆積することによって形成された。引き続き、窒化チタン層が、TDMAT前駆体を使用するCVDプロセスでチタン層上に堆積され、H/Nプラズマ処置が続いた。窒化チタン層は約50Åの厚さに堆積された。以下の実施例の多くにおいて、ジボランは普通キャリアガスとして水素(H)を含有している。しかしながら、キャリアガスは窒素(N)やアルゴンであってもよい。 [0083] The following example was performed on a substrate containing a barrier layer deposited on a surface. The barrier layer was formed by first depositing a titanium layer to a thickness of about 100 mm on a 300 mm substrate surface by a PVD process. Subsequently, the titanium nitride layer is deposited on the titanium layer by CVD process using TDMAT precursor was followed by a H 2 / N 2 plasma treatment. The titanium nitride layer was deposited to a thickness of about 50 mm. In many of the following examples, diborane usually contains hydrogen (H 2 ) as a carrier gas. However, the carrier gas may be nitrogen (N 2 ) or argon.

実施例
[0084]実施例1:基板が堆積チャンバに置かれて、以下の条件で含浸プロセスに曝された。
Example
[0084] Example 1 : A substrate was placed in a deposition chamber and exposed to an impregnation process under the following conditions.

試薬:B
圧力:約15トール;
温度:約375℃;
流量:H中約200sccmの5%Bおよび約8,000sccmのH
水素/ハイドライド流量比:約820:1;および
期間:約10秒
[0085]次に、タングステン核形成層が、以下の条件で、パルスCVDプロセスを使用する先行含浸プロセスから堆積チャンバにおいてバリア層上に形成された。
Reagent: B 2 H 6 ;
Pressure: about 15 Torr;
Temperature: about 375 ° C .;
Flow rate: 5% in H 2 to about 200 sccm B 2 H 6 and about 8,000sccm H 2;
Hydrogen / hydride flow ratio: about 820: 1; and Duration: about 10 seconds
[0085] Next, a tungsten nucleation layer was formed on the barrier layer in the deposition chamber from a pre-impregnation process using a pulsed CVD process under the following conditions.

試薬:WF、BおよびH
圧力:約5トール;
温度:約375℃;
流量:約60sccmのWF、H中約100sccmの5%Bおよび約6,000sccmのH
水素/ハイドライド流量比:約1,220:1;および
パルス期間:約1.5秒
[0086]パルスCVDプロセスが、核形成層が約25Åの厚さを有するまで継続された。その後、基板は堆積チャンバに保たれて、以下の条件で第2の含浸プロセスに曝された。
Reagents: WF 6 , B 2 H 6 and H 2 ;
Pressure: about 5 Torr;
Temperature: about 375 ° C .;
Flow rate: 5% WF 6, in H 2 from about 100sccm to about 60 sccm B 2 H 6 and about 6,000sccm of H 2;
Hydrogen / hydride flow ratio: about 1,220: 1; and pulse duration: about 1.5 seconds
[0086] The pulsed CVD process was continued until the nucleation layer had a thickness of about 25 mm. The substrate was then kept in the deposition chamber and exposed to the second impregnation process under the following conditions.

試薬:BおよびH
圧力:約30トール;
温度:約375℃;
流量:H中約200sccmの5%Bおよび約8,000sccmのH
水素/ハイドライド流量比:約820:1;および
期間:約30秒
[0087]引き続き、バルクタングステン層が、約375℃でCVDプロセスを使用して、核形成層上に厚さ約500ÅにWF−Hを使用して堆積された。
Reagents: B 2 H 6 and H 2 ;
Pressure: about 30 Torr;
Temperature: about 375 ° C .;
Flow rate: 5% in H 2 to about 200 sccm B 2 H 6 and about 8,000sccm H 2;
Hydrogen / hydride flow ratio: about 820: 1; and Duration: about 30 seconds
[0087] Subsequently, a bulk tungsten layer was deposited on the nucleation layer using WF 6 -H 2 to a thickness of about 500 mm using a CVD process at about 375 ° C.

[0088]実施例2:基板が堆積チャンバに置かれて、以下の条件で含浸プロセスに曝された。 [0088] Example 2 : A substrate was placed in a deposition chamber and exposed to an impregnation process under the following conditions.

試薬:SiH
圧力:約90トール、
温度:約400℃、
流量:約200sccmのSiH、および
期間:約24秒
[0089]次に、タングステン核形成層が、以下の条件で、パルスCVDプロセスを使用する先行含浸プロセスから堆積チャンバにおいてバリア層上に形成された。
Reagent: SiH 4 ,
Pressure: about 90 Torr,
Temperature: about 400 ° C
Flow rate: about 200 sccm SiH 4 and duration: about 24 seconds
[0089] A tungsten nucleation layer was then formed on the barrier layer in the deposition chamber from a pre-impregnation process using a pulsed CVD process under the following conditions.

試薬:WF、BおよびH
圧力:約5トール、
温度:約400℃、
流量:約60sccmのWF、H中約100sccmの5%Bおよび約6,000sccmのH
水素/ハイドライド流量比:約1,220:1、および
パルス期間:約1.5秒
[0090]パルスCVDプロセスは、核形成層が約25Åの厚さを有するまで継続された。その後、基板は堆積チャンバに保たれて、以下の条件で第2の含浸プロセスに曝された。
Reagent: WF 6 , B 2 H 6 and H 2 ,
Pressure: about 5 Torr,
Temperature: about 400 ° C
Flow rate: 5% WF 6, H 2 during about 100sccm to about 60 sccm B 2 H 6 and about 6,000sccm of H 2,
Hydrogen / hydride flow ratio: about 1,220: 1, and pulse duration: about 1.5 seconds
[0090] The pulsed CVD process was continued until the nucleation layer had a thickness of about 25 mm. The substrate was then kept in the deposition chamber and exposed to the second impregnation process under the following conditions.

試薬:BおよびH
圧力:約30トール、
温度:約400℃、
流量:H中約200sccmの5%Bおよび約8,000sccmのH
水素/ハイドライド流量比:約820:1、および
期間:約20秒
[0091]引き続き、バルクタングステン層が、約400℃でCVDプロセスを使用して第2の核形成層上に約500Åの厚さにWF−Hを堆積された。
Reagents: B 2 H 6 and H 2 ,
Pressure: about 30 Torr,
Temperature: about 400 ° C
Flow rate: about 200 sccm 5% B 2 H 6 and about 8,000 sccm H 2 in H 2 ,
Hydrogen / hydride flow ratio: about 820: 1, and duration: about 20 seconds
[0091] Continuing, bulk tungsten layer, a WF 6 -H 2 was deposited to a thickness of approximately 500Å using a CVD process at about 400 ° C. to the second nucleation layer.

[0092]実施例3:基板が堆積チャンバに置かれて、以下の条件で含浸プロセスに曝された。 [0092] Example 3 : A substrate was placed in a deposition chamber and exposed to an impregnation process under the following conditions.

試薬:SiH
圧力:約90トール、
温度:約400℃、
流量:約200sccmのSiH、および
期間:約24秒
[0093]次に、タングステン核形成層が、以下の条件で、パルスCVDプロセスを使用する先行含浸プロセスから堆積チャンバにおいてバリア層上に形成された。
Reagent: SiH 4 ,
Pressure: about 90 Torr,
Temperature: about 400 ° C
Flow rate: about 200 sccm SiH 4 and duration: about 24 seconds
[0093] Next, a tungsten nucleation layer was formed on the barrier layer in the deposition chamber from a pre-impregnation process using a pulsed CVD process under the following conditions.

試薬:WFおよびSiH
圧力:約5トール、
温度:約400℃、
流量:約60sccmのWFおよび約30sccmのSiH、および
パルス期間:約1.5秒
[0094]パルスCVプロセスは、核形成層が約20Åの厚さを有するまで継続された。その後、基板は堆積チャンバに保たれて、以下の条件で第2の含浸プロセスに曝された。
Reagents: WF 6 and SiH 4 ,
Pressure: about 5 Torr,
Temperature: about 400 ° C
Flow rate: about 60 sccm WF 6 and about 30 sccm SiH 4 , and pulse duration: about 1.5 seconds
[0094] The pulsed CV process was continued until the nucleation layer had a thickness of about 20 mm. The substrate was then kept in the deposition chamber and exposed to the second impregnation process under the following conditions.

試薬:BおよびH
圧力:約90トール、
温度:約400℃、
流量:H中約200sccmの5%Bおよび約8,000sccmのH
水素/ハイドライド流量比:約820:1、および
期間:約20秒
[0095]次に、もう1つのタングステン核形成が、核形成層が約40Åの全厚を有するまでパルスCVDプロセスを使用して形成された。以下の条件が使用された。
Reagents: B 2 H 6 and H 2 ,
Pressure: about 90 Torr,
Temperature: about 400 ° C
Flow rate: about 200 sccm 5% B 2 H 6 and about 8,000 sccm H 2 in H 2 ,
Hydrogen / hydride flow ratio: about 820: 1, and duration: about 20 seconds
[0095] Next, another tungsten nucleation was formed using a pulsed CVD process until the nucleation layer had a total thickness of about 40 mm. The following conditions were used:

試薬:WFおよびSiH
圧力:約5トール、
温度:約400℃、
流量:約60sccmのWFおよび約30sccmのSiH
パルス期間:約1.5秒
[0096]引き続き、バルクタングステン層が、約400℃でCVDプロセスを使用して、第2の核形成層上に厚さ約500ÅにWF−Hを堆積された。
Reagents: WF 6 and SiH 4 ,
Pressure: about 5 Torr,
Temperature: about 400 ° C
Flow rate: about 60 sccm WF 6 and about 30 sccm SiH 4 ,
Pulse period: about 1.5 seconds
[0096] Subsequently, a bulk tungsten layer was deposited WF 6 -H 2 to a thickness of about 500 mm on the second nucleation layer using a CVD process at about 400 ° C.

[0097]実施例4:基板が堆積チャンバに置かれて、以下の条件で含浸プロセスに曝された。 [0097] Example 4 : A substrate was placed in a deposition chamber and exposed to an impregnation process under the following conditions.

試薬:BおよびH
圧力:約90トール、
温度:約400℃、
流量:H中約200sccmの5%Bおよび約8,000sccmのH
水素/ハイドライド流量比:約820:1、および
期間:約15秒
[0098]次に、タングステン核形成層が、以下の条件で、パルスCVDプロセスを使用する先行含浸プロセスから堆積チャンバにおいてバリア層上に形成された。
Reagents: B 2 H 6 and H 2 ,
Pressure: about 90 Torr,
Temperature: about 400 ° C
Flow rate: about 200 sccm 5% B 2 H 6 and about 8,000 sccm H 2 in H 2 ,
Hydrogen / hydride flow ratio: about 820: 1, and duration: about 15 seconds
[0098] Next, a tungsten nucleation layer was formed on the barrier layer in the deposition chamber from a pre-impregnation process using a pulsed CVD process under the following conditions.

試薬:WFおよびSiH
圧力:約5トール、
温度:約400℃、
流量:約60sccmのWFおよび約30sccmのSiH
パルス期間:約1.5秒
パルスCVDプロセスは、核形成層が約50Åの厚さを有するまで継続された。
Reagents: WF 6 and SiH 4 ,
Pressure: about 5 Torr,
Temperature: about 400 ° C
Flow rate: about 60 sccm WF 6 and about 30 sccm SiH 4 ,
Pulse duration: about 1.5 seconds The pulsed CVD process was continued until the nucleation layer had a thickness of about 50 mm.

[0099]引き続き、バルクタングステン層が、約400℃でCVDプロセスを使用して第2の核形成層上に約1,000Åの厚さに堆積された。   [0099] Subsequently, a bulk tungsten layer was deposited on the second nucleation layer to a thickness of about 1,000 mm using a CVD process at about 400 ° C.

[0100]実施例5:基板が堆積チャンバに置かれて、以下の条件で含浸プロセスに曝された。 [0100] Example 5 : A substrate was placed in a deposition chamber and exposed to an impregnation process under the following conditions.

試薬:SiHおよびH
圧力:約90トール、
温度:約400℃、
流量:約200sccmのSiH、および
期間:約24秒
[0101]次に、タングステン核形成層が、以下の条件で、パルスCVDプロセスを使用する先行含浸プロセスから堆積チャンバにおいてバリア層上に形成された。
Reagents: SiH 4 and H 2 ,
Pressure: about 90 Torr,
Temperature: about 400 ° C
Flow rate: about 200 sccm SiH 4 and duration: about 24 seconds
[0101] A tungsten nucleation layer was then formed on the barrier layer in the deposition chamber from a pre-impregnation process using a pulsed CVD process under the following conditions.

試薬:WF、BおよびH
圧力:約5トール、
温度:約400℃、
流量:N中約60sccmのWFおよび約100sccmの5%Bと約6,000sccmのH
水素/ハイドライド流量比:約1,200:1、および
パルス期間:約1.5秒
パルスCVDプロセスは、核形成層が約25Åの厚さを有するまで継続された。
Reagent: WF 6 , B 2 H 6 and H 2 ,
Pressure: about 5 Torr,
Temperature: about 400 ° C
Flow rate: about 60 seem WF 6 in N 2 and about 100 seem 5% B 2 H 6 and about 6,000 seem H 2 ,
Hydrogen / hydride flow ratio: about 1,200: 1, and pulse duration: about 1.5 seconds The pulsed CVD process was continued until the nucleation layer had a thickness of about 25 mm.

[0102]引き続き、バルクタングステン層が、約400℃でCVDプロセスを使用して第2の核形成層上に約1,000Åの厚さに堆積された。   [0102] Subsequently, a bulk tungsten layer was deposited on the second nucleation layer to a thickness of about 1,000 mm using a CVD process at about 400 ° C.

[0103]実施例6:基板が堆積チャンバに置かれて、以下の条件で含浸プロセスに曝された。 [0103] Example 6 : A substrate was placed in a deposition chamber and exposed to an impregnation process under the following conditions.

試薬:BおよびH
圧力:約90トール、
温度:約400℃、
流量:H中約200scccmの5%Bおよび約8,000sccmのH
水素/ハイドライド流量比:約820:1、および
期間:約15秒
[0104]次に、タングステン核形成層が、以下の条件で、パルスCVDプロセスを使用する先行含浸プロセスから堆積チャンバにおいてバリア層上に形成された。
Reagents: B 2 H 6 and H 2 ,
Pressure: about 90 Torr,
Temperature: about 400 ° C
Flow rate: 5% in H 2 about 200scccm B 2 H 6 and about 8,000sccm of H 2,
Hydrogen / hydride flow ratio: about 820: 1, and duration: about 15 seconds
[0104] Next, a tungsten nucleation layer was formed on the barrier layer in the deposition chamber from a pre-impregnation process using a pulsed CVD process under the following conditions.

試薬:WFおよびSiH
圧力:約5トール、
温度:約400℃、
流量:約60sccmのWFおよび約30sccmのSiH、および
パルス期間:約1.5秒
[0105]パルスCVDプロセスは、核形成層が約50Åの厚さを有するまで継続された。その後、基板は堆積チャンバに保たれて、第2の核形成層が第1の核形成層上に堆積された。第2の核形成層は従来のCVDプロセスによって、以下の条件で約200Åの厚さに堆積された。
Reagents: WF 6 and SiH 4 ,
Pressure: about 5 Torr,
Temperature: about 400 ° C
Flow rate: about 60 sccm WF 6 and about 30 sccm SiH 4 , and pulse duration: about 1.5 seconds
[0105] The pulsed CVD process was continued until the nucleation layer had a thickness of about 50 mm. Thereafter, the substrate was kept in a deposition chamber and a second nucleation layer was deposited on the first nucleation layer. The second nucleation layer was deposited by a conventional CVD process to a thickness of about 200 mm under the following conditions.

試薬:WFおよびSiH
圧力:約30トール、
温度:約400℃、
流量:約60sccmのWFおよび約30sccmのSiH
[0106]引き続き、バルクタングステン層が、約400℃でCVDプロセスを使用して、第2の核形成層上に約2,000Åの厚さに堆積された。
Reagents: WF 6 and SiH 4 ,
Pressure: about 30 Torr,
Temperature: about 400 ° C
Flow rate: about 60 sccm WF 6 and about 30 sccm SiH 4
[0106] Subsequently, a bulk tungsten layer was deposited to a thickness of about 2,000 mm on the second nucleation layer using a CVD process at about 400 ° C.

[0107]実施例7:基板が堆積チャンバに置かれて、以下の条件で含浸プロセスに曝された。 [0107] Example 7 : A substrate was placed in a deposition chamber and exposed to an impregnation process under the following conditions.

試薬:BおよびH
圧力:約90トール、
温度:約400℃、
流量:H中約200sccmの5%Bおよび約8,000sccmのH
水素/ハイドライド流量比:約820:1、および
期間:約20秒
[0108]次に、タングステン核形成層が、以下の条件で、パルスCVDプロセスを使用して、既に使用されている堆積チャンバにおいてバリア層上に形成された。
Reagents: B 2 H 6 and H 2 ,
Pressure: about 90 Torr,
Temperature: about 400 ° C
Flow rate: about 200 sccm 5% B 2 H 6 and about 8,000 sccm H 2 in H 2 ,
Hydrogen / hydride flow ratio: about 820: 1, and duration: about 20 seconds
[0108] Next, a tungsten nucleation layer was formed on the barrier layer in an already used deposition chamber using a pulsed CVD process under the following conditions.

試薬:WF、BおよびH
圧力:約5トール、
温度:約400℃、
流量:約60sccmのWF、約30sccmのBおよび約4,000sccmのH
水素/ハイドライド流量比:約133:1、および
パルス期間:約1.5秒
[0109]パルスCVDプロセスが、核形成層が約25Åの厚さを有するまで継続された。その後、基板は堆積チャンバに保たれて、第2の核形成層が第1の核形成層上に堆積された。第2の核形成層が、以下の条件で従来のCVDプロセスによって約150Åの厚さに堆積された。
Reagent: WF 6 , B 2 H 6 and H 2 ,
Pressure: about 5 Torr,
Temperature: about 400 ° C
Flow rate: about 60 sccm WF 6 , about 30 sccm B 2 H 6 and about 4,000 sccm H 2 ,
Hydrogen / hydride flow ratio: about 133: 1, and pulse duration: about 1.5 seconds
[0109] The pulsed CVD process was continued until the nucleation layer had a thickness of about 25 mm. Thereafter, the substrate was kept in a deposition chamber and a second nucleation layer was deposited on the first nucleation layer. A second nucleation layer was deposited to a thickness of about 150 mm by a conventional CVD process under the following conditions.

試薬:WF、BおよびH
圧力:約30トール、
温度:約400℃、
流量:約60sccmのWF、約30sccmのBおよび約4,000sccmのH、および
水素/ハイドライド流量比:約133:1
[0110]実施例8:基板が堆積チャンバに置かれて、以下の条件で含浸プロセスに曝された。
Reagents: WF 6 , B 2 H 6 and H 2
Pressure: about 30 Torr,
Temperature: about 400 ° C
Flow rate: about 60 sccm WF 6 , about 30 sccm B 2 H 6 and about 4,000 sccm H 2 , and hydrogen / hydride flow ratio: about 133: 1
[0110] Example 8 : A substrate was placed in a deposition chamber and exposed to an impregnation process under the following conditions.

試薬:BおよびH
圧力:約90トール、
温度:約400℃、
流量:H中約200sccmの5%Bおよび約8,000sccmのH
水素/ハイドライド流量比:約820:1、および
期間:約15秒
[0111]次に、タングステン核形成層が、以下の条件で、パルスCVDプロセスを使用する含浸プロセス時に使用されたのと同じ堆積チャンバにおいてバリア層上に形成された。
Reagents: B 2 H 6 and H 2 ,
Pressure: about 90 Torr,
Temperature: about 400 ° C
Flow rate: 5% in H 2 to about 200 sccm B 2 H 6 and about 8,000sccm of H 2,
Hydrogen / hydride flow ratio: about 820: 1, and duration: about 15 seconds
[0111] Next, a tungsten nucleation layer was formed on the barrier layer in the same deposition chamber used during the impregnation process using a pulsed CVD process under the following conditions.

試薬:WFおよびSiH
圧力:約30トール、
温度:約400℃、
流量:約60sccmのWFおよび約30sccmのSiH
パルス期間:約1.5秒
[0112]パルスCVDプロセスが、核形成層が約150Åの厚さを有するまで継続された。その後、基板は堆積チャンバに保たれて、以下の条件で第2の含浸プロセスに曝された。
Reagents: WF 6 and SiH 4 ,
Pressure: about 30 Torr,
Temperature: about 400 ° C
Flow rate: about 60 sccm WF 6 and about 30 sccm SiH 4 ,
Pulse period: about 1.5 seconds
[0112] The pulsed CVD process was continued until the nucleation layer had a thickness of about 150 mm. The substrate was then kept in the deposition chamber and exposed to the second impregnation process under the following conditions.

試薬:BおよびH
圧力:約90トール、
温度:約400℃、
流量:H中約200sccmの5%Bおよび約8,000sccmのH
水素/ハイドライド流量比:約820:1、および
期間:約15秒
[0113]最後に、基板は堆積チャンバに維持されて、バルクタングステン層が、約400℃でCVDプロセスを使用して、核形成層上に約2,000Åの厚さに堆積された。
Reagents: B 2 H 6 and H 2 ,
Pressure: about 90 Torr,
Temperature: about 400 ° C
Flow rate: about 200 sccm 5% B 2 H 6 and about 8,000 sccm H 2 in H 2 ,
Hydrogen / hydride flow ratio: about 820: 1, and duration: about 15 seconds
[0113] Finally, the substrate was maintained in a deposition chamber and a bulk tungsten layer was deposited on the nucleation layer to a thickness of about 2,000 mm using a CVD process at about 400 ° C.

[0114]実施例9:基板が堆積チャンバに置かれて、以下の条件で含浸プロセスに曝された。 [0114] Example 9 : A substrate was placed in a deposition chamber and exposed to an impregnation process under the following conditions.

試薬:BおよびH
圧力:約90トール、
温度:約400℃、
流量:H中約200sccmの5%Bおよび約8,000sccmのH
水素/ハイドライド流量比:約820:1、および
期間:約10秒
[0115]次に、タングステン核形成層が、以下の条件で、パルスCVDプロセスを使用する先行含浸プロセス時に使用された堆積チャンバにおいてバリア層上に形成された。
Reagents: B 2 H 6 and H 2 ,
Pressure: about 90 Torr,
Temperature: about 400 ° C
Flow rate: about 200 sccm 5% B 2 H 6 and about 8,000 sccm H 2 in H 2 ,
Hydrogen / hydride flow ratio: about 820: 1, and duration: about 10 seconds
[0115] Next, a tungsten nucleation layer was formed on the barrier layer in the deposition chamber used during the pre-impregnation process using a pulsed CVD process under the following conditions.

試薬:WF、BおよびH
圧力:約30トール、
温度:約400℃、
流量:約60sccmのWF、約30sccmのBおよび約6,000sccmのH
水素/ハイドライド流量比:約200:1、および
パルス期間:約1.5秒
[0116]パルスCVDプロセスが、核形成層が約100Åの厚さを有するまで継続された。その後、基板は堆積チャンバに保たれて、以下の条件で第2の含浸プロセスに曝された。
Reagent: WF 6 , B 2 H 6 and H 2 ,
Pressure: about 30 Torr,
Temperature: about 400 ° C
Flow rate: about 60 sccm WF 6 , about 30 sccm B 2 H 6 and about 6,000 sccm H 2 ,
Hydrogen / hydride flow ratio: about 200: 1, and pulse duration: about 1.5 seconds
[0116] The pulsed CVD process was continued until the nucleation layer had a thickness of about 100 mm. The substrate was then kept in the deposition chamber and exposed to the second impregnation process under the following conditions.

試薬:BおよびH
圧力:約15トール、
温度:約400℃、
流量:H中約200sccmの5%Bおよび約6,000sccmのH
水素/ハイドライド流量比:約620:1、および
期間:約10秒
[0117]最後に、基板は堆積チャンバに維持されて、バルクタングステン層が、約400℃でCVDプロセスを使用して核形成層上に約2,000Åの厚さに堆積された。
[0118]上記は本発明の実施形態を目的としているが、本発明の他のさらなる実施形態が本発明の基本的範囲を逸脱することなく考案されてもよく、またこの範囲は以下の特許請求の範囲によって判断される。
Reagents: B 2 H 6 and H 2 ,
Pressure: about 15 Torr,
Temperature: about 400 ° C
Flow rate: about 200 sccm 5% B 2 H 6 and about 6,000 sccm H 2 in H 2 ,
Hydrogen / hydride flow ratio: about 620: 1, and duration: about 10 seconds
[0117] Finally, the substrate was maintained in a deposition chamber and a bulk tungsten layer was deposited on the nucleation layer to a thickness of about 2,000 mm using a CVD process at about 400 ° C.
[0118] While the above is directed to embodiments of the invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and this scope is It is judged by the range.

本明細書に説明されている一実施形態に従った、周期堆積技術を使用してタングステン層を形成するためのプロセスシーケンスを図示している。FIG. 4 illustrates a process sequence for forming a tungsten layer using periodic deposition techniques, according to one embodiment described herein. 本明細書に説明されている一実施形態に従った、タングステン含有材料を形成するためのプロセスシーケンスを図示している。FIG. 3 illustrates a process sequence for forming a tungsten-containing material according to one embodiment described herein. 例示的集積処理プラットフォームを示している。1 illustrates an exemplary integrated processing platform. もう1つの例示的集積処理プラットフォームを示している。Figure 3 illustrates another exemplary integrated processing platform. ビア、中核ビアおよび充填ビアの断面図を示している。Sectional views of vias, core vias and filled vias are shown. ビア、中核ビアおよび充填ビアの断面図を示している。Sectional views of vias, core vias and filled vias are shown. ビア、中核ビアおよび充填ビアの断面図を示している。Sectional views of vias, core vias and filled vias are shown. 本発明の実施形態に従って形成された例示的金属酸化物ゲートデバイスの断面図を示している。FIG. 3 illustrates a cross-sectional view of an exemplary metal oxide gate device formed in accordance with an embodiment of the present invention. 本発明の実施形態に従って形成された従来のDRAMデバイスの断面図を示している。1 illustrates a cross-sectional view of a conventional DRAM device formed in accordance with an embodiment of the present invention.

符号の説明Explanation of symbols

300…マルチチャンバ処理システム、302、304…ロードロックチャンバ、310…第1のロボット、312、314、316、318、332、334、336、338…基板処理チャンバ、322、324…移送チャンバ、330…第2のロボット、322、324…移送チャンバ、350…マルチチャンバ処理システム、352、354…ロードロックチャンバ、356…移送チャンバ、360…ロボット、362、364、366、368、370、372…基板処理チャンバ、400…金属酸化物ゲートデバイス、410…暴露ゲート、411…酸化層、412…基板表面、414…多結晶シリコン層、415…窒化チタンバリア層、416…スペーサー、417…核形成層、422…タングステン層、450…基板、451…バリア層、452…タングステン層、460…ビア、510…DRAMデバイス、520…トランジスタ、522…ソース領域、524…ゲート領域、526…ドレイン領域、530…トレンチキャパシタ、532…第1の電極、534…第2の電極、536…誘電材料、540…第1の窒化タングステンバリア層、542…第2の窒化タングステンバリア層、560…タングステンプラグ、562…チタンライナー、564…タングステン核形成層 300 ... Multi-chamber processing system, 302, 304 ... Load lock chamber, 310 ... First robot, 312, 314, 316, 318, 332, 334, 336, 338 ... Substrate processing chamber, 322, 324 ... Transfer chamber, 330 2nd robot, 322, 324 ... transfer chamber, 350 ... multi-chamber processing system, 352, 354 ... load lock chamber, 356 ... transfer chamber, 360 ... robot, 362, 364, 366, 368, 370, 372 ... substrate Processing chamber, 400 ... Metal oxide gate device, 410 ... Exposure gate, 411 ... Oxide layer, 412 ... Substrate surface, 414 ... Polycrystalline silicon layer, 415 ... Titanium nitride barrier layer, 416 ... Spacer, 417 ... Nucleation layer, 422 ... tungsten layer, 450 ... substrate, 45 ... barrier layer, 452 ... tungsten layer, 460 ... via, 510 ... DRAM device, 520 ... transistor, 522 ... source region, 524 ... gate region, 526 ... drain region, 530 ... trench capacitor, 532 ... first electrode, 534 ... second electrode, 536 ... dielectric material, 540 ... first tungsten nitride barrier layer, 542 ... second tungsten nitride barrier layer, 560 ... tungsten plug, 562 ... titanium liner, 564 ... tungsten nucleation layer

Claims (15)

基板上にタングステン含有材料を形成するための方法であって、
プロセスチャンバ内に基板を位置決めするステップであって、前記基板が上部に配置されている下地層を備えるステップと、
前記基板をタングステン前駆体および還元ガスに順次曝して原子層堆積プロセス時に前記下地層上にタングステン核形成層を堆積するステップであって、前記還元ガスが水素およびハイドライドを備えており、また約500:1以上の水素/ハイドライド流量比を有するステップと、
前記タングステン核形成層上にタングステンバルク層を堆積するステップと、
を備える方法。
A method for forming a tungsten-containing material on a substrate, comprising:
Positioning a substrate in a process chamber, the substrate comprising an underlying layer disposed on top;
Sequentially exposing the substrate to a tungsten precursor and a reducing gas to deposit a tungsten nucleation layer on the underlayer during an atomic layer deposition process, the reducing gas comprising hydrogen and hydride, and about 500 Having a hydrogen / hydride flow ratio of 1 or more;
Depositing a tungsten bulk layer on the tungsten nucleation layer;
A method comprising:
前記ハイドライドが、シラン、ジシラン、ジボラン、ホスフィン、これらの誘導体およびこれらの組合せから構成される群より選択される、請求項1に記載の方法。   The method of claim 1, wherein the hydride is selected from the group consisting of silane, disilane, diborane, phosphine, derivatives thereof, and combinations thereof. 前記還元ガスがジボランを備える、請求項1に記載の方法。   The method of claim 1, wherein the reducing gas comprises diborane. 前記還元ガスがシランまたはジシランを備える、請求項1に記載の方法。   The method of claim 1, wherein the reducing gas comprises silane or disilane. 前記還元ガスの前記水素/ハイドライド流量比が約1,000:1以上である、請求項1に記載の方法。   The method of claim 1, wherein the hydrogen / hydride flow ratio of the reducing gas is about 1,000: 1 or greater. 約1sccm〜約40sccmの範囲内の流量を有する前記ハイドライドが前記プロセスチャンバに提供される、請求項1に記載の方法。   The method of claim 1, wherein the hydride having a flow rate in a range of about 1 sccm to about 40 sccm is provided to the process chamber. 前記ハイドライドの前記流量が約3sccm〜約30sccmの範囲内であり、前記水素の前記流量が約3slm〜約15slmの範囲内である、請求項6に記載の方法。 The method of claim 6, wherein the flow rate of the hydride is in the range of about 3 sccm to about 30 sccm, and the flow rate of the hydrogen is in the range of about 3 slm to about 15 slm. 前記ハイドライド化合物の前記流量が約5sccm〜約15sccmの範囲内であり、前記水素が約5slm〜約10slmの範囲内の流量を備える、請求項7に記載の方法。   8. The method of claim 7, wherein the flow rate of the hydride compound is in the range of about 5 seem to about 15 seem and the hydrogen comprises a flow rate in the range of about 5 slm to about 10 slm. 前記基板が約350℃〜約420℃の範囲内の温度に加熱される、請求項1に記載の方法。   The method of claim 1, wherein the substrate is heated to a temperature in the range of about 350 degrees Celsius to about 420 degrees Celsius. 事前含浸プロセス時に前記還元剤を備える事前含浸ガスに前記下地層を曝すステップであって、前記下地層が約5秒〜約60秒の範囲内の期間、前記還元剤に曝されるステップをさらに備える、請求項1に記載の方法。   Exposing the underlayer to a pre-impregnation gas comprising the reducing agent during a pre-impregnation process, wherein the underlayer is exposed to the reducing agent for a period in the range of about 5 seconds to about 60 seconds. The method of claim 1 comprising. 前記期間が約10秒〜約30秒の範囲内である、請求項10に記載の方法。   The method of claim 10, wherein the period is in the range of about 10 seconds to about 30 seconds. 事後含浸プロセス時に前記還元剤を備える事後含浸ガスに前記タングステン核形成層を曝すステップであって、前記タングステン核形成層が約5秒〜約60秒の範囲内の期間、前記還元剤に曝されるステップをさらに備える、請求項1に記載の方法。   Exposing the tungsten nucleation layer to a post-impregnation gas comprising the reducing agent during a post-impregnation process, wherein the tungsten nucleation layer is exposed to the reducing agent for a period in the range of about 5 seconds to about 60 seconds. The method of claim 1, further comprising: 前記期間が約10秒〜約30秒の範囲内である、請求項12に記載の方法。   The method of claim 12, wherein the period is in the range of about 10 seconds to about 30 seconds. 前記タングステンバルク層が約8Ωμ−cm以下の、基板で測定された抵抗率を有する、請求項1に記載の方法。   The method of claim 1, wherein the tungsten bulk layer has a resistivity measured at a substrate of about 8 Ωμ-cm or less. 前記下地層が、バリア層であり、金属チタン、窒化チタン、金属タンタル、窒化タンタル、ルテニウム、ニッケル、コバルト、金属タングステン、窒化タングステン、これらのシリサイド、これらの誘導体、これらの合金およびこれらの組合せから構成される群より選択される材料を備える、請求項1に記載の方法。   The underlayer is a barrier layer, and is made of metal titanium, titanium nitride, metal tantalum, tantalum nitride, ruthenium, nickel, cobalt, metal tungsten, tungsten nitride, silicides thereof, derivatives thereof, alloys thereof and combinations thereof. The method of claim 1, comprising a material selected from the group consisting of.
JP2008128784A 2007-05-15 2008-05-15 Atomic layer deposition process for tungsten material Pending JP2009024252A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US93812407P 2007-05-15 2007-05-15

Publications (1)

Publication Number Publication Date
JP2009024252A true JP2009024252A (en) 2009-02-05

Family

ID=40125153

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008128784A Pending JP2009024252A (en) 2007-05-15 2008-05-15 Atomic layer deposition process for tungsten material

Country Status (4)

Country Link
JP (1) JP2009024252A (en)
KR (1) KR20080101745A (en)
CN (1) CN101308794B (en)
TW (1) TWI493058B (en)

Cited By (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010251760A (en) * 2009-04-16 2010-11-04 Novellus Systems Inc Method for depositing ultra thin low resistivity tungsten film for small critical dimension contact and interconnect
JP2013080891A (en) * 2011-09-22 2013-05-02 Toshiba Corp Semiconductor device and manufacturing method of the same
JP2013122068A (en) * 2011-12-09 2013-06-20 Ulvac Japan Ltd Method for forming tungsten compound film and semiconductor device
JP2013213274A (en) * 2011-11-25 2013-10-17 Tokyo Electron Ltd Tungsten film forming method
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
JP2015221940A (en) * 2014-05-09 2015-12-10 ラム リサーチ コーポレーションLam Research Corporation Method for preparing tungsten and tungsten nitride thin film by using tungsten chloride precursor
US9240347B2 (en) 2012-03-27 2016-01-19 Novellus Systems, Inc. Tungsten feature fill
WO2016120957A1 (en) * 2015-01-26 2016-08-04 株式会社日立国際電気 Semiconductor-device manufacturing method, substrate treating apparatus, and recording medium
JP2016186094A (en) * 2015-03-27 2016-10-27 東京エレクトロン株式会社 Tungsten film deposition method
JP2016225396A (en) * 2015-05-28 2016-12-28 東京エレクトロン株式会社 Stress reduction method of metal film and film forming method of metal film
JP2017008412A (en) * 2015-05-27 2017-01-12 ラム リサーチ コーポレーションLam Research Corporation Low fluorine tungsten deposition by subsequent cvd process
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
JPWO2015080058A1 (en) * 2013-11-27 2017-03-16 東京エレクトロン株式会社 Method for forming tungsten film
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
KR20170138057A (en) * 2016-06-06 2017-12-14 어플라이드 머티어리얼스, 인코포레이티드 Halogen-containing silane-based metal silicide as nucleation layer for tungsten ald
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
JP2019044266A (en) * 2017-08-30 2019-03-22 アーエスエム・イーぺー・ホールディング・ベスローテン・フェンノートシャップ Layer formation method
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
CN110459503A (en) * 2014-09-30 2019-11-15 朗姆研究公司 The feature filling inhibited with coring
US10510590B2 (en) 2017-04-10 2019-12-17 Lam Research Corporation Low resistivity films containing molybdenum
KR20190141256A (en) * 2017-05-12 2019-12-23 어플라이드 머티어리얼스, 인코포레이티드 Deposition of Metal Silicide Layers on Substrates and Chamber Components
JP2020050949A (en) * 2018-09-20 2020-04-02 東京エレクトロン株式会社 Film deposition method and substrate processing system
CN111149190A (en) * 2017-07-13 2020-05-12 应用材料公司 Method and apparatus for depositing tungsten nucleation layers
JP2021015947A (en) * 2019-07-16 2021-02-12 東京エレクトロン株式会社 FORMING METHOD OF RuSi FILM AND SUBSTRATE PROCESSING SYSTEM
US11348795B2 (en) 2017-08-14 2022-05-31 Lam Research Corporation Metal fill process for three-dimensional vertical NAND wordline
CN114958036A (en) * 2022-06-30 2022-08-30 厦门韫茂科技有限公司 Pearlescent pigment and preparation method thereof
US11549175B2 (en) 2018-05-03 2023-01-10 Lam Research Corporation Method of depositing tungsten and other metals in 3D NAND structures
US11970766B2 (en) 2023-01-17 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus

Families Citing this family (189)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8778797B2 (en) * 2010-09-27 2014-07-15 Novellus Systems, Inc. Systems and methods for selective tungsten deposition in vias
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US8975184B2 (en) * 2012-07-27 2015-03-10 Novellus Systems, Inc. Methods of improving tungsten contact resistance in small critical dimension features
US9169556B2 (en) * 2012-10-11 2015-10-27 Applied Materials, Inc. Tungsten growth modulation by controlling surface composition
US9230815B2 (en) 2012-10-26 2016-01-05 Appled Materials, Inc. Methods for depositing fluorine/carbon-free conformal tungsten
US11043386B2 (en) 2012-10-26 2021-06-22 Applied Materials, Inc. Enhanced spatial ALD of metals through controlled precursor mixing
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
CN104701244B (en) * 2013-12-09 2018-05-11 中芯国际集成电路制造(上海)有限公司 Method for filling through hole
CN105405764B (en) * 2014-07-25 2018-07-31 中国科学院微电子研究所 Method, semi-conductor device manufacturing method
CN105514024B (en) * 2014-09-22 2018-11-16 中芯国际集成电路制造(上海)有限公司 The preparation method of metal filled up plug
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
CN105839068B (en) * 2015-01-30 2018-09-21 东京毅力科创株式会社 The film build method of tungsten film
JP6706903B2 (en) * 2015-01-30 2020-06-10 東京エレクトロン株式会社 Method for forming tungsten film
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6478813B2 (en) 2015-05-28 2019-03-06 東京エレクトロン株式会社 Method for forming metal film
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9972694B2 (en) 2015-10-20 2018-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. Atomic layer deposition methods and structures thereof
US9978601B2 (en) * 2015-10-20 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for pre-deposition treatment of a work-function metal layer
US9799745B2 (en) * 2015-10-20 2017-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition methods and structures thereof
US9793139B2 (en) * 2015-10-29 2017-10-17 Sandisk Technologies Llc Robust nucleation layers for enhanced fluorine protection and stress reduction in 3D NAND word lines
TWI716511B (en) * 2015-12-19 2021-01-21 美商應用材料股份有限公司 Conformal amorphous silicon as nucleation layer for w ald process
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP6710089B2 (en) * 2016-04-04 2020-06-17 東京エレクトロン株式会社 Method for forming tungsten film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
WO2018013778A1 (en) 2016-07-14 2018-01-18 Entegris, Inc. Cvd mo deposition by using mooc14
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US9984869B1 (en) * 2017-04-17 2018-05-29 Asm Ip Holding B.V. Method of plasma-assisted cyclic deposition using ramp-down flow of reactant gas
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10199267B2 (en) * 2017-06-30 2019-02-05 Lam Research Corporation Tungsten nitride barrier layer deposition
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
JP7214724B2 (en) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. Storage device for storing wafer cassettes used in batch furnaces
JP7206265B2 (en) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. Equipment with a clean mini-environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (en) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. Method of depositing a gap fill layer by plasma assisted deposition
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (en) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
KR102513403B1 (en) * 2018-07-30 2023-03-24 주식회사 원익아이피에스 Methods of depositing tungsten
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP7138518B2 (en) 2018-08-31 2022-09-16 東京エレクトロン株式会社 Film forming method and film forming system
CN110875245B (en) * 2018-09-04 2023-06-16 北京北方华创微电子装备有限公司 Thin film deposition method for filling holes or trenches
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
CN110923659B (en) 2018-09-20 2022-07-08 东京毅力科创株式会社 Film forming method and substrate processing system
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (en) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming device structure using selective deposition of gallium nitride, and system for the same
KR20210077797A (en) * 2018-12-19 2021-06-25 엔테그리스, 아이엔씨. Method of depositing a layer of tungsten or molybdenum in the presence of a reducing co-reactant
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
JP2020136677A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic accumulation method for filing concave part formed inside front surface of base material, and device
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
JP2020136678A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Method for filing concave part formed inside front surface of base material, and device
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108248A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. STRUCTURE INCLUDING SiOCN LAYER AND METHOD OF FORMING SAME
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system including a gas detector
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (en) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. Liquid level sensor for a chemical source vessel
JP2022544931A (en) * 2019-08-12 2022-10-24 ラム リサーチ コーポレーション tungsten deposition
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
CN112652709A (en) * 2019-10-10 2021-04-13 上海磁宇信息科技有限公司 Seed layer forming method of magnetic tunnel junction
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
CN112928061A (en) * 2019-12-05 2021-06-08 中芯国际集成电路制造(上海)有限公司 Semiconductor structure and forming method thereof
CN112992667A (en) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 Method of forming vanadium nitride layer and structure including vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
CN111139451A (en) * 2020-01-02 2020-05-12 长江存储科技有限责任公司 Film structure, film structure deposition method and equipment
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
KR20210100010A (en) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
CN113394086A (en) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 Method for producing a layer structure having a target topological profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
CN114639631A (en) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 Fixing device for measuring jumping and swinging
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6635965B1 (en) * 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
JP2004536225A (en) * 2001-07-16 2004-12-02 アプライド マテリアルズ インコーポレイテッド Method and apparatus for depositing tungsten after surface treatment to improve film properties
JP2005504885A (en) * 2001-07-25 2005-02-17 アプライド マテリアルズ インコーポレイテッド Barrier formation using a novel sputter deposition method
JP2005505690A (en) * 2001-10-10 2005-02-24 アプライド マテリアルズ インコーポレイテッド Method for depositing a refractory metal layer using a series of deposition techniques
JP2005516119A (en) * 2001-12-17 2005-06-02 アプライド マテリアルズ インコーポレイテッド Tungsten deposition process by pulsed gas flow CVD.
JP2007046134A (en) * 2005-08-11 2007-02-22 Tokyo Electron Ltd Method for forming metallic film, and recording medium with program recorded therein
WO2007038050A2 (en) * 2005-09-21 2007-04-05 Applied Materials, Inc. Treatment processes for a batch ald reactor

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3358328B2 (en) * 1994-10-27 2002-12-16 ソニー株式会社 Method of forming high melting point metal film
TW539760B (en) * 2001-10-12 2003-07-01 Taiwan Semiconductor Mfg Method of depositing tungsten atomic layer by chemical vapor deposition

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6635965B1 (en) * 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
JP2004536225A (en) * 2001-07-16 2004-12-02 アプライド マテリアルズ インコーポレイテッド Method and apparatus for depositing tungsten after surface treatment to improve film properties
JP2005504885A (en) * 2001-07-25 2005-02-17 アプライド マテリアルズ インコーポレイテッド Barrier formation using a novel sputter deposition method
JP2005505690A (en) * 2001-10-10 2005-02-24 アプライド マテリアルズ インコーポレイテッド Method for depositing a refractory metal layer using a series of deposition techniques
JP2005516119A (en) * 2001-12-17 2005-06-02 アプライド マテリアルズ インコーポレイテッド Tungsten deposition process by pulsed gas flow CVD.
JP2007046134A (en) * 2005-08-11 2007-02-22 Tokyo Electron Ltd Method for forming metallic film, and recording medium with program recorded therein
WO2007038050A2 (en) * 2005-09-21 2007-04-05 Applied Materials, Inc. Treatment processes for a batch ald reactor

Cited By (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9583385B2 (en) 2001-05-22 2017-02-28 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
JP2010251759A (en) * 2009-04-16 2010-11-04 Novellus Systems Inc Method for forming tungsten contact and interconnect with small critical dimensions
US9673146B2 (en) 2009-04-16 2017-06-06 Novellus Systems, Inc. Low temperature tungsten film deposition for small critical dimension contacts and interconnects
JP2010251760A (en) * 2009-04-16 2010-11-04 Novellus Systems Inc Method for depositing ultra thin low resistivity tungsten film for small critical dimension contact and interconnect
US9236297B2 (en) 2009-04-16 2016-01-12 Novellus Systems, Inc. Low tempature tungsten film deposition for small critical dimension contacts and interconnects
US10103058B2 (en) 2009-08-04 2018-10-16 Novellus Systems, Inc. Tungsten feature fill
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US9653353B2 (en) 2009-08-04 2017-05-16 Novellus Systems, Inc. Tungsten feature fill
JP2013080891A (en) * 2011-09-22 2013-05-02 Toshiba Corp Semiconductor device and manufacturing method of the same
JP2013213274A (en) * 2011-11-25 2013-10-17 Tokyo Electron Ltd Tungsten film forming method
JP2013122068A (en) * 2011-12-09 2013-06-20 Ulvac Japan Ltd Method for forming tungsten compound film and semiconductor device
US9240347B2 (en) 2012-03-27 2016-01-19 Novellus Systems, Inc. Tungsten feature fill
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
JP2019167634A (en) * 2013-11-27 2019-10-03 東京エレクトロン株式会社 Film deposition method and film deposition apparatus for tungsten film
JPWO2015080058A1 (en) * 2013-11-27 2017-03-16 東京エレクトロン株式会社 Method for forming tungsten film
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
JP2015221940A (en) * 2014-05-09 2015-12-10 ラム リサーチ コーポレーションLam Research Corporation Method for preparing tungsten and tungsten nitride thin film by using tungsten chloride precursor
CN110459503A (en) * 2014-09-30 2019-11-15 朗姆研究公司 The feature filling inhibited with coring
CN110459503B (en) * 2014-09-30 2024-04-16 朗姆研究公司 Method and apparatus for filling features in a substrate
US20220102208A1 (en) * 2014-09-30 2022-03-31 Lam Research Corporation Feature fill with nucleation inhibition
US11901227B2 (en) * 2014-09-30 2024-02-13 Lam Research Corporation Feature fill with nucleation inhibition
US10276393B2 (en) 2015-01-26 2019-04-30 Kokusai Electric Corporation Method of manufacturing semiconductor device
JPWO2016120957A1 (en) * 2015-01-26 2017-08-10 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing apparatus, and recording medium
WO2016120957A1 (en) * 2015-01-26 2016-08-04 株式会社日立国際電気 Semiconductor-device manufacturing method, substrate treating apparatus, and recording medium
US10529722B2 (en) 2015-02-11 2020-01-07 Lam Research Corporation Tungsten for wordline applications
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
JP2016186094A (en) * 2015-03-27 2016-10-27 東京エレクトロン株式会社 Tungsten film deposition method
JP7092456B2 (en) 2015-05-27 2022-06-28 ラム リサーチ コーポレーション Deposit of low fluorine tungsten by continuous CVD process
JP2017008412A (en) * 2015-05-27 2017-01-12 ラム リサーチ コーポレーションLam Research Corporation Low fluorine tungsten deposition by subsequent cvd process
US10546751B2 (en) 2015-05-27 2020-01-28 Lam Research Corporation Forming low resistivity fluorine free tungsten film without nucleation
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
JP2016225396A (en) * 2015-05-28 2016-12-28 東京エレクトロン株式会社 Stress reduction method of metal film and film forming method of metal film
US11174551B2 (en) 2016-06-06 2021-11-16 Applied Materials, Inc. Methods for depositing tungsten on halosilane based metal silicide nucleation layers
US11702742B2 (en) 2016-06-06 2023-07-18 Applied Materials, Inc. Methods of forming nucleation layers with halogenated silanes
KR20220125204A (en) * 2016-06-06 2022-09-14 어플라이드 머티어리얼스, 인코포레이티드 Halogen-containing silane-based metal silicide as nucleation layer for tungsten ald
KR102441431B1 (en) * 2016-06-06 2022-09-06 어플라이드 머티어리얼스, 인코포레이티드 Processing methods comprising positioning a substrate with a surface in a processing chamber
KR102626870B1 (en) * 2016-06-06 2024-01-17 어플라이드 머티어리얼스, 인코포레이티드 Processing methods comprising forming a nucleation layer on a substrate surface
KR20170138057A (en) * 2016-06-06 2017-12-14 어플라이드 머티어리얼스, 인코포레이티드 Halogen-containing silane-based metal silicide as nucleation layer for tungsten ald
US10510590B2 (en) 2017-04-10 2019-12-17 Lam Research Corporation Low resistivity films containing molybdenum
KR20190141256A (en) * 2017-05-12 2019-12-23 어플라이드 머티어리얼스, 인코포레이티드 Deposition of Metal Silicide Layers on Substrates and Chamber Components
CN110622282A (en) * 2017-05-12 2019-12-27 应用材料公司 Depositing metal silicide layers on substrates and chamber components
JP2020520116A (en) * 2017-05-12 2020-07-02 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Deposition of metallic silicon compound layers on substrate and chamber components
KR102601706B1 (en) * 2017-05-12 2023-11-10 어플라이드 머티어리얼스, 인코포레이티드 Deposition of metal silicide layers on substrates and chamber components
JP7221879B2 (en) 2017-05-12 2023-02-14 アプライド マテリアルズ インコーポレイテッド Deposition of metal silicide layers on substrates and chamber components
CN110622282B (en) * 2017-05-12 2023-08-04 应用材料公司 Deposition of metal silicide layers on substrates and chamber components
JP2020526669A (en) * 2017-07-13 2020-08-31 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Methods and equipment for depositing tungsten nucleation layers
CN111149190A (en) * 2017-07-13 2020-05-12 应用材料公司 Method and apparatus for depositing tungsten nucleation layers
US11348795B2 (en) 2017-08-14 2022-05-31 Lam Research Corporation Metal fill process for three-dimensional vertical NAND wordline
JP2019044266A (en) * 2017-08-30 2019-03-22 アーエスエム・イーぺー・ホールディング・ベスローテン・フェンノートシャップ Layer formation method
JP7460319B2 (en) 2017-08-30 2024-04-02 エーエスエム・アイピー・ホールディング・ベー・フェー Layer formation method
US11549175B2 (en) 2018-05-03 2023-01-10 Lam Research Corporation Method of depositing tungsten and other metals in 3D NAND structures
JP7296790B2 (en) 2018-09-20 2023-06-23 東京エレクトロン株式会社 Film forming method and substrate processing system
JP2020050949A (en) * 2018-09-20 2020-04-02 東京エレクトロン株式会社 Film deposition method and substrate processing system
JP7296806B2 (en) 2019-07-16 2023-06-23 東京エレクトロン株式会社 RuSi film forming method and substrate processing system
JP2021015947A (en) * 2019-07-16 2021-02-12 東京エレクトロン株式会社 FORMING METHOD OF RuSi FILM AND SUBSTRATE PROCESSING SYSTEM
US11978666B2 (en) 2019-12-05 2024-05-07 Lam Research Corporation Void free low stress fill
US11972952B2 (en) 2019-12-13 2024-04-30 Lam Research Corporation Atomic layer deposition on 3D NAND structures
US11970776B2 (en) 2020-01-27 2024-04-30 Lam Research Corporation Atomic layer deposition of metal films
CN114958036B (en) * 2022-06-30 2023-12-01 丰田自动车株式会社 Pearlescent pigment and preparation method thereof
CN114958036A (en) * 2022-06-30 2022-08-30 厦门韫茂科技有限公司 Pearlescent pigment and preparation method thereof
US11970766B2 (en) 2023-01-17 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus

Also Published As

Publication number Publication date
KR20080101745A (en) 2008-11-21
TW200914630A (en) 2009-04-01
CN101308794B (en) 2010-09-15
TWI493058B (en) 2015-07-21
CN101308794A (en) 2008-11-19

Similar Documents

Publication Publication Date Title
US8513116B2 (en) Atomic layer deposition of tungsten materials
JP2009024252A (en) Atomic layer deposition process for tungsten material
US7405158B2 (en) Methods for depositing tungsten layers employing atomic layer deposition techniques
US6797340B2 (en) Method for depositing refractory metal layers employing sequential deposition techniques
US8835311B2 (en) High temperature tungsten metallization process
US7732327B2 (en) Vapor deposition of tungsten materials
US6827978B2 (en) Deposition of tungsten films
KR101263856B1 (en) Method of depositing tungsten film with reduced resistivity and improved surface morphology
KR20000077359A (en) Method of chemical vapor depositing tungsten films
JP2005518088A (en) Formation of tungsten composite film
WO2009042713A1 (en) Vapor deposition of tungsten materials
CN115836380A (en) Low resistance pulsed CVD tungsten
US11869806B2 (en) Methods of forming molybdenum contacts
US20240060175A1 (en) Conformal molybdenum deposition
TW202413681A (en) Conformal molybdenum deposition
TW202413683A (en) Plasma-enhanced molybdenum deposition

Legal Events

Date Code Title Description
RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101118

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101209

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110516

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20120925

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130124

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130424

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130430

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130724

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130909

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20131209

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20131212

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20140611