JP2008097511A - Information processing system which performs design and verification of circuit board - Google Patents

Information processing system which performs design and verification of circuit board Download PDF

Info

Publication number
JP2008097511A
JP2008097511A JP2006281415A JP2006281415A JP2008097511A JP 2008097511 A JP2008097511 A JP 2008097511A JP 2006281415 A JP2006281415 A JP 2006281415A JP 2006281415 A JP2006281415 A JP 2006281415A JP 2008097511 A JP2008097511 A JP 2008097511A
Authority
JP
Japan
Prior art keywords
timing
component
processing system
information processing
wiring
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2006281415A
Other languages
Japanese (ja)
Inventor
Masatoshi Yoshihara
政敏 吉原
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Priority to JP2006281415A priority Critical patent/JP2008097511A/en
Publication of JP2008097511A publication Critical patent/JP2008097511A/en
Pending legal-status Critical Current

Links

Images

Landscapes

  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To provide a technique which performs a design and check including timing specifications and prevents re-design in a design downstream and can improve a design efficiency and quality in a system of a design and a verification of a circuit board. <P>SOLUTION: An information processing system detects an unsuitable point about the timing specifications and takes measures against it by performing a brief timing check (S210) about the timing specifications of the components configured temporarily and sites, in a stage after a specification examination and before a circuit design about the circuit board. It calculates a period (T1) of the 1st wiring delay permitted about the components and the sites which a user chooses (S211). It calculates a period (T2) of the 2nd wiring delay in the temporary configuration in a process of a simple CAD which configures the selection components temporarily and displays it on an output unit (S212). It compares T1 with T2 and performs a process of check whether being adequate (S213). <P>COPYRIGHT: (C)2008,JPO&INPIT

Description

本発明は、回路基板の仕様及び実装に関する設計及び検証の技術に関し、特に、タイミング仕様のチェックに関する。   The present invention relates to design and verification techniques related to circuit board specifications and mounting, and more particularly to timing specification checks.

例えば大型ディスクアレイ装置のコントローラ部は、プリント回路基板が数十枚組み合わされた構成である。各回路基板には、様々な部品が搭載され、それらの部品間を接続するデータ転送バス(伝送路)がある。そのデータ転送バスは、装置の高性能化の要求により、動作周波数が向上し、タイミング仕様を満足することが年々難しくなっている。   For example, the controller section of a large disk array device has a configuration in which several tens of printed circuit boards are combined. Various components are mounted on each circuit board, and there is a data transfer bus (transmission path) that connects the components. The data transfer bus has been improved year by year due to demands for higher performance of the device, and it has become difficult to satisfy the timing specifications.

また、部品の発熱も大きくなってきているので、熱・温度を考慮して部品配置及び回路設計することも重要であり、上記タイミング仕様との関連性が高まっている。   In addition, since the heat generation of parts is increasing, it is also important to arrange parts and design a circuit in consideration of heat and temperature, and the relevance to the timing specification is increasing.

従来の回路基板の設計及び検証の技術では、回路設計後、基板設計CADシステムにおいて部品配置・配線(構成)を行い、伝送シミュレーションを利用してタイミングチェックを行うことが一般的である。特開平11−288431号公報(特許文献1)には、そのような技術の例が記載されている。
特開平11−288431号公報
In the conventional circuit board design and verification technology, after circuit design, component placement / wiring (configuration) is performed in a board design CAD system, and timing check is performed using transmission simulation. Japanese Patent Application Laid-Open No. 11-288431 (Patent Document 1) describes an example of such a technique.
JP-A-11-288431

従来技術では、主な問題点として、回路部品のタイミングチェックのためには大きな手間がかかり、設計上流工程でのタイミングチェックには対応していない。また特に、回路設計後にタイミング起因の手戻りが発生することにより、設計効率・品質が悪化する恐れがある。詳しくは以下である。   In the prior art, as a main problem, a large amount of time is required for the timing check of the circuit components, and the timing check in the design upstream process is not supported. In particular, there is a possibility that design efficiency and quality may deteriorate due to timing-related rework after circuit design. Details are as follows.

従来技術では、所定のフローにおいて、基板設計CAD及び伝送シミュレーション等のツールを利用して、回路部品の配置・配線(実構成)の前に、仮負荷条件でクリティカル部位のタイミングチェックを行い、更に実構成の後に、実負荷条件で全ての部位のタイミングチェックを行っている。   In the prior art, using a tool such as board design CAD and transmission simulation in a predetermined flow, the timing of critical parts is checked under temporary load conditions before circuit component placement and wiring (actual configuration). After the actual configuration, all parts are checked for timing under actual load conditions.

一方、装置のデータ転送高速化の傾向により、回路基板全体のタイミング設計の難易度が年々上がってきている。そのため、従来技術では、設計下流工程でタイミング仕様を満たさないことを起因とする手戻り(再検討・修正)が増加し、設計効率・品質が悪化してしまう恐れがある。手戻りが発生すると、設計上流工程から再度設計等をしなければならず、コストがかかる。   On the other hand, the difficulty in designing the timing of the entire circuit board has been increasing year by year due to the trend toward higher data transfer speeds of devices. Therefore, in the prior art, rework (reconsideration / correction) caused by not satisfying the timing specification in the design downstream process increases, and the design efficiency / quality may be deteriorated. When rework occurs, it is necessary to design again from the design upstream process, which is costly.

設計下流工程での手戻りを無くして設計効率・品質を上げるには、タイミング起因の不具合、実装不可能または実装困難または不適切なタイミング仕様の部位を、設計上流工程、即ち回路設計前または途中の段階で早期に検出して対策しておくことが重要及び有効であると考えられる。   In order to improve design efficiency and quality by eliminating rework in the downstream design process, troubles due to timing, parts that cannot be mounted, are difficult to mount, or have improper timing specifications are placed in the upstream process, that is, before or during circuit design. It is considered that it is important and effective to detect and take measures at an early stage.

しかし、従来の基板設計CAD及び伝送シミュレーション等のツールでは、回路・ライブラリ等の情報が必要でそれらが無いと利用できず、また、それら情報の準備や試行作業などに大きな手間やコストがかかる。また、それらツールは、基本的に回路設計後でないと利用不可である。前記必要な情報は、例えば、タイミング仕様書、回路図シンボル情報、ネットリスト(部品接続関係定義)、部品実装シンボル情報、シミュレーションモデル等である。   However, the conventional tools such as board design CAD and transmission simulation require information such as circuits and libraries and cannot be used without them, and preparation and trial work of such information require a lot of labor and cost. In addition, these tools can be used only after circuit design. The necessary information is, for example, a timing specification, circuit diagram symbol information, a net list (component connection relation definition), component mounting symbol information, a simulation model, and the like.

そのため、設計上流工程で早期に問題箇所を検出するためのチェック、特にタイミング仕様のチェックには、対応していなかった。特に、大型ディスクアレイ装置のように大規模な製品ケースでは、設計上流工程で適時に全ての部位に対するタイミングチェックを行うことは困難である。   For this reason, the check for detecting the problem part at an early stage in the design upstream process, in particular, the check of the timing specification has not been supported. In particular, in a large-scale product case such as a large-sized disk array device, it is difficult to perform timing checks on all parts in a timely manner in the design upstream process.

また、一方、回路部品の発熱も大きくなる傾向にあるので、熱・温度を考慮して回路部品を配置・配線(構成)及び回路設計することも重要になってきている。この熱・温度を考慮した設計は、タイミング設計との関連性が高まっている。しかし、従来技術では、設計上流工程での熱・温度を考慮したタイミング設計等については行われていなかった。   On the other hand, since the heat generation of circuit components tends to increase, it is also important to arrange / wiring (configuration) and circuit design circuit components in consideration of heat and temperature. This design that takes heat and temperature into account is increasingly related to timing design. However, in the prior art, timing design and the like in consideration of heat and temperature in the design upstream process have not been performed.

本発明は以上のような問題に鑑みてなされたものであり、その目的は、回路基板の設計及び検証において、タイミングに関係する要素を考慮した設計及びチェックを行い、設計上流工程(回路設計前または途中の段階)で早期に問題箇所を検出して対策することにより、設計下流工程での手戻りを未然に防止して設計効率・品質を向上できる技術を提供することにある。   The present invention has been made in view of the above problems, and its purpose is to perform design and check in consideration of timing-related elements in circuit board design and verification, and to design upstream processes (before circuit design). Another object of the present invention is to provide a technology capable of improving design efficiency and quality by detecting a problem part at an early stage in the middle) and taking measures to prevent rework in the downstream process.

本願において開示される発明のうち、代表的なものの概要を簡単に説明すれば、次のとおりである。前記目的を達成するために、本発明は、回路基板の設計及び検証のシステムにおいて、タイミングに関係する要素を考慮した設計及び検証(チェック)を行うものであり、設計上流工程、即ち回路基板の仕様検討後で回路設計前または途中の段階で、概略タイミングチェックを行うことにより、タイミング仕様に関して早期に問題箇所(実装不可能または実装困難または不適切なタイミング仕様の部位)を検出して対策する。特に設計下流工程での手戻りを低減させる。本システムは、例えば、ユーザ(設計者)が使用するコンピュータ(情報処理装置)において、概略タイミングチェック処理機能(プログラム)及び関連情報・データ(データベース)により実現される。   Of the inventions disclosed in the present application, the outline of typical ones will be briefly described as follows. In order to achieve the above object, the present invention performs design and verification (check) in consideration of factors related to timing in a circuit board design and verification system. By performing a rough timing check after circuit design and before or during circuit design, it can detect and take countermeasures at an early stage regarding the timing specifications (parts that cannot be mounted, are difficult to mount, or have inappropriate timing specifications). . Reduce rework especially in the design downstream process. This system is realized, for example, by a general timing check processing function (program) and related information / data (database) in a computer (information processing apparatus) used by a user (designer).

本概略タイミングチェックは、基板設計の全体のフローにおける設計上流工程で行う、必要な情報・データ量及び計算量が小さい簡易処理である。これは、従来の設計下流工程における詳細なタイミングチェックとは異なり、前記回路・ライブラリ等の情報が必要な高機能・高価な基板設計CAD及び伝送シミュレーション等のツールを必要としない。また、本概略タイミングチェックでは、熱・温度などを含むタイミングに関係する要素を考慮したチェックが可能である。   This general timing check is a simple process with a small amount of necessary information / data and calculation amount, which is performed in an upstream design process in the overall board design flow. This is different from the detailed timing check in the conventional design downstream process, and does not require tools such as a high-function / expensive board design CAD and transmission simulation that require information such as circuits and libraries. In this general timing check, it is possible to perform a check in consideration of factors related to timing including heat and temperature.

(1) 本システムは、フロー中、仕様設計後で回路設計前(または途中)に、概略タイミングチェックの処理工程を設け、本処理工程では、具体的には以下のような処理を行う。   (1) This system provides a rough timing check processing step in the flow after specification design but before circuit design (or during the process). Specifically, in this processing step, the following processing is performed.

(1−1) ユーザが、部品仕様等が格納されているデータベースから、使用する部品を選択または検索する。選択された部品及びそれを含む部位について、タイミング計算プログラムにより、許容配線遅延時間(T1:第1の時間)を計算する。データベースから、使用する部品のタイミング仕様を検索することができる。T1は、部品(ドライバ、レシーバ)の固有のタイミング仕様から決まる、許容可能な遅延時間である。データベースには、部品について、タイミング仕様、簡易CADでの外形情報、ドライバ伝送路インピーダンス相関情報、熱・温度関連情報(熱抵抗、消費電力、部品高さ等)などが格納される。データベースからの部品等の検索の際には、条件として、識別情報(図番品番)、区分、型名、メーカ、タイミング仕様(Tpd,Tsu,Th,Tjitter,Tcycle等)、部品外形X・Yサイズ等を指定して検索できる。   (1-1) A user selects or searches a part to be used from a database in which part specifications and the like are stored. An allowable wiring delay time (T1: first time) is calculated by the timing calculation program for the selected component and the part including the selected component. From the database, the timing specifications of the parts to be used can be retrieved. T1 is an allowable delay time determined from a specific timing specification of the component (driver, receiver). The database stores timing specifications, outline information by simple CAD, driver transmission line impedance correlation information, heat / temperature related information (thermal resistance, power consumption, component height, etc.) and the like. When searching for parts, etc. from the database, identification information (drawing part number), category, model name, manufacturer, timing specifications (Tpd, Tsu, Th, Tjitter, Tcycle, etc.), part outline X / Y You can search by specifying the size.

(1−2) 必要最小限の回路部品構成が可能な簡易CADプログラム(簡易CAD機能)により、ユーザが、部品を配置、及び部品(ドライバ、レシーバ)間を配線し、それら仮構成を、出力装置の画面に表示する。そして、簡易CADにおいて、その仮構成(接続関係にある部品の配置)における仮配置配線遅延時間(T2:第2の時間)を計算する。T2は、部品の仮構成より決まる遅延時間である。部品間の距離(配線長)を見積ることにより、T2を計算する。また例えば、ジグザグ配線して配線長を長くするように調整する場合などに、部品間の必要な配線層数(Ln)などを見積り計算する。ユーザは、これを考慮してタイミングチェックが可能である。   (1-2) Using a simple CAD program (simple CAD function) that enables the minimum required circuit component configuration, the user places components and wires between components (drivers and receivers), and outputs those temporary configurations. Display on the device screen. Then, in the simple CAD, a temporary placement wiring delay time (T2: second time) in the temporary configuration (placement of components in connection relation) is calculated. T2 is a delay time determined by the provisional configuration of the parts. T2 is calculated by estimating the distance between parts (wiring length). Further, for example, when adjustment is made so that the wiring length is increased by zigzag wiring, the necessary number of wiring layers (Ln) between components is estimated and calculated. The user can check the timing in consideration of this.

(1−3) 上記T2がT1に対して適切かどうかタイミングチェック(概略タイミングチェック)し、その結果を、シート出力及び出力装置の画面に表示する。これは、T2,T1の大小関係を調べ、基本的に条件:(T2−T1)>[マージン時間](Tm)を満足すればOK(適切)である。判定のためのマージン値(Tm)は、ユーザが任意に設定する。タイミング判定には、セットアップタイミングやホールドタイミングがある。   (1-3) A timing check (rough timing check) is performed to determine whether T2 is appropriate for T1, and the result is displayed on the sheet output and output device screen. This is OK (appropriate) if the magnitude relationship between T2 and T1 is examined and basically the condition: (T2-T1)> [margin time] (Tm) is satisfied. The margin value (Tm) for determination is arbitrarily set by the user. Timing determination includes setup timing and hold timing.

(1−4) タイミングチェック結果がNG(不適切)の場合、再検討・修正などのための対策案(換言すれば選択肢やガイド等)を出力装置の画面に表示する。ユーザは、対策案を見て、そのいずれかを選択する等して、タイミング仕様を満足させること等のために再検討・修正を行うことができる。対策案としては、部品配置、部品、周波数などの変更がある。部品変更による対策の場合には、データベースから、代替可能な部品仕様で、かつタイミング仕様が緩和される条件を満たす代替候補部品を検索して表示する。ユーザは、それらの中から比較して選択できる。   (1-4) When the result of the timing check is NG (inappropriate), a countermeasure plan for reexamination / correction (in other words, options, guides, etc.) is displayed on the screen of the output device. The user can review and modify the plan to satisfy the timing specifications by looking at the countermeasure proposal and selecting one of them. As countermeasures, there are changes in component arrangement, components, frequency, and the like. In the case of countermeasures due to component changes, substitution candidate components that can be substituted and satisfy the condition that the timing specifications are relaxed are searched from the database and displayed. The user can compare and select from them.

(1−5) また、タイミングチェック結果がOKの場合、プログラムにより、データベースに格納されているドライバ伝送路インピーダンス相関情報(IBISモデルのV−I特性に基づき計算できる)をもとに、仮構成における部品間の伝送路における最適な直列終端抵抗(Rs)を計算する。ユーザは、容易に最適な直列終端抵抗を得ることができ、回路設計に反映させることができる。   (1-5) If the timing check result is OK, a temporary configuration based on driver transmission line impedance correlation information (which can be calculated based on the VI characteristics of the IBIS model) stored in the database by a program. The optimum series termination resistance (Rs) in the transmission line between the components is calculated. The user can easily obtain the optimum series termination resistance and reflect it in the circuit design.

(1−6) また、タイミングチェック結果がOKの場合、プログラムにより、データベースに格納されている熱・温度関連情報をもとに、仮構成における隣接配置部品相互の熱の影響を反映した部品周囲の温度分布などを簡易計算する。そして部品周囲の温度分布などを、部品高さを含む部品外形、冷却風の方向などと共に、出力装置の画面にビジュアル表示する。これにより、熱・温度の状態や冷却風の流れなどが適切かどうかチェック(熱対策チェック)する。そのチェックの結果がNG(不適切)の場合、対策案を出力する。ユーザは、対策案を見て参考にして、対策・最適化などを行う。   (1-6) Also, if the timing check result is OK, the component surroundings reflects the influence of heat between adjacent components in the temporary configuration based on the heat / temperature related information stored in the database by the program. Simple calculation of temperature distribution. The temperature distribution around the part is visually displayed on the screen of the output device together with the part outline including the part height and the direction of the cooling air. This checks whether the heat and temperature conditions and the flow of cooling air are appropriate (heat countermeasure check). If the result of the check is NG (unsuitable), a countermeasure plan is output. The user performs countermeasures and optimization by referring to the countermeasure plan.

上記構成により、設計上流工程でタイミング仕様に関して早期に問題箇所を検出でき効率的に対策可能である。   With the above configuration, the problem location can be detected at an early stage in the design upstream process, and measures can be taken efficiently.

本願において開示される発明のうち、代表的なものによって得られる効果を簡単に説明すれば以下のとおりである。本発明によれば、回路基板の設計及び検証において、タイミングに関係する要素を考慮して、回路設計前または途中の段階(設計上流工程)で早期に問題箇所を検出して対策することにより、設計下流工程での手戻りを未然に防止して設計効率・品質を向上できる。   Among the inventions disclosed in the present application, effects obtained by typical ones will be briefly described as follows. According to the present invention, in designing and verifying a circuit board, by taking into account factors related to timing, by detecting a problem point at an early stage before or during circuit design (design upstream process) and taking measures, Design efficiency and quality can be improved by preventing rework in the downstream process.

以下、本発明の実施の形態を図面に基づいて詳細に説明する。なお、実施の形態を説明するための全図において、同一部には原則として同一符号を付し、その繰り返しの説明は省略する。   Hereinafter, embodiments of the present invention will be described in detail with reference to the drawings. Note that components having the same function are denoted by the same reference symbols throughout the drawings for describing the embodiment, and the repetitive description thereof will be omitted.

<従来技術>
図2を用いて、従来技術の回路基板の設計におけるフローを説明する(Sは処理工程を表す)。図2の概略タイミングチェック(S210)を除く部分が概ね従来のフローに相当する。ユーザ(設計者)は、コンピュータにおける基板設計CAD及び伝送シミュレーション等のツールを用いて、出力装置の表示画面を見ながら、設計及び検証の作業を行う。
<Conventional technology>
A flow in designing a circuit board according to the prior art will be described with reference to FIG. 2 (S represents a processing step). The portion excluding the schematic timing check (S210) in FIG. 2 generally corresponds to the conventional flow. A user (designer) performs design and verification work while viewing a display screen of the output device using a tool such as a board design CAD and transmission simulation in a computer.

まず、仕様検討(S201)では、回路基板(装置)の仕様を検討する。即ち、処理速度などの要求性能に基づく各種仕様などの検討及び決定である。回路設計(S202)では、S201で検討した仕様に基づき、回路を設計する。即ち、部品の選定、部品間接続関係や信号の定義などを含む、部品実装による実回路の設計である。次に、S203では、S202で設計した回路におけるクリティカル部位を確認し、クリティカル部位である場合(Y)、S204〜S206で、クリティカル部位についての処理を行う。クリティカル部位でない場合(N)、S207へ進む。   First, in the specification review (S201), the specification of the circuit board (device) is examined. That is, it is examination and determination of various specifications based on required performance such as processing speed. In the circuit design (S202), a circuit is designed based on the specifications studied in S201. That is, an actual circuit design by component mounting including component selection, inter-component connection relations, signal definitions, and the like. Next, in S203, the critical part in the circuit designed in S202 is confirmed, and if it is a critical part (Y), the critical part is processed in S204 to S206. If it is not a critical part (N), the process proceeds to S207.

S204では、回路部品の仮構成(仮配置/仮配線)を行う。S205では、仮構成した部位について、伝送シミュレーションを行う。S206では、同部位について、仮負荷の条件で、タイミング仕様を満たすかどうかのタイミングチェック(第1のタイミングチェック)を行う。チェック結果がOK(適切)であれば次に進み、NG(不適切)であれば、回路設計(S202)、仮構成(S203)、伝送シミュレーション(S205)等の処理工程に必要に応じて戻って、再検討・修正を行う。   In S204, a temporary configuration (temporary placement / temporary wiring) of circuit components is performed. In S205, a transmission simulation is performed for the temporarily configured part. In S206, a timing check (first timing check) is performed to determine whether or not the timing specification is satisfied under the provisional load condition for the same part. If the check result is OK (appropriate), the process proceeds to the next step. If the check result is NG (inappropriate), the process returns to the processing steps such as circuit design (S202), provisional configuration (S203), transmission simulation (S205) as necessary. Review and correct it.

S207では、回路部品の構成(配置/配線)を行う。S208では、構成した部位について、伝送シミュレーションを行う。S209では、同部位について、実負荷の条件で、タイミング仕様を満たすかどうかのタイミングチェック(第2のタイミングチェック)を行う。チェック結果がOKであれば終了し、NGであれば、仕様設計(S201)、回路設計(S202)、構成(S207)、伝送シミュレーション(S208)等に必要に応じて戻って、再検討・修正を行う。   In S207, the circuit component is configured (arranged / wired). In S208, a transmission simulation is performed for the configured part. In S209, a timing check (second timing check) is performed to determine whether or not the timing specification is satisfied under the actual load condition for the same part. If the check result is OK, the process ends. If it is NG, the process returns to the specification design (S201), circuit design (S202), configuration (S207), transmission simulation (S208), etc. as necessary, and is reviewed and corrected. I do.

従来技術では、回路設計S202以後の設計下流工程で、特にタイミング仕様を満たせないことによる手戻り(再検討・修正)が発生し得る。この手戻りが多くなるほど、設計効率が悪い。   In the prior art, rework (reconsideration / correction) may occur in the downstream design process after the circuit design S202 because the timing specification cannot be satisfied. The more rework, the worse the design efficiency.

(実施の形態)
次に、図1〜図18を用いて、本発明の一実施の形態の情報処理システムを説明する。本実施の形態では、特徴として、基本的な概略タイミングチェック処理機能を有し、加えてそれと関係する、直列終端抵抗計算機能や熱対策チェック機能などを備える構成である。
(Embodiment)
Next, an information processing system according to an embodiment of the present invention will be described with reference to FIGS. The present embodiment is characterized in that it has a basic rough timing check processing function as well as a series termination resistance calculation function, a thermal countermeasure check function, and the like related thereto.

<システム>
図1において、本情報処理システム(基板設計−概略タイミングチェックシステム)は、主にユーザ(設計者)が使用する情報処理装置100により構成され、概略タイミングチェック処理機能を備えるものである。本情報処理システムは、主に、図2のフローにおける概略タイミングチェック(S210)部分で使用する。勿論、情報処理装置100には、概略タイミングチェック処理機能以外に、他の処理工程で使用する従来機能を一緒に備える構成としてもよい。
<System>
In FIG. 1, this information processing system (board design-schematic timing check system) is mainly composed of an information processing apparatus 100 used by a user (designer) and has a rough timing check processing function. This information processing system is mainly used in the rough timing check (S210) portion in the flow of FIG. Of course, the information processing apparatus 100 may be configured to include conventional functions used in other processing steps in addition to the general timing check processing function.

情報処理装置100は、CPU101、メモリ102、出力装置103、入力装置104等を有する、一般的なPC、ワークステーション等の構成である。メモリ102には、概略タイミングチェックプログラム200を構成する各種プログラム(タイミング計算プログラム201、簡易CADプログラム202、配線層数見積プログラム203、直列終端抵抗計算プログラム204、熱計算プログラム205等)、及び関連情報・データである部品仕様DB(データベース)300を格納する。CPU101は、メモリ102上の概略タイミングチェックプログラム200を実行することにより当該処理機能を実現する。出力装置103は、CRTディスプレイやプリンタ等であり、2次元映像表示可能である。入力装置104は、キーボード、マウス等である。   The information processing apparatus 100 has a configuration such as a general PC or workstation having a CPU 101, a memory 102, an output device 103, an input device 104, and the like. The memory 102 includes various programs (timing calculation program 201, simple CAD program 202, wiring layer number estimation program 203, series termination resistance calculation program 204, thermal calculation program 205, etc.) constituting the rough timing check program 200, and related information. Stores a component specification DB (database) 300 that is data. The CPU 101 implements the processing function by executing the rough timing check program 200 on the memory 102. The output device 103 is a CRT display, a printer, or the like, and can display a two-dimensional image. The input device 104 is a keyboard, a mouse, or the like.

<フロー>
図2において、回路基板の設計及び検証の全体のフローを説明する。仕様検討(S201)後で回路設計(S202)前に、概略タイミングチェック(S210)が設けられている。それ以外の処理工程は、前述の従来技術と略同様である。概略タイミングチェック(S210)部分では、図1のシステムを用い、ユーザ(設計者)が、入力装置104の操作及び出力装置103の表示画面を見ながら作業を行う。
<Flow>
With reference to FIG. 2, the overall flow of circuit board design and verification will be described. A rough timing check (S210) is provided after the specification review (S201) and before the circuit design (S202). Other processing steps are substantially the same as those of the above-described prior art. In the rough timing check (S210) portion, the user (designer) performs the operation while operating the input device 104 and viewing the display screen of the output device 103 using the system of FIG.

概略タイミングチェック(S210)では、簡易CAD機能により基板に対する回路部品の仮構成(仮配置/仮配線)を行い、仮構成した部位について、タイミング仕様を概略的に満足するかどうかの概略タイミングチェックを行うものである。そのチェック結果がOK(適切)であれば次に進み、NG(不適切)であれば、後述する再検討・修正等を行う。   In the rough timing check (S210), the temporary configuration (temporary placement / provisional wiring) of the circuit components with respect to the board is performed by the simple CAD function, and the rough timing check is performed to check whether or not the timing specifications are generally satisfied with respect to the temporarily formed portion. Is what you do. If the check result is OK (appropriate), the process proceeds to the next step. If the check result is NG (inappropriate), reexamination / correction described later is performed.

<概略タイミングチェック>
図3において、概略タイミングチェック(S210)の詳細処理を示している。本処理では主に以下の処理工程(S211〜S215)を有する。特にS211〜S213,S220は、基本的な概略タイミングチェック処理機能に関わる。S214やS215は、省略も可能である。各処理工程の詳細については後述される。
<Outline timing check>
FIG. 3 shows detailed processing of the rough timing check (S210). This process mainly includes the following processing steps (S211 to S215). In particular, S211 to S213 and S220 relate to a basic rough timing check processing function. S214 and S215 can be omitted. Details of each processing step will be described later.

S211(タイミング計算): まず、ユーザは、部品仕様DB300から、使用する部品を選択する。選択された部品について、タイミング計算プログラム201により、許容配線遅延時間(T1)を計算する処理(タイミング計算処理)を行う。T1は、部品のタイミング仕様に基づく、許容される配線遅延時間である。   S211 (timing calculation): First, the user selects a component to be used from the component specification DB 300. For the selected component, the timing calculation program 201 performs processing (timing calculation processing) for calculating the allowable wiring delay time (T1). T1 is an allowable wiring delay time based on the component timing specifications.

S212(簡易CAD−仮構成): 次に、簡易CADプログラム202により、出力装置103の簡易CAD表示画面において、選択された部品を配置(仮構成)する。そして、その仮構成の際における仮配置配線遅延時間(T2)を計算する処理を行う。また、配線層数見積プログラム203により、必要な配線層数(Ln)を見積り計算する処理を行う。   S212 (simple CAD-provisional configuration): Next, the selected part is placed (temporary configuration) on the simple CAD display screen of the output device 103 by the simple CAD program 202. And the process which calculates the temporary arrangement | positioning wiring delay time (T2) in the case of the temporary structure is performed. In addition, the wiring layer number estimation program 203 performs processing for estimating and calculating the required number of wiring layers (Ln).

S213(タイミングチェック): 次に、上記計算した、仮配置配線遅延時間(T2)が、許容配線遅延時間(T1)に対して、適切かどうかをチェックする処理(タイミングチェック処理)を行う。即ち、条件として、それらの時間差(T2−T1)が、所定のマージン時間(Tm)よりも大きくなるかどうかを判定する。条件は、(T1−T2)>Tmである(単位は[ps(ピコ秒)]または[ns(ナノ秒)])。タイミングチェック対象は、セットアップタイミングやホールドタイミングである。マージン時間(Tm)は、セットアップマージンやホールドマージン等である。本チェック結果がOKであれば次に進み、NGであれば、対策案出力処理(S220)を通じて、必要に応じてS211やS212に戻って再検討・修正を行う。   S213 (Timing Check): Next, a process (timing check process) for checking whether or not the calculated temporary placement wiring delay time (T2) is appropriate with respect to the allowable wiring delay time (T1) is performed. That is, as a condition, it is determined whether or not the time difference (T2−T1) is greater than a predetermined margin time (Tm). The condition is (T1-T2)> Tm (unit: [ps (picosecond)] or [ns (nanosecond)]). The timing check target is setup timing and hold timing. The margin time (Tm) is a setup margin, a hold margin, or the like. If the result of this check is OK, the process proceeds to the next step, and if it is NG, the process returns to S211 and S212 as necessary through the countermeasure plan output process (S220) for review and correction.

S214(直列終端抵抗計算): 次に、直列終端抵抗計算プログラム204により、部品仕様DB300のドライバ伝送路インピーダンス相関情報303をもとに、仮構成におけるドライバ部品の伝送路における最適な直列終端抵抗(Rs)を計算する処理(直列終端抵抗計算処理)を行う。   S214 (series termination resistance calculation): Next, the optimum series termination resistance (in the transmission path of the driver component in the temporary configuration) (based on the driver transmission path impedance correlation information 303 of the component specification DB 300) by the series termination resistance calculation program 204. Rs) is calculated (series termination resistance calculation process).

S215(熱対策チェック): 次に、熱計算プログラム205により、仮構成における部品周囲の温度分布を計算し、隣接配置された部品相互の熱の影響、及び、部品高さを含めて、出力装置103の表示画面にビジュアル表示し、冷却風の流れが適切かどうかをチェックする処理(熱対策チェック処理)を行う。本チェック結果がOKであれば次に進み、NGであれば、熱対策・最適化(S230)を通じて、S211等に戻って再検討・修正を行う。   S215 (heat countermeasure check): Next, the temperature distribution around the parts in the temporary configuration is calculated by the heat calculation program 205, and the output device including the influence of the heat between the adjacently arranged parts and the part height is included. A visual display is performed on the display screen 103, and processing for checking whether the flow of cooling air is appropriate (heat countermeasure check processing) is performed. If the result of this check is OK, the process proceeds to the next step, and if it is NG, the process returns to S211 through the heat countermeasure / optimization (S230) to perform review and correction.

<部品仕様DB>
部品仕様DB300には、例えば、タイミング仕様情報301、部品外形情報302、ドライバ伝送路インピーダンス相関情報303、熱(温度)関連情報304を含む情報/データが登録されている。
<Parts specification DB>
In the component specification DB 300, for example, information / data including timing specification information 301, component outline information 302, driver transmission line impedance correlation information 303, and heat (temperature) related information 304 is registered.

タイミング仕様情報301は、タイミング計算プログラム201によるタイミング計算等に使用する。部品外形情報302は、簡易CADプログラム202において表示画面における仮想的な基板上に部品を配置(仮配置)する際の外形情報として使用する。部品外形情報302は、部品を表すシンボル(矩形)のX・Y方向サイズのように、簡易表示のためのデータのみを持ち、ピン等の詳細な情報を持つ必要は無い。ドライバ伝送路インピーダンス相関情報303は、直列終端抵抗計算プログラム204により、部品(ドライバ部品、レシーバ部品)を接続する伝送路に最適な直列終端抵抗(Rs)を計算する際に使用する。熱関連情報304(熱抵抗、消費電力、部品高さなど)は、熱計算プログラム204により、部品周囲の温度分布などを計算して表示する際に使用する。   The timing specification information 301 is used for timing calculation by the timing calculation program 201. The component outline information 302 is used as outline information when a component is arranged (provisionally arranged) on a virtual board on the display screen in the simple CAD program 202. The component outline information 302 has only data for simple display, such as the X / Y direction size of a symbol (rectangle) representing the component, and does not need to have detailed information such as pins. The driver transmission line impedance correlation information 303 is used when the series termination resistance calculation program 204 calculates the optimum series termination resistance (Rs) for the transmission line connecting the components (driver component and receiver component). The heat-related information 304 (thermal resistance, power consumption, component height, etc.) is used when the thermal calculation program 204 calculates and displays the temperature distribution around the component.

上述した実施の形態の基本構成により、回路設計(S201)前の段階(設計上流工程)で、タイミング仕様に関する問題箇所、即ち実装不可能または実装困難または不適切なタイミング仕様の部位を、早期に検出して対策することが可能となる。これにより、設計下流工程での手戻り(再検討・修正等)を未然に防止することにより、設計効率・品質が向上する。   By the basic configuration of the above-described embodiment, at the stage before the circuit design (S201) (design upstream process), the problem part related to the timing specification, that is, the part of the timing specification that cannot be mounted, is difficult to mount, or is inappropriate is early. It is possible to detect and take countermeasures. As a result, design efficiency and quality are improved by preventing rework (reconsideration, correction, etc.) in the downstream process of design.

また特に、部品選択時にユーザが部品データシートを参照して調べる手間無しで、データベースから即座に部品仕様を確認して比較検討が可能である。   In particular, it is possible to immediately confirm the component specifications from the database and perform comparative studies without the need for the user to refer to the component data sheet for checking when selecting the components.

<部品検索>
また、概略タイミングチェック(S210)では、チェック対象となる部品(ドライバ部品とレシーバ部品)を、部品仕様DB300から検索する。
<Part search>
In the rough timing check (S210), the parts to be checked (driver parts and receiver parts) are searched from the part specification DB 300.

図4において、部品仕様DB300のテーブル情報構成及び検索の例を示している。部品の検索では、例えば、ユーザ入力操作により下記のような情報を指定して絞り込んで検索することができる。検索条件項目として、部品についての、図番品番(識別情報)、部品区分、部品型名、メーカ、Tpd(Tpd_min,Tpd_max):出力遅延時間、Tsu:セットアップ時間、Th:ホールド時間、Tjitter(ジッタ)、部品外形(X,Yサイズ)等がある。特にタイミング仕様301に係わり、Tpd、Tsu、Th等を有する。部品区分は、例えば、ロジック、メモリ、プロセッサ等の第1区分(「部品区分1」)、更に型や容量・処理速度といった階層的な区分を設ける。なお図4中には示していないが、同テーブル内には、ドライバ伝送路インピーダンス相関情報303や熱関連情報304等の、他情報項目も関連して含まれている。図4における検索条件の例は、「部品区分1」がメモリであり、Tpd_maxが3.8未満である。   FIG. 4 shows an example of the table information configuration and search of the component specification DB 300. In searching for parts, for example, the following information can be specified and narrowed down by a user input operation. As search condition items, part number, identification number, part classification, part model name, manufacturer, Tpd (Tpd_min, Tpd_max): output delay time, Tsu: setup time, Th: hold time, Tjitter (jitter) ), Part outline (X, Y size) and the like. In particular, the timing specification 301 includes Tpd, Tsu, Th, and the like. For the component classification, for example, a first classification (“component classification 1”) such as logic, memory, and processor and a hierarchical classification such as a type, capacity, and processing speed are provided. Although not shown in FIG. 4, other information items such as driver transmission line impedance correlation information 303 and heat-related information 304 are also included in the same table. In the example of the search condition in FIG. 4, “part category 1” is a memory, and Tpd_max is less than 3.8.

<タイミング仕様>
次に、図5〜図7を用いて、S211〜S213におけるタイミング仕様及びタイミングチェックの概念及び具体例について説明する。
<Timing specifications>
Next, the concept and specific examples of timing specifications and timing checks in S211 to S213 will be described with reference to FIGS.

図5の回路ブロック構成において、図6に示すようなタイミング仕様のタイミングチェックを行う。そのためには、ドライバ部品(DRIVER)A及びレシーバ部品(RECEIVER)Bのそれぞれ固有のタイミング仕様(タイミング仕様情報301による)から決まる許容配線遅延時間(T1)、及び、部品の配置(仮構成)により決まる仮配置配線遅延時間(T2)を計算して(S211,S212)、双方の大小関係を調べる(S213)。タイミング計算及びチェックにより、図7のようなタイミング計算シートを処理及び出力する。   In the circuit block configuration of FIG. 5, the timing check of the timing specifications as shown in FIG. 6 is performed. For that purpose, the allowable wiring delay time (T1) determined from the specific timing specifications (according to the timing specification information 301) of the driver component (DRIVER) A and the receiver component (RECEIVER) B, and the arrangement (provisional configuration) of the components The determined temporary placement and routing delay time (T2) is calculated (S211 and S212), and the magnitude relationship between the two is examined (S213). The timing calculation sheet as shown in FIG. 7 is processed and output by the timing calculation and check.

S211では、使用する部品のタイミング仕様を、部品仕様DB300のタイミング仕様情報301から検索し、タイミング計算プログラム201及びタイミング計算シート(図7)により、許容配線遅延時間:T1={Tpcb_max,Tpcb_min}を計算する。この際のタイミング関係式は、T1_Setupタイミングについては式(1)、T1_Holdタイミングについては式(2)である。   In S211, the timing specification of the component to be used is searched from the timing specification information 301 of the component specification DB 300, and the allowable wiring delay time: T1 = {Tpcb_max, Tpcb_min} is obtained from the timing calculation program 201 and the timing calculation sheet (FIG. 7). calculate. The timing relational expression at this time is Expression (1) for the T1_Setup timing and Expression (2) for the T1_Hold timing.

Tpcb_max < (Tcycle − Tpd_max − Tsu − Tjitter − margin_setup(Tms)) ・・・(1)
Tpcb_min > (Th − Tpd_min + Tjitter + margin_hold(Tmh)) ・・・(2)
図5において、回路ブロック(同期回路)の構成において、部品Aがドライバ、部品Bがレシーバであり、共通に供給されるクロック(CLK)に同期して動作する。部品A(ドライバ)は、クロック入力、アドレス出力、データ入出力の端子を有する。部品B(レシーバ)は、クロック入力、アドレス入力、データ入出力の端子を有する。
Tpcb_max <(Tcycle−Tpd_max−Tsu−Tjitter−margin_setup (Tms)) (1)
Tpcb_min> (Th−Tpd_min + Tjitter + margin_hold (Tmh)) (2)
In FIG. 5, in the configuration of a circuit block (synchronous circuit), component A is a driver, component B is a receiver, and operates in synchronization with a commonly supplied clock (CLK). The component A (driver) has clock input, address output, and data input / output terminals. The component B (receiver) has clock input, address input, and data input / output terminals.

図6において、図5に対応して、タイミング仕様情報301に基づく部品の一般的なタイミング仕様の構成を示している。Tpdは、出力遅延時間(伝播遅延時間)である。Tsuは、入力セットアップ時間である。Thは、入力ホールド時間である。Tcycleは、クロックの周期である。Tjitterは、クロックのジッタである。また、マージン(マージン時間:Tm)として、セットアップマージン:margin_setup(Tms)、ホールドマージン:margin_hold(Tmh)を有する。Tpcbは、基板配線遅延時間(部品間配線による遅延時間)である。   FIG. 6 shows a configuration of a general timing specification of a part based on the timing specification information 301 corresponding to FIG. Tpd is an output delay time (propagation delay time). Tsu is the input setup time. Th is the input hold time. Tcycle is the cycle of the clock. Tjitter is the jitter of the clock. As margins (margin time: Tm), there are setup margins: margin_setup (Tms) and hold margins: margin_hold (Tmh). Tpcb is a board wiring delay time (a delay time due to inter-component wiring).

図7のタイミング計算シートでは、ユーザが任意のパラメータを追加設定可能である。本シートは、タイミング計算プログラム201により、出力装置103に結果表示される。   In the timing calculation sheet of FIG. 7, the user can additionally set arbitrary parameters. This sheet is displayed as a result on the output device 103 by the timing calculation program 201.

<簡易CAD表示>
次に、図8,図9を用いて、S212等における簡易CAD表示処理機能について説明する。S212で仮配置配線遅延時間(T2)を求めるためには、接続関係にある部品を配置して部品間距離を求める。簡易CADプログラム202により、出力装置103の画面に部品の仮構成を表示すると共に、部品間距離などを計算する。
<Simple CAD display>
Next, the simple CAD display processing function in S212 and the like will be described with reference to FIGS. In order to obtain the temporary placement and wiring delay time (T2) in S212, parts having a connection relationship are arranged and the distance between the parts is obtained. A simple CAD program 202 displays a temporary configuration of parts on the screen of the output device 103 and calculates a distance between parts.

従来、部品配置については、基板設計CADシステムを用いて行われるが、シルク、組図、パッド、レジスト、穴情報などを含む部品形状ライブラリ情報などが必要であり、準備に手間がかかる。また、部品配置の機能のみの使用を考えると、従来の基板設計CADシステムは高価である。   Conventionally, component placement is performed using a board design CAD system. However, component shape library information including silk, assembly drawings, pads, resists, hole information, and the like is required, and preparation is troublesome. Also, considering the use of only the component placement function, the conventional board design CAD system is expensive.

本システムでは、概略タイミングチェック(S210)であるため、部品配置については、準備の手間が少なくて済み必要最小限の配置機能のみ有する簡易CAD機能(簡易CADプログラム202)を用いる。   In this system, since it is a rough timing check (S210), a simple CAD function (simple CAD program 202) having only a minimum necessary arrangement function is required for the component arrangement.

図8の簡易CAD表示(イメージ)で示すように、S212等では、簡易CADプログラム202によって、出力装置103の画面に表示処理を行う。簡易CADプログラム202は、部品仕様DB300に登録されている、ドライバ部品とレシーバ部品の部品外形情報302(X・Yサイズ)をもとに、図8の例のように、出力装置103の画面に、仮構成の部品の外形を、大きさがわかるように矩形等で簡易表示する。ユーザは、表示された部品外形を操作して、自由に移動し配置することができる。図8では、例としてドライバ部品Aとレシーバ部品Bの外形を矩形で表示している。   As shown in the simplified CAD display (image) in FIG. 8, in S212 and the like, display processing is performed on the screen of the output device 103 by the simplified CAD program 202. The simple CAD program 202 is displayed on the screen of the output device 103 as shown in the example of FIG. 8 based on the component outline information 302 (X / Y size) of the driver component and the receiver component registered in the component specification DB 300. The external shape of the temporary component is simply displayed as a rectangle or the like so that the size can be understood. The user can move and arrange freely by manipulating the displayed component outline. In FIG. 8, the outer shapes of the driver component A and the receiver component B are displayed as rectangles as an example.

なお、部品の配置及び外形表示では、ドライバ部品とレシーバ部品は、自動的に接続関係となる。また、部品表示に関してピン及びピン数などの詳細な情報は含まれず、処理及びデータ量は小さい。また、隣接する他の部品との位置関係を確認すること等のために、選択したドライバ部品とレシーバ部品以外の任意部品の外形を部品仕様DB300から呼び出し選択して配置することも可能である。   Note that, in the component arrangement and the outline display, the driver component and the receiver component are automatically connected. Further, detailed information such as pins and the number of pins regarding the component display is not included, and the processing and data amount are small. Further, in order to confirm the positional relationship with other adjacent components, it is also possible to call and select the external shape of an optional component other than the selected driver component and receiver component from the component specification DB 300 and arrange them.

そして、S212では、配置(仮構成)された部品(A,B)において、例えばそれらのマンハッタン長(max_Length,min_Length)を仮定配線長として、仮配置配線遅延時間:T2={T2max,T2min}を見積り計算する。仮配置配線遅延時間(T2)は、[単位長さ当たりの遅延時間]×[仮定配線長(マンハッタン長)]として計算できる。また、マンハッタン長では、双方の部品外形の四隅からの最大・最小の距離を求めるが、ユーザがそれ以外の任意の点を指定して仮定配線長を求めることも可能である。例えば、部品中心点同士の距離を計算してもよい。   In S212, for the placed (provisional configuration) parts (A, B), for example, assuming the Manhattan length (max_Length, min_Length) as the assumed wiring length, the temporary placement wiring delay time: T2 = {T2max, T2min} Estimate calculation. The provisional wiring delay time (T2) can be calculated as [delay time per unit length] × [assumed wiring length (Manhattan length)]. In addition, in Manhattan length, the maximum and minimum distances from the four corners of both component outlines are obtained, but it is also possible for the user to obtain an assumed wiring length by designating any other point. For example, the distance between the component center points may be calculated.

そして、S213では、上記許容配線遅延時間(T1={T1max,T1min})と仮配置配線遅延時間(T2={T2max,T2min})の関係が適切かどうか、下記(3),(4)のようにセットアップ(Setup)タイミング及びホールド(Hold)タイミングについてチェックする。判定のマージン値(Tms,Tmh)は、ユーザが任意に設定することができる。例えば、Tms=350,Tmh=200[ps]である。   In S213, whether or not the relationship between the allowable wiring delay time (T1 = {T1max, T1min}) and the temporary placement wiring delay time (T2 = {T2max, T2min}) is appropriate is described in (3) and (4) below. Check the setup timing and hold timing. The margin values (Tms, Tmh) for determination can be arbitrarily set by the user. For example, Tms = 350 and Tmh = 200 [ps].

Setupタイミング: (T1max − T2max)>Tms ・・・(3)
Holdタイミング: (T2min − T1min)>Tmh ・・・(4)
上記チェックの結果は、図7のタイミング計算シート、及び図9のような棒グラフなどの形で、出力装置103の画面に表示する。これにより、T2に対してT1が適切か否かについてわかりやすく示される。
Setup timing: (T1max-T2max)> Tms (3)
Hold timing: (T2min−T1min)> Tmh (4)
The result of the check is displayed on the screen of the output device 103 in the form of a timing calculation sheet of FIG. 7 and a bar graph as shown in FIG. This makes it easy to understand whether T1 is appropriate for T2.

図9のタイミング結果表示の例において、各タイミング(Setupタイミング、Holdタイミング)の棒グラフの色などを、マージン量に応じて変えて表示する。例えば、結果が350<(T1max−T2max)であった場合、OK(良好)として、緑色で表示し、200<(T1max−T2max)<350であった場合、OK(普通)として、黄色で表示し、(T1max−T2max)<200であった場合、NG(不良)として、赤色で表示する。   In the example of the timing result display of FIG. 9, the color of the bar graph at each timing (Setup timing, Hold timing) is changed according to the margin amount. For example, when the result is 350 <(T1max−T2max), it is displayed as green as OK (good), and when 200 <(T1max−T2max) <350, it is displayed as yellow as OK (normal). If (T1max−T2max) <200, the display is red as NG (defective).

<対策案出力>
次に、図10を用いて、対策案出力処理(S220)について説明する。本システムでは、前記S213のタイミングチェックで結果がNGになった場合に、例えば経験が浅いユーザ(設計者)でも適切な対処が実施可能になるように、対策案出力機能を設けている。経験が浅いユーザは、タイミングチェック(S213)の結果でNGになった場合に、適切な対処ができない可能性がある。そのようなユーザでも適切な対処ができるような仕掛けにより、設計効率が上がり、設計品質も個人差のばらつきが少なく均一になる。
<Countermeasure output>
Next, the countermeasure plan output process (S220) will be described with reference to FIG. In this system, when the result of the timing check in S213 is NG, a countermeasure plan output function is provided so that, for example, a user (designer) who has little experience can take appropriate measures. If the user has little experience, the result of the timing check (S213) becomes NG, there is a possibility that an appropriate countermeasure cannot be taken. By such a mechanism that even such a user can take appropriate measures, the design efficiency is improved and the design quality is uniform with little variation among individuals.

図10の対策案表示の例のように、本システムでは、タイミングチェック(S213)の結果でNGの場合は、対策案(あるいは修正方針など)の情報を出力装置103の画面に表示する。ユーザは、出力された対策案を見て、そのいずれかを選択し、タイミング仕様を満足する結果となるように対策する。また、対策案表示において、特に、ユーザが表示画面の選択肢の中から選択操作して対策処理が実行可能であるようにする。例えば、部品変更が選択された場合に、部品仕様DB300から代替候補部品を検索して結果を表示して選択させること等である(図13)。   As in the example of countermeasure plan display in FIG. 10, in this system, when the result of the timing check (S 213) is NG, information on the countermeasure plan (or correction policy, etc.) is displayed on the screen of the output device 103. The user sees the output countermeasure plan, selects one of them, and takes a countermeasure so as to satisfy the timing specification. Further, in the measure plan display, in particular, the user can select and execute the measure process from the choices on the display screen. For example, when a part change is selected, an alternative candidate part is searched from the part specification DB 300, and the result is displayed and selected (FIG. 13).

一般的な対策の優先順位は、「部品配置変更」>「部品変更」>「周波数を下げる」である。本例において、第1の対策(S221)として、T2の方を最適化する。第2の対策(S222)として、T1の方を最適化する。   The general priority of countermeasures is “part change”> “part change”> “frequency reduction”. In this example, T2 is optimized as the first countermeasure (S221). As a second countermeasure (S222), T1 is optimized.

第1の対策(S221)において、具体的な選択肢として、(1−1):T2maxを小さくする。即ち部品配置を変更する。セットアップタイミングチェックで、前記(3)の(T1max−T2max)>Tmsを満たさない場合は、T2max値を小さくするために、部品間距離を縮める。但し、T2minがホールドタイミングを満たす範囲内とする。   In the first countermeasure (S221), (1-1): T2max is reduced as a specific option. That is, the component arrangement is changed. In the setup timing check, when (T1max−T2max)> Tms in (3) is not satisfied, the distance between components is reduced in order to reduce the T2max value. However, T2min is within a range that satisfies the hold timing.

また、(1−2)T1minを大きくする。即ち部品配置変更する。ホールドタイミングチェックで、前記(4)のT2min−T1min>Tmhを満たさない場合は、T2min値を大きくするために、部品間距離を離す。但し、T2maxがセットアップタイミングを満たす範囲内とする。部品間距離を離すことが不可能な場合は、故意にジグザグ形状に配線して配線長を長くする。   Also, (1-2) T1min is increased. That is, the component arrangement is changed. If the hold timing check does not satisfy T2min-T1min> Tmh in (4), the distance between components is increased in order to increase the T2min value. However, T2max is within a range that satisfies the setup timing. When it is impossible to increase the distance between components, the wiring length is increased by intentionally wiring in a zigzag shape.

第2の対策(S222)において、具体的な選択肢として、(2−1)Tpd_maxを小さくする。即ちドライバ部品を変更する。(2−2)Tsu/Thを小さくする。即ち、レシーバ部品を変更する。(2−3)Tjitterを小さくする。即ちクロック部品を変更する。(2−4)Tcycleを大きくする。即ち、クロックの周波数を下げる。   In the second countermeasure (S222), (2-1) Tpd_max is reduced as a specific option. That is, the driver part is changed. (2-2) Reduce Tsu / Th. That is, the receiver part is changed. (2-3) Decrease Tjitter. That is, the clock component is changed. (2-4) Increase Tcycle. That is, the clock frequency is lowered.

<配線層数>
次に、図11,図12,前記図8を用いて、必要な配線層数を見積もる処理(S212)について説明する。本システムでは、必要な配線層数を考慮してタイミングチェックする機能を設けている。特に図12のようなジグザグ配線を行って配線を長くする調整が必要な場合、配線チャネルが不足してしまい、配線層が増え、コストアップとなってしまう可能性があるため、適切な配置距離にしなければならない。図12のように通常配線長よりもN倍長くなるようにジグザグ配線を行う場合には、概ねN倍の配線チャネルが必要となる。
<Number of wiring layers>
Next, the process of estimating the required number of wiring layers (S212) will be described using FIG. 11, FIG. 12, and FIG. In this system, a function for performing a timing check in consideration of the necessary number of wiring layers is provided. In particular, when it is necessary to adjust the length of the wiring by performing the zigzag wiring as shown in FIG. 12, there is a possibility that the number of wiring channels is insufficient, the wiring layer is increased, and the cost is increased. Must be. When zigzag wiring is performed so as to be N times longer than the normal wiring length as shown in FIG. 12, approximately N times as many wiring channels are required.

ホールドタイミングチェックで、前記条件(4)の(T2min−T1min)>Tmhを満たせず、故意にジグザグ形状に配線(ジグザグ配線)して配線長を長くして、T2min値が大きくなるように調整する場合には、以下の処理を行う。   In the hold timing check, the condition (4) (T2min−T1min)> Tmh is not satisfied, and the wiring length is intentionally increased by zigzag wiring (zigzag wiring), and the T2min value is adjusted to be increased. In this case, the following processing is performed.

S212で、ユーザが指定した部品間の接続配線本数及び配線間隔をもとにジグザグ配線を行って調整した場合における必要な配線層数(Ln)を、配線層数見積プログラム203により見積り計算する処理を行う。ユーザは、見積もられた必要な配線層数(Ln)で実装可能かどうか判断しながら、より最適な配置の検討作業を行うことができる。   In S212, the wiring layer number estimation program 203 estimates and calculates the necessary number of wiring layers (Ln) when the zigzag wiring is performed and adjusted based on the number of connecting wirings and the wiring interval between the parts specified by the user. I do. The user can perform a work for examining a more optimal arrangement while determining whether or not mounting is possible with the estimated required number of wiring layers (Ln).

図11において、図8に対応した、部品間のn本の配線を示している。図8で、部品間接続配線本数:Rn、最小配線領域幅:Pとする。Rnは、例えば部品Aと部品Bの間の接続配線本数である。Pは、例えば部品Aと部品Bの間を配線する時に使用可能な最小の配線領域幅である。図11で、1層当たり配線本数:RL1、必要な配線層数(内側からn番目の配線長):Ln、配線間隔:W、等とする。Pは、図11の場合では、部品Aの外形Yサイズ値である。L1は、T2minの配線長(T2min/[単位長さ当たり遅延時間])である。L1から何番目(Rn1=n)の配線までジグザグ配線しなければならないかを求める。   In FIG. 11, n wirings between components corresponding to FIG. 8 are shown. In FIG. 8, it is assumed that the number of inter-component connection wirings is Rn and the minimum wiring region width is P. Rn is the number of connection wirings between the component A and the component B, for example. P is a minimum wiring area width that can be used when wiring between the component A and the component B, for example. In FIG. 11, the number of wirings per layer: RL1, the required number of wiring layers (the nth wiring length from the inside): Ln, the wiring interval: W, and the like. In the case of FIG. 11, P is the outer shape Y size value of the part A. L1 is the wiring length of T2min (T2min / [delay time per unit length]). It is determined how many lines (Rn1 = n) from L1 to zigzag wiring should be made.

図12において、直線配線とジグザグ配線とを示している。6本の配線による、配線領域幅が5Wの場合である。同一配線領域幅のジグザグ配線本数は、直線配線した場合の1/2となる。   In FIG. 12, straight wiring and zigzag wiring are shown. This is a case where the wiring area width of 6 wires is 5 W. The number of zigzag wirings having the same wiring area width is ½ that of straight wiring.

必要な配線層数(Ln)の見積り方法は以下である。必要な配線層数(Ln)は、部品間接続配線本数(Rn)を1層当たり配線本数(RL1)で除することにより求められる(下記式(5))。   The method for estimating the required number of wiring layers (Ln) is as follows. The necessary number of wiring layers (Ln) can be obtained by dividing the number of interconnects between components (Rn) by the number of wirings per layer (RL1) (the following formula (5)).

Ln=Rn/RL1 ・・・(5)
部品間接続配線本数(Rn)及び配線間隔(W)については、ユーザから指定される値を用いるが、1層当たり配線本数(RL1)については、見積り計算する必要がある。1層当たり配線本数(RL1)は、最小配線領域幅(P)を配線間隔(W)で除することにより求められる(下記式(6))。ただし、式(6)は、ジグザグ配線ではなく直線配線した場合である。
Ln = Rn / RL1 (5)
The values specified by the user are used for the number of interconnect wiring lines (Rn) and the wiring interval (W), but the number of wiring lines per layer (RL1) needs to be estimated. The number of wirings per layer (RL1) can be obtained by dividing the minimum wiring region width (P) by the wiring interval (W) (the following formula (6)). However, Formula (6) is a case where not a zigzag wiring but a straight wiring.

RL1=P/W ・・・(6)
次に、ジグザグ配線を行って配線を長くする場合における1層当たり配線本数(RL1)は以下となる。まず、式(4)のホールドタイミングを満たせなかったT2minをどれだけ大きくすればよいかを表す値を、ΔT2minとする。ΔT2minは、式(4)から、下記式(7)で計算できる。
RL1 = P / W (6)
Next, the number of wirings per layer (RL1) when zigzag wiring is performed to lengthen the wiring is as follows. First, let ΔT2min be a value that indicates how much T2min that could not satisfy the hold timing of Equation (4) should be increased. ΔT2min can be calculated by the following equation (7) from the equation (4).

ΔTmin=(T1min+Tmh)−T2min ・・・(7)
また、余長配線長(Lm)は、Lm=ΔT2min/[単位長さ当たりの遅延時間]で計算できる。
ΔTmin = (T1min + Tmh) −T2min (7)
The extra wiring length (Lm) can be calculated by Lm = ΔT2min / [delay time per unit length].

また、図8のマンハッタン長におけるmin_length(=X_min+Y_min)について、配線チャネルから何本目(Rn1)までジグザグ配線する必要があるかを求める。図11から、下記式(8)である。これは詳しくは、Lm=(Ln−L1)=((n−1)×W)+((n−1)×W)=(n−1)×2Wであり、(Ln−L1)/2W=(n−1)である。また、Rn1=n=(Ln−L1)/2W+1である。よって下記式(8)となる。   Further, with respect to min_length (= X_min + Y_min) in the Manhattan length of FIG. 8, how many lines (Rn1) need to be zigzag wired from the wiring channel is obtained. From FIG. 11, it is the following formula (8). Specifically, Lm = (Ln−L1) = ((n−1) × W) + ((n−1) × W) = (n−1) × 2W, and (Ln−L1) / 2W. = (N-1). Rn1 = n = (Ln−L1) / 2W + 1. Therefore, the following equation (8) is obtained.

Rn1=Lm/2W+1 ・・・(8)
最小配線領域幅(P)のうち、ジグザグ配線する配線領域幅(Pa)は、下記式(9)である。
Rn1 = Lm / 2W + 1 (8)
Of the minimum wiring area width (P), the wiring area width (Pa) for zigzag wiring is expressed by the following equation (9).

Pa=Rn1×W ・・・(9)
最小配線領域幅(P)のうち、直線配線する配線領域幅(Pb)は、下記式(10)である。
Pa = Rn1 × W (9)
Of the minimum wiring area width (P), the wiring area width (Pb) for linear wiring is expressed by the following equation (10).

Pb=P−Pa=P−(Rn1×W) ・・・(10)
最小配線領域幅(P)のうち、直線配線本数は、下記式(11)である。
Pb = P−Pa = P− (Rn1 × W) (10)
Of the minimum wiring area width (P), the number of straight wirings is expressed by the following equation (11).

Pb/W=(P−(Rn1×W))/W ・・・(11)
最小配線領域幅(P)のうち、ジグザグ配線本数(z)は、図12から、下記式(12)である。
Pb / W = (P− (Rn1 × W)) / W (11)
Of the minimum wiring area width (P), the number of zigzag wirings (z) is expressed by the following formula (12) from FIG.

z=Rn1/2 ・・・(12)である。   z = Rn1 / 2 (12).

式(11),(12)から、最小配線領域幅(P)で配線可能な1層当たり配線本数(RL1)は、下記式(13)である。   From Expressions (11) and (12), the number of wirings per layer (RL1) that can be wired with the minimum wiring area width (P) is the following Expression (13).

RL1=(P−(Rn1×W))/W+Rn1/2 ・・・(13)
ジグザグ配線を行う場合には、式(5)に対して式(13)のRL1を用いる。
RL1 = (P− (Rn1 × W)) / W + Rn1 / 2 (13)
When zigzag wiring is performed, RL1 of Expression (13) is used for Expression (5).

上記のように、ジグザグ配線の場合にも、必要な配線層数(Ln)を考慮してタイミングチェックすることができる。   As described above, even in the case of zigzag wiring, the timing can be checked in consideration of the necessary number of wiring layers (Ln).

<代替部品検索>
次に、図13を用いて、S222等における部品変更のための代替部品検索について説明する。前記タイミングチェックの結果がNGとなり図10の第1の対策(S221)における部品配置変更による対策の実施が不可能な場合などには、図10の第2の対策(S222)における部品変更による対策を選択することとなる。
<Search alternative parts>
Next, with reference to FIG. 13, a search for alternative parts for changing parts in S222 and the like will be described. If the result of the timing check is NG and it is impossible to implement the countermeasure by changing the part arrangement in the first countermeasure (S221) in FIG. 10, the countermeasure by changing the part in the second countermeasure (S222) in FIG. Will be selected.

部品を変更する場合には、部品の各種仕様が、現行部品と代替可能であり、かつタイミング仕様が緩和される部品であることが条件となる。これらの条件を満たす部品を調べ、代替候補部品が複数存在する場合には、それらを比較して最終決定する手順が必要となる。本システムでは、これらの手順を少ない手間で効率良く行うことができるように、代替候補部品を検索して表示する機能を備える。   When changing a part, it is a condition that various specifications of the part can be replaced with the current part and the timing specification is relaxed. When there are a plurality of alternative candidate parts that are examined for parts satisfying these conditions, a procedure for comparing them and making a final decision is required. This system has a function of searching for and displaying alternative candidate parts so that these procedures can be efficiently performed with little effort.

本システムでは、S222等で部品を変更する場合は、部品仕様DB300から代替候補部品を検索して選択することが可能である。第2の対策(S222)における「(1)Tpd_maxを小さくする」対策を選択した場合、図13のように、本システムの代替候補部品検索処理では、部品仕様DB300の中から、NGとなったドライバ部品の部品区分(1,2,3,……,N)と同じ部品を検索して自働選択し、更に、NGとなった部品のTpd_max値よりも小さいTpd_max値となる部品を選択して、出力装置103の画面に表示する。ユーザは、表示された代替候補部品の中から部品を選択する。そして、S212等に戻って、再度、タイミングチェックを行う。   In this system, when changing a part in S222 or the like, it is possible to search for and select an alternative candidate part from the part specification DB 300. When the “(1) Decrease Tpd_max” measure in the second measure (S222) is selected, as shown in FIG. 13, in the alternative candidate component search process of this system, the component specification DB 300 indicates NG. Search for the same part as the part classification (1, 2, 3,..., N) of the driver part and select it automatically. And displayed on the screen of the output device 103. The user selects a part from the displayed alternative candidate parts. Then, the process returns to S212 and the timing check is performed again.

図13(a)において、検索条件の例として、代替検索部品型名がA、「部品区分1」がロジックICであり、Tpd_maxが3.8未満である。「部品区分2」でシリーズ名を示しており、それを問わない条件である。当該条件を満たす部品(代替候補部品型名:B〜E)が検索表示されている。   In FIG. 13A, as an example of a search condition, the alternative search part type name is A, “part category 1” is a logic IC, and Tpd_max is less than 3.8. “Part category 2” indicates the series name, which is a condition that does not matter. Parts that satisfy the condition (substitution candidate part type names: B to E) are searched and displayed.

更に図13(b)において、検索条件の例として、「部品区分1」がメモリである場合であり、「部品区分2」でメモリ種類、「部品区分3」でメモリ容量を示している。   Further, in FIG. 13B, as an example of the search condition, “part category 1” is a memory, “part category 2” indicates the memory type, and “part category 3” indicates the memory capacity.

<直列終端抵抗計算>
次に、図14〜図16を用いて、直列終端抵抗計算(S214)について説明する。ドライバ部品の出力インピーダンスと伝送路インピーダンスとの不整合による反射は、データ転送バスのタイミングを劣化させる要因となる。このため、双方のインピーダンスを整合させて反射を抑える必要がある。本システムでは、図14〜図16に示すように、部品メーカから提供され部品の電気的特性が定義されているIBISモデルのV−I特性(図14)から、ドライバと伝送路のインピーダンス相関特性(図15)を求める。図16は、図14,図15に対応した数値テーブル例である。そして、本システムでは、このようにして求めたインピーダンス相関特性情報、即ちドライバ伝送路インピーダンス相関情報303から、直列終端抵抗(Rs)を計算する。
<Series termination resistance calculation>
Next, the series termination resistance calculation (S214) will be described with reference to FIGS. Reflection due to mismatch between the output impedance of the driver component and the transmission path impedance becomes a factor that degrades the timing of the data transfer bus. For this reason, it is necessary to match both impedances and suppress reflection. In this system, as shown in FIGS. 14 to 16, the impedance correlation characteristic between the driver and the transmission line is derived from the VI characteristic (FIG. 14) of the IBIS model provided by the component manufacturer and defining the electrical characteristic of the component. (FIG. 15) is obtained. FIG. 16 is an example of a numerical table corresponding to FIGS. In this system, the series termination resistance (Rs) is calculated from the impedance correlation characteristic information thus obtained, that is, the driver transmission line impedance correlation information 303.

図14〜図16において、電源電圧:VDD、ドライバ出力電圧:VOL、ドライバ出力電流:IOL、ドライバ出力インピーダンス:ROL=VOL/IOL、伝送路インピーダンス:Zo=(VDD−VOL)/IOL、直列終端抵抗:Rs=Zo−ROLである。VOL,IOLから、ROL,Zoを求めることができ、ROL,Zoから、Rsを求めることができる。   14 to 16, power supply voltage: VDD, driver output voltage: VOL, driver output current: IOL, driver output impedance: ROL = VOL / IOL, transmission line impedance: Zo = (VDD−VOL) / IOL, series termination Resistance: Rs = Zo-ROL. ROL and Zo can be obtained from VOL and IOL, and Rs can be obtained from ROL and Zo.

例えば、伝送路インピーダンスZo=50Ωを接続した場合の、ドライバ出力インピーダンス:ROLは、22.5Ωとなる。よって、インピーダンス整合をとる直列終端抵抗値は、Zo−ROL=22.5Ωとなる。   For example, when the transmission line impedance Zo = 50Ω is connected, the driver output impedance: ROL is 22.5Ω. Therefore, the series termination resistance value for impedance matching is Zo-ROL = 22.5Ω.

本処理によれば、使用する部品及び伝送路インピーダンスが決まった時点で、ユーザは容易に最適な直列終端抵抗を求めて回路設計に反映させることが可能である。これにより、実装設計の下流工程での手戻りを未然に防げる。   According to this processing, when the components to be used and the transmission line impedance are determined, the user can easily obtain the optimum series termination resistance and reflect it in the circuit design. As a result, rework in the downstream process of mounting design can be prevented.

<熱対策>
次に、図17,図18を用いて、熱・温度関連の対策の処理(S215,S230)について説明する。部品の発熱は年々増大しており、熱・温度を抑えることを考慮して部品配置及び回路設計することが、装置の信頼性を確保するために重要である。これは、タイミング設計との関連性が高まっている。発熱量が大きい部品同士が密集して配置されると、その部分の発熱密度が高くなってしまうので、分散配置等する必要がある。しかしながら、距離を離し過ぎると、タイミング仕様の方を満足できなくなる等の弊害が出ることが考えられる。また、発熱密度が高い部位に対して冷却風の流れが他の部品の位置及び高さ等によって遮られないように配置することも必要である。このように、タイミング仕様と熱・温度との双方を考慮して、部品の配置構成を最適化することが重要な課題である。
<Countermeasures for heat>
Next, heat and temperature related countermeasure processing (S215, S230) will be described with reference to FIGS. The heat generation of components is increasing year by year, and it is important to secure the reliability of the device by designing the component arrangement and the circuit considering the suppression of heat and temperature. This is increasingly relevant to timing design. If parts having a large amount of heat generation are arranged densely, the heat generation density of the part becomes high, so it is necessary to disperse the parts. However, it is conceivable that if the distance is too far away, the timing specifications may not be satisfied, and other adverse effects may occur. In addition, it is necessary to arrange the cooling air flow so as not to be blocked by the position and height of other components with respect to the part where the heat generation density is high. Thus, it is an important issue to optimize the arrangement of components in consideration of both timing specifications and heat / temperature.

本システムでは、上記課題のための熱・温度関連の対策の処理(S215,S216)の機能を備える。図17は、簡易CADプログラム202における冷却風を含む表示例、温度分布特性表示例、及び、熱対策・最適化例を示している。前記図8のような簡易CAD表示に加え、冷却風の方向や、近くに配置される他の部品Cを示している。   This system has a function of heat / temperature-related countermeasure processing (S215, S216) for the above-mentioned problem. FIG. 17 shows a display example including cooling air, a temperature distribution characteristic display example, and a heat countermeasure / optimization example in the simple CAD program 202. In addition to the simple CAD display as shown in FIG. 8, the direction of the cooling air and other components C arranged nearby are shown.

図18は、部品仕様DB300における熱関連情報304の例を示している。熱関連情報304の例として、熱抵抗(ジャンクション−周囲):Theta_ja[℃/W]、消費電力:Q[W]、部品高さ(h)[mm]、周囲温度:Ta[℃]、ジャンクション温度:Tj[℃]、熱伝導率:λ[W/cm・K]、部品中心からの距離:L[cm]、温度差:ΔT(Tj−Tp)[℃]、電源プレーン温度:Tp[℃]、電源プレーン断面積:A[cm]、銅箔厚:T[cm]、基板サイズ:X[cm]等を有する。 FIG. 18 shows an example of the heat related information 304 in the component specification DB 300. Examples of heat-related information 304 include thermal resistance (junction-ambient): Theta_ja [° C./W], power consumption: Q [W], component height (h) [mm], ambient temperature: Ta [° C.], junction Temperature: Tj [° C.], Thermal conductivity: λ [W / cm · K], Distance from component center: L [cm], Temperature difference: ΔT (Tj−Tp) [° C.], Power plane temperature: Tp [ [° C.], power plane cross-sectional area: A [cm 2 ], copper foil thickness: T [cm], substrate size: X [cm], and the like.

図17に示すように、本システムでは、部品仕様DB300に登録されている、熱関連情報304の値を用いて、熱計算プログラム205により、部品相互の熱の影響を反映した部品周囲の温度分布などを簡易計算する。例えば下記計算式から、部品中心点と任意の距離離れた2点間の概略温度分布を求める。   As shown in FIG. 17, in this system, the temperature distribution around the component reflecting the influence of the heat between the components by the thermal calculation program 205 using the value of the heat related information 304 registered in the component specification DB 300. Simple calculation. For example, an approximate temperature distribution between two points separated from the center point of the component by an arbitrary distance is obtained from the following calculation formula.

2点間の温度差:ΔTは、フーリエの法則から、ΔT=(L×Q)/(λ×A)である。ΔT[℃]:(Tmax−Tmin)、A[cm]=T[cm]×X[cm]である。銅箔厚T、基板サイズXは、ユーザが指定入力するパラメータである。 Temperature difference between two points: ΔT is ΔT = (L × Q) / (λ × A) from Fourier law. ΔT [° C.]: (Tmax−Tmin), A [cm 2 ] = T [cm] × X [cm]. The copper foil thickness T and the substrate size X are parameters specified and input by the user.

ここで、Tmaxをジャンクション温度Tj、Tminを電源プレーン温度Tpと仮定すると、電源プレーン温度Tpは、下記式(14)によって求まる。   Here, assuming that Tmax is a junction temperature Tj and Tmin is a power supply plane temperature Tp, the power supply plane temperature Tp is obtained by the following equation (14).

Tp=Tj−ΔT=Tj−(L×Q)/(λ×A) ・・・(14)
銅の熱伝導率[W/cm・K]:3.72とする。部品のジャンクション温度Tjは、下記式(15)によって求まる。
Tp = Tj−ΔT = Tj− (L × Q) / (λ × A) (14)
The thermal conductivity of copper [W / cm · K]: 3.72. The junction temperature Tj of the part is obtained by the following formula (15).

Tj=Theta_ja×Q+Ta ・・・(15)
なお、本温度分布の計算は簡易計算で十分であり、また例えば上記概略温度分布計算式の代わりに経験則や実測データ等から得られた任意の算出式によって計算してもよい。
Tj = Theta_ja × Q + Ta (15)
The calculation of this temperature distribution is sufficient by simple calculation. For example, instead of the above approximate temperature distribution calculation formula, it may be calculated by an arbitrary calculation formula obtained from an empirical rule or measured data.

また、本システムでは、上記で計算した温度分布及び部品高さ(h)を、図17中の温度分布特性表示のように、出力装置103にビジュアル表示し、隣接配置した部品相互の熱の影響、及び、発熱が大きい部品に対する冷却風の流れが他部品の高さにより遮られないで適切かどうか等の熱対策チェックを可能とする。例えば、部品Aの三角形で近似した温度分布を示している。部品中心からの距離x/yに応じて部品高さ(h)の方向に温度を示している。簡単な図形やグラフや色などを用いたビジュアル表示により、ユーザによる部品の配置に応じて、温度分布及び画面表示を変化させる。例えば、部品を近づけると、部品相互の熱の影響により、温度分布が変化し、部品や周囲の色などを変化させる。また、この温度分布特性表示では、1つの面で1つの部品(A)の温度分布を示しているが、同様に、1つの面で複数の隣接の部品の相互影響を反映した温度分布を示すことができる。   In this system, the temperature distribution and the component height (h) calculated above are visually displayed on the output device 103 as shown in the temperature distribution characteristic display in FIG. In addition, it is possible to check the heat countermeasures such as whether or not the flow of the cooling air with respect to the part that generates large heat is appropriate without being blocked by the height of other parts. For example, the temperature distribution approximated by the triangle of the part A is shown. The temperature is shown in the direction of the component height (h) according to the distance x / y from the component center. The temperature distribution and the screen display are changed according to the arrangement of the parts by the user by visual display using simple figures, graphs and colors. For example, when the parts are brought closer, the temperature distribution changes due to the influence of heat between the parts, and the parts and surrounding colors are changed. In this temperature distribution characteristic display, the temperature distribution of one component (A) is shown on one surface, but similarly, the temperature distribution reflecting the mutual influence of a plurality of adjacent components is shown on one surface. be able to.

また、S215の熱対策チェック結果がNGであった場合に、図17中のS230の熱対策・最適化例のような情報を、出力装置103の画面に表示してもよい。この熱対策・最適化例における対策案(選択肢)は以下である。(1)隣接部品の熱の影響が大きい場合、部品同士の距離を離すように再配置する。(2)発熱密度が局所的に大きい場合、消費電力が大きい部品を分散して配置するように再配置する。(3)発熱密度が大きいエリアへの冷却風の流れが妨げられる場合、冷却風の流れが妨げられないように部品配置を最適化する。   Further, when the heat countermeasure check result in S215 is NG, information such as the heat countermeasure / optimization example in S230 in FIG. 17 may be displayed on the screen of the output device 103. The countermeasures (options) in this heat countermeasure / optimization example are as follows. (1) When the influence of heat from adjacent parts is great, the parts are rearranged so that the parts are separated from each other. (2) When the heat generation density is locally high, rearrange components that disperse components with large power consumption. (3) When the flow of cooling air to an area with a large heat generation density is hindered, the component arrangement is optimized so that the flow of cooling air is not hindered.

例えば、図17の冷却風を含む仮構成の表示では、部品Aの近くに部品Cが仮配置されている。また、所定方向の冷却風の流れが表示されている。部品Aは、部品Cの位置及び高さにより、冷却風の流れが妨げられ、発熱密度が大きくなる。従って、ユーザは、出力された対策案における例えば上記(3)を選択して、冷却風の流れが妨げられないように、部品A,C等の配置を最適化する。   For example, in the display of the temporary configuration including the cooling air in FIG. 17, the part C is temporarily arranged near the part A. Further, the flow of cooling air in a predetermined direction is displayed. In the part A, the flow and flow of the cooling air are hindered by the position and height of the part C, and the heat generation density increases. Therefore, the user selects, for example, (3) in the outputted countermeasure plan, and optimizes the arrangement of the parts A, C, etc. so that the flow of the cooling air is not hindered.

前述した直列終端抵抗計算や熱対策チェックの処理機能などの一部処理機能は、オプション構成としてもよい。   Some processing functions such as the above-described series termination resistance calculation and thermal countermeasure check processing functions may be optional configurations.

以上、本発明者によってなされた発明を実施の形態に基づき具体的に説明したが、本発明は前記実施の形態に限定されるものではなく、その要旨を逸脱しない範囲で種々変更可能であることは言うまでもない。   As mentioned above, the invention made by the present inventor has been specifically described based on the embodiment. However, the present invention is not limited to the embodiment, and various modifications can be made without departing from the scope of the invention. Needless to say.

本発明は、回路基板の設計・検証ツール、CADシステム等に利用可能である。   The present invention is applicable to circuit board design / verification tools, CAD systems, and the like.

本発明の一実施の形態における情報処理システム(基板設計−概略タイミングチェックシステム)の構成を示す図である。It is a figure which shows the structure of the information processing system (board | substrate design-general | schematic timing check system) in one embodiment of this invention. 本発明の一実施の形態の情報処理システムを用いた、回路基板の設計及び検証のフローを示す図である。It is a figure which shows the design and verification flow of a circuit board using the information processing system of one embodiment of this invention. 本発明の一実施の形態の情報処理システムを用いた、概略タイミングチェック処理工程の詳細を示す図である。It is a figure which shows the detail of a general | schematic timing check process using the information processing system of one embodiment of this invention. 本発明の一実施の形態の情報処理システムにおける、データベースからの部品の検索の例について示す図である。It is a figure shown about the example of the search of the components from the database in the information processing system of one embodiment of this invention. 本発明の一実施の形態の情報処理システムにおける、一般的な回路ブロック(同期回路)の概念構成を示す図である。It is a figure which shows the conceptual structure of the general circuit block (synchronization circuit) in the information processing system of one embodiment of this invention. 本発明の一実施の形態の情報処理システムにおける、一般的な回路部品のタイミング仕様の概念構成を示す図である。It is a figure which shows the conceptual structure of the timing specification of a general circuit component in the information processing system of one embodiment of this invention. 本発明の一実施の形態の情報処理システムにおける、タイミング計算シートの例を示す図である。It is a figure which shows the example of the timing calculation sheet | seat in the information processing system of one embodiment of this invention. 本発明の一実施の形態の情報処理システムにおける、簡易CAD機能による表示例を示す図である。It is a figure which shows the example of a display by a simple CAD function in the information processing system of one embodiment of this invention. 本発明の一実施の形態の情報処理システムにおける、タイミングチェック結果の表示例を示す図である。It is a figure which shows the example of a display of a timing check result in the information processing system of one embodiment of this invention. 本発明の一実施の形態の情報処理システムにおける、タイミングチェック結果がNG時の対策案の表示例を示す図である。It is a figure which shows the example of a display of the countermeasure plan when the timing check result is NG in the information processing system of one embodiment of this invention. 本発明の一実施の形態の情報処理システムにおける、配線層数の計算を示す図である。It is a figure which shows calculation of the number of wiring layers in the information processing system of one embodiment of this invention. 本発明の一実施の形態の情報処理システムにおける、直線配線とジグザグ配線を示す図である。It is a figure which shows the straight wiring and zigzag wiring in the information processing system of one embodiment of this invention. 本発明の一実施の形態の情報処理システムにおける、対策時のデータベースからの代替部品の検索の例を示す図である。It is a figure which shows the example of the search of the alternative components from the database at the time of countermeasure in the information processing system of one embodiment of this invention. 本発明の一実施の形態の情報処理システムにおける、V−I特性のグラフ例を示す図である。It is a figure which shows the example of a graph of the VI characteristic in the information processing system of one embodiment of this invention. 本発明の一実施の形態の情報処理システムにおける、ドライバ伝送路インピーダンス相関のグラフ例を示す図である。It is a figure which shows the example of a graph of the driver transmission line impedance correlation in the information processing system of one embodiment of this invention. 本発明の一実施の形態の情報処理システムにおける、データベースのドライバ伝送路インピーダンス相関情報等の例を示す図である。It is a figure which shows the example of the driver transmission path impedance correlation information of a database, etc. in the information processing system of one embodiment of this invention. 本発明の一実施の形態の情報処理システムにおける、簡易CAD機能における冷却風を含む表示例、温度分布特性表示例、及び、熱対策・最適化例を示す図である。It is a figure which shows the example of a display containing the cooling air in a simple CAD function in the information processing system of one embodiment of this invention, the example of a temperature distribution characteristic display, and the example of heat countermeasure and optimization. 本発明の一実施の形態の情報処理システムにおける、データベースの熱関連情報の例を示す図である。It is a figure which shows the example of the heat relevant information of a database in the information processing system of one embodiment of this invention.

符号の説明Explanation of symbols

100…情報処理装置、101…CPU、102…メモリ、103…出力装置、104…入力装置、200…概略タイミングチェックプログラム、201…タイミング計算プログラム、202…簡易CADプログラム、203…配線層数見積プログラム、204…直列終端抵抗計算プログラム、205…熱計算プログラム、300…部品仕様DB(データベース)、301…タイミング仕様情報、302…部品外形情報、303…ドライバ伝送路インピーダンス相関情報、304…熱関連情報。   DESCRIPTION OF SYMBOLS 100 ... Information processing apparatus, 101 ... CPU, 102 ... Memory, 103 ... Output device, 104 ... Input device, 200 ... General timing check program, 201 ... Timing calculation program, 202 ... Simple CAD program, 203 ... Wiring layer number estimation program 204 ... Series termination resistance calculation program, 205 ... Thermal calculation program, 300 ... Component specification DB (database), 301 ... Timing specification information, 302 ... Component external shape information, 303 ... Driver transmission line impedance correlation information, 304 ... Thermal related information .

Claims (9)

回路基板の設計及び検証を行うコンピュータを有する情報処理システムであって、
前記コンピュータにおいて、前記回路基板についての仕様検討後で回路設計以前の段階において、前記回路基板に配置及び配線される部品及び回路の部位のタイミング仕様についての概略的なタイミングチェックを行うことにより、前記タイミング仕様について不適切な部位を検出して対策するための処理を行うものであり、
前記コンピュータは、
前記部品のタイミング仕様を含むデータを有し、
ユーザにより選択された部品及びそれを含む部位について、前記部品のタイミング仕様に基づき、許容される第1の配線遅延の時間を計算する処理と、
前記選択された部品を仮配置して当該部品間を仮配線することにより回路の部位を仮構成して出力装置に図形的に簡易表示する簡易CADの処理と、
前記仮構成における第2の配線遅延の時間を計算する処理と、
前記第1の時間に対して前記第2の時間を比較して概略的に適切かチェックしてその結果を出力する処理とを行うことを特徴とする情報処理システム。
An information processing system having a computer for designing and verifying a circuit board,
In the computer, in the stage before the circuit design after the specification review for the circuit board, by performing a rough timing check on the timing specifications of the parts and circuit parts arranged and wired on the circuit board, The timing specification is used to detect inappropriate parts and take measures.
The computer
Having data including timing specifications of the parts;
A process of calculating an allowable first wiring delay time based on a timing specification of the component for a component selected by the user and a part including the component,
A process of simple CAD that temporarily arranges the selected parts and temporarily configures the parts of the circuit by temporary wiring between the parts and graphically displays them on the output device;
A process of calculating a second wiring delay time in the temporary configuration;
An information processing system comprising: comparing the second time with the first time, checking whether the second time is roughly appropriate, and outputting the result.
請求項1記載の情報処理システムにおいて、
前記第1と第2の時間の計算の際には、前記部品のタイミング仕様の検索をもとに、セットアップタイミング及びホールドタイミングを計算し、
前記第1と第2の時間のチェックの際には、前記第1と第2の時間の差が、設定されたマージン時間を満たす場合に、当該チェックの結果を適切とすることを特徴とする情報処理システム。
The information processing system according to claim 1,
When calculating the first time and the second time, the setup timing and hold timing are calculated based on the search of the timing specifications of the parts,
In checking the first time and the second time, if the difference between the first time and the second time satisfies a set margin time, the result of the check is appropriate. Information processing system.
請求項1記載の情報処理システムにおいて、
前記第2の時間を計算する処理では、前記仮構成における、接続関係となるドライバ部品とレシーバ部品との間の仮配線長を計算しこれを用いて前記第2の時間を計算することを特徴とする情報処理システム。
The information processing system according to claim 1,
In the process of calculating the second time, the temporary wiring length between the driver component and the receiver component that are connected in the temporary configuration is calculated, and the second time is calculated using the calculated temporary wiring length. Information processing system.
請求項3記載の情報処理システムにおいて、
前記第2の時間を計算する処理では、前記仮構成における、前記部品間の配線が直線配線またはジグザグ配線の場合における、配線層数を見積り計算する処理を行うことを特徴とする情報処理システム。
The information processing system according to claim 3.
In the processing for calculating the second time, an information processing system is provided that performs processing for estimating and calculating the number of wiring layers when the wiring between the components in the temporary configuration is a straight wiring or a zigzag wiring.
請求項1記載の情報処理システムにおいて、
前記チェックの結果が不適切の場合に、前記仮構成の再検討・修正のための対策案の情報を出力装置に表示する処理を行い、
前記対策案は、第1の対策案として、前記第2の時間の最適化のための部品配置変更と、第2の対策案として、前記第1の時間の最適化のための部品変更またはクロック周波数変更とを有することを特徴とする情報処理システム。
The information processing system according to claim 1,
If the result of the check is inappropriate, perform a process of displaying information on a countermeasure plan for reexamination / correction of the temporary configuration on the output device,
The countermeasure plan includes, as a first countermeasure plan, a component placement change for the optimization of the second time, and as a second countermeasure plan, a component change or a clock for the optimization of the first time. An information processing system having a frequency change.
請求項5記載の情報処理システムにおいて、
前記対策案として前記部品を変更する場合に、前記部品のタイミング仕様を含むデータから、当該部品に係わるタイミング仕様に関する条件を満たす代替候補を検索して出力装置に表示し、ユーザにより選択可能にする処理を行うことを特徴とする情報処理システム。
The information processing system according to claim 5,
When the part is changed as the measure, the alternative candidate satisfying the timing specification related to the part is searched from the data including the timing specification of the part, displayed on the output device, and can be selected by the user. An information processing system characterized by performing processing.
請求項1記載の情報処理システムにおいて、
前記仮構成におけるドライバ部品とレシーバ部品とその間の伝送路について、
IBISモデルのV−I特性に基づくドライバ伝送路インピーダンス相関情報をもとに、前記伝送路に最適な直列終端抵抗を計算する処理を行うことを特徴とする情報処理システム。
The information processing system according to claim 1,
About the driver parts and receiver parts in the temporary configuration and the transmission path between them,
An information processing system for performing a process of calculating an optimum series termination resistance for the transmission line based on driver transmission line impedance correlation information based on a VI characteristic of an IBIS model.
請求項1記載の情報処理システムにおいて、
前記仮構成について、前記部品の熱抵抗、消費電力、及び部品高さを含む、熱・温度関連情報をもとに、前記部品の周囲の温度分布を簡易計算し、前記部品高さを含む部品外形、前記温度分布、及び冷却風の方向を出力装置に図形を用いて簡易表示する処理を行うことを特徴とする情報処理システム。
The information processing system according to claim 1,
For the temporary configuration, the temperature distribution around the component is simply calculated based on heat / temperature related information including the thermal resistance, power consumption, and component height of the component, and the component includes the component height. An information processing system for performing a process of simply displaying an outer shape, the temperature distribution, and the direction of cooling air on an output device using a graphic.
請求項1記載の情報処理システムにおいて、
前記部品のタイミング仕様を含むデータが格納されるデータベースには、前記部品について、
識別情報を含む属性の情報と、
出力遅延時間(Tpd)、セットアップ時間(Tsu)、及びホールド時間(Th)を含むタイミング仕様に関する情報と、
X・Yサイズを含む外形情報と、
ドライバ部品、レシーバ部品、及びその間の伝送路についてのドライバ伝送路インピーダンス相関情報と、
前記部品の熱抵抗、消費電力、及び部品高さを含む、熱・温度関連情報と、が格納され、
前記データベースから前記格納されている情報のうちの1つ以上を検索条件として前記部品を検索する処理を行うことを特徴とする情報処理システム。
The information processing system according to claim 1,
In the database storing the data including the timing specifications of the parts,
Attribute information including identification information;
Information about timing specifications including output delay time (Tpd), setup time (Tsu), and hold time (Th);
Outline information including X and Y sizes,
Driver transmission line impedance correlation information about driver parts, receiver parts, and transmission lines between them, and
Thermal / temperature related information including thermal resistance, power consumption, and component height of the component is stored,
An information processing system for performing a process of searching for the component using one or more of the stored information from the database as a search condition.
JP2006281415A 2006-10-16 2006-10-16 Information processing system which performs design and verification of circuit board Pending JP2008097511A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2006281415A JP2008097511A (en) 2006-10-16 2006-10-16 Information processing system which performs design and verification of circuit board

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2006281415A JP2008097511A (en) 2006-10-16 2006-10-16 Information processing system which performs design and verification of circuit board

Publications (1)

Publication Number Publication Date
JP2008097511A true JP2008097511A (en) 2008-04-24

Family

ID=39380265

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006281415A Pending JP2008097511A (en) 2006-10-16 2006-10-16 Information processing system which performs design and verification of circuit board

Country Status (1)

Country Link
JP (1) JP2008097511A (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008217231A (en) * 2007-03-01 2008-09-18 Hitachi Communication Technologies Ltd Transmission line design support device and program
JP2011013832A (en) * 2009-06-30 2011-01-20 Toshiba Corp Design support apparatus and design support method
KR101548345B1 (en) 2014-04-10 2015-09-01 피에스케이 주식회사 Substrate treating apparatus, substrate treating method, and recording media

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008217231A (en) * 2007-03-01 2008-09-18 Hitachi Communication Technologies Ltd Transmission line design support device and program
JP2011013832A (en) * 2009-06-30 2011-01-20 Toshiba Corp Design support apparatus and design support method
US8312412B2 (en) 2009-06-30 2012-11-13 Kabushiki Kaisha Toshiba Support apparatus and design support method
KR101548345B1 (en) 2014-04-10 2015-09-01 피에스케이 주식회사 Substrate treating apparatus, substrate treating method, and recording media

Similar Documents

Publication Publication Date Title
US7322019B2 (en) Electronic circuit designing method and apparatus, and storage medium
US6389582B1 (en) Thermal driven placement
JP4389224B2 (en) Semiconductor device design method, design support system and program, and semiconductor package
US20110107284A1 (en) Semiconductor integrated circuit and method of designing semiconductor integrated circuit
EP3239865A1 (en) Method for analyzing ir drop and electromigration of ic
JP4807673B2 (en) Printed circuit board design system and printed circuit board design method
US8312412B2 (en) Support apparatus and design support method
JP2008097511A (en) Information processing system which performs design and verification of circuit board
TW201301970A (en) Signal transmission line length check system and method
US8769473B1 (en) Wiring design support apparatus, method and computer-readable recording medium
US8381149B2 (en) Timing analysis apparatus, timing analysis method, and timing analysis program
JP2002217296A (en) Wiring design method and device thereof
US10657302B1 (en) Route generation and buffer placement for disjointed power domains in an integrated circuit
JP2010039598A (en) Wiring display device and method for multilayer printed circuit board
JP4841672B2 (en) Drawer wiring method, drawer wiring program, and drawer wiring apparatus
JP2013239073A (en) Layout method and design support program
Maity et al. A cost-effective repair scheme for clustered TSV defects in 3D ICs
US20110107278A1 (en) Method for Improving Yield Rate Using Redundant Wire Insertion
US7519926B2 (en) Semiconductor device and method for designing the same
JP2011133990A (en) Voltage drop calculation device, calculation method, and calculation program, for printed wiring board
JP2010282473A (en) Apparatus and method for designing power supply circuit of printed circuit board, and program
TWI304478B (en) System and method for checking signal reference planes
JP2008158694A (en) Bypass capacitor check system and method, and electronic device
JP2003216680A (en) Clearance check method in cad for printed circuit board and computer program
JP2001067390A (en) Design device for printed circuit board