JP2008031541A - Cvd成膜方法およびcvd成膜装置 - Google Patents

Cvd成膜方法およびcvd成膜装置 Download PDF

Info

Publication number
JP2008031541A
JP2008031541A JP2006208726A JP2006208726A JP2008031541A JP 2008031541 A JP2008031541 A JP 2008031541A JP 2006208726 A JP2006208726 A JP 2006208726A JP 2006208726 A JP2006208726 A JP 2006208726A JP 2008031541 A JP2008031541 A JP 2008031541A
Authority
JP
Japan
Prior art keywords
compound gas
metal
film
film forming
metal compound
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2006208726A
Other languages
English (en)
Inventor
Shusuke Miyoshi
秀典 三好
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2006208726A priority Critical patent/JP2008031541A/ja
Priority to KR1020097002043A priority patent/KR20090025379A/ko
Priority to US12/375,882 priority patent/US20090324827A1/en
Priority to PCT/JP2007/064197 priority patent/WO2008015914A1/ja
Priority to CN2007800283371A priority patent/CN101495673B/zh
Priority to TW096127775A priority patent/TW200826217A/zh
Publication of JP2008031541A publication Critical patent/JP2008031541A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

【課題】複雑なプロセスを経ることなく十分な還元性をもって酸化還元反応によるCVDにより金属膜を成膜することができるCVD成膜方法を提供すること。
【解決手段】チャンバー21内のサセプタ22上にウエハWを配置し、ガス供給機構50の金属化合物ガス供給部51から金属化合物ガスを、還元性有機化合物ガス供給部52から還元性有機化合物ガスを連続的にチャンバー121内に供給することによりウエハWの表面に金属膜を形成する。
【選択図】図1

Description

本発明は、例えば半導体装置に用いられる金属層をCVDにより成膜するCVD成膜方法およびCVD成膜装置に関する。
半導体デバイスの製造においては、配線パターンを形成するための金属膜を成膜する工程が存在し、その際の金属膜の成膜方法としてスパッタリングに代表される物理蒸着(PVD)法が多用されていた。しかし、近時、配線パターンのより一層の微細化が求められており、PVD法ではステップカバレッジが悪く、微細化に対応することが困難である。
このため、金属化合物ガスと還元剤とを用いて酸化還元反応を利用したCVD成膜方法が注目されている。しかし、良好な膜質を得るためには、金属化合物ガスを十分に還元する必要があり、そのために特許文献1には、金属酸化物膜を金属原料と酸化剤とを交互に供給するALD(Atomic Layer Deposition)法により成膜した後、還元性を有する有機化合物で還元する方法が開示されている。
しかしながら、特許文献1に記載された方法では、金属酸化物膜をALD法で形成し、しかもその後に還元するプロセスが必要であるため、極めて複雑なプロセスが必要となる。
米国特許第6482740号
本発明はかかる事情に鑑みてなされたものであって、複雑なプロセスを経ることなく十分な還元性をもって酸化還元反応によるCVDにより金属膜を成膜することができるCVD成膜方法およびCVD成膜装置を提供することを目的とする。また、このようなCVD成膜方法を実行させるプログラムを記憶したコンピュータ読取可能な記憶媒体を提供することを目的とする。
上記課題を解決するため、本発明の第1の観点では、処理容器内に被処理基板を配置し、前記処理容器内に金属化合物ガスと還元性有機化合物ガスとを連続的に供給することにより基板の表面に金属膜を形成することを特徴とするCVD成膜方法を提供する。
上記第1の観点において、前記金属膜は、Cu、Pd、Ti、W、Ta、Ru、Pt、Ir、RhおよびMnの少なくとも1種を含み、前記金属化合物はこれらの少なくとも1種を含む化合物とすることができる。
また、前記還元性有機化合物は、アルコール、アルデヒド、カルボン酸、無水カルボン酸、エステル、有機酸アンモニウム塩、有機酸アミン塩、有機酸アミド、有機酸ヒドラジド、有機酸の金属錯体および有機酸の金属塩から選択される少なくとも1種とすることができる。
さらに、最初に前記還元性有機化合物ガスのみを処理容器内に供給し、その後金属化合物ガスおよび還元性有機化合物ガスを処理容器内に供給するようにすることができる。さらにまた、前記金属化合物ガスの原料と前記還元性有機化合物ガスの原料とを1つの容器内に混合した状態で貯留し、その容器から金属化合物ガスと還元性有機化合物ガスを処理容器内に供給するようにすることができる。
本発明の第2の観点では、被処理基板を収容する処理容器と、処理容器内で基板を載置するための載置台と、処理容器内に金属化合物ガスおよび還元性有機化合物ガスを供給するガス供給部と、前記処理容器内を排気するための排気装置と、前記載置台上の基板を加熱する加熱装置と
を具備し、前記処理容器内に金属化合物ガスおよび還元性有機化合物ガスを供給して前記載置台上の被処理基板表面にこれらの反応により金属膜を成膜することを特徴とするCVD成膜装置を提供する。
上記第2の観点において、前記ガス供給部は、前記金属化合物ガスの原料を貯留する容器と、前記還元性有機化合物ガスの原料を貯留する容器とを別個に有するように構成することができる。また、前記ガス供給部は、前記金属化合物ガスの原料と前記還元性有機化合物ガスの原料とを混合した状態で貯留する容器を有し、その容器から金属化合物ガスと還元性有機化合物ガスを処理容器内に供給するように構成することができる。
本発明の第3の観点では、真空に保持され、被処理基板を収容する処理容器と、処理容器内で基板を載置するための載置台と、処理容器内に金属化合物ガスおよび還元性有機化合物ガスを供給するガス供給部と、前記処理容器内を排気するための排気装置と、前記載置台上の基板を加熱する加熱装置とを備えた2つ以上の成膜処理ユニットと、これら成膜処理ユニット間で真空を破ることなく基板を搬送する基板搬送機構とを具備し、いずれかの成膜処理ユニットにて金属化合物ガスおよび還元性有機化合物ガスの反応により被処理基板表面に第1の金属膜を成膜し、その後、前記基板搬送機構により他の成膜処理ユニットに被処理基板を搬送し、そこで真空を破ることなく連続して金属化合物ガスおよび還元性有機化合物ガスの反応により前記第1の金属膜の上に第2の金属膜を成膜することを特徴とするCVD成膜装置を提供する。
本発明の第4の観点では、コンピュータ上で動作する制御プログラムが記憶されたコンピュータ読取可能な記憶媒体であって、前記制御プログラムは、実行時に、上記第1の観点の方法が行われるようにコンピュータに成膜装置を制御させることを特徴とするコンピュータ読取可能な記憶媒体を提供する。
本発明によれば、処理容器内に金属化合物ガスと還元性有機化合物ガスとを連続的に供給して、これらの間に酸化還元反応を生じさせ、金属化合物ガスを還元力の強い還元性有機化合物ガスにより直接還元するので、複雑なプロセスを経ることなく十分な還元性をもって金属膜を成膜することができる。また、還元性有機化合物の高い還元性により、比較的低温でかつ高速な成膜を実現することができる。
以下、添付図面を参照して本発明の実施形態について具体的に説明する。
図1は、本発明の一実施形態に係るCVD成膜方法を実施するために用いる成膜装置を模式的に示す断面図である。
この成膜装置100は、気密に構成された略円筒状のチャンバー21を有している。チャンバー21の底壁21bの中央部には円形の開口部42が形成されており、底壁21bにはこの開口部42と連通し、下方に向けて突出する排気室43が設けられている。チャンバー21内には半導体基板であるウエハWを水平に支持するためのサセプタ22が設けられている。このサセプタ22は、排気室43の底部中央から上方に延びる円筒状の支持部材23により支持されている。サセプタ22の外縁部にはウエハWをガイドするためのガイドリング24が設けられている。また、サセプタ22には抵抗加熱型のヒーター25が埋め込まれており、このヒーター25はヒーター電源26から給電されることによりサセプタ22を加熱して、その熱でウエハWを加熱する。ヒーター電源26にはコントローラー(図示せず)が接続されており、これにより図示しない温度センサーの信号に応じてヒーター25の出力が制御される。また、チャンバー21の壁にもヒーター(図示せず)が埋め込まれており、チャンバー21の壁も加熱できるようになっている。
サセプタ22には、ウエハWを支持して昇降させるための3本(2本のみ図示)のウエハ支持ピン46がサセプタ22の表面に対して突没可能に設けられ、これらウエハ支持ピン46は支持板47に固定されている。そして、ウエハ支持ピン46は、エアシリンダ等の駆動機構48により支持板47を介して昇降される。
チャンバー21の天壁21aには、シャワーヘッド30が設けられ、このシャワーヘッド30の下部には、サセプタ22に向けてガスを吐出するための多数のガス吐出孔30bが形成されたシャワープレート30aが配置されている。シャワーヘッド30の上壁にはシャワーヘッド30内にガスを導入するガス導入口30cが設けられており、このガス導入口30cにガス供給配管32が接続されている。また、シャワーヘッド30の内部には拡散室30dが形成されている。シャワープレート30aには、シャワーヘッド30内での金属化合物ガス等の分解を防止するために、例えば同心円状の冷媒流路30eが設けられており、冷媒供給源30fからこの冷媒流路30eに冷却水等の冷媒が供給され、適宜の温度に制御することができるようになっている。
上記ガス供給配管32の他端にはガス供給機構50が接続されている。ガス供給機構50は、金属化合物ガスを供給する金属化合物ガス供給部51と、還元性有機化合物ガスを供給する還元性有機化合物ガス供給部52と、圧力調整等のための希釈ガス等として不活性ガスをチャンバー21に供給するための不活性ガス供給部53とを有している。金属化合物ガス供給部51は、金属化合物原料の形態に応じて、後述するような種々の手法で金属化合物ガスを供給する。また、還元性有機化合物ガス供給部52も、還元性有機化合物原料の形態に応じて、後述するような種々の手法で還元性有機化合物ガスを供給する。不活性ガス供給部53は、不活性ガスを供給する不活性ガス供給源55と、不活性ガス供給源55から延び前記ガス供給配管32に接続される不活性ガス供給配管56と、不活性ガス供給配管56に設けられた開閉バルブ57およびマスフローコントローラ(MFC)58とを有している。不活性ガスとしては、Nガス、Arガス、Heガスを例示することができる。不活性ガスラインを上記金属化合物ガス供給部51および還元性有機化合物ガス供給部52の配管に接続してパージガスとして用いることもできる。なお、不活性ガス供給源は必須ではない。
このガス供給機構50から金属化合物ガスおよび還元性有機化合物ガスがチャンバー21内に供給され、適宜の温度に加熱されたウエハWで酸化還元反応を起こし、金属化合物ガスが還元されてウエハW上に金属膜が成膜される。
上記排気室43の側面には排気管44が接続されており、この排気管44には高速真空ポンプを含む排気装置45が接続されている。そしてこの排気装置45を作動させることによりチャンバー21内のガスが、排気室43の空間43a内へ均一に排出され、排気管44を介して所定の真空度まで高速に減圧することが可能となっている。
チャンバー21の側壁には、成膜装置100に隣接する搬送室(図示せず)との間でウエハWの搬入出を行うための搬入出口49と、この搬入出口49を開閉するゲートバルブ49aとが設けられている。
成膜装置100の各構成部は、プロセスコントローラ110に接続されて制御される構成となっている。プロセスコントローラ110には、工程管理者が成膜装置100を管理するためにコマンドの入力操作等を行うキーボードや、成膜装置100の稼働状況を可視化して表示するディスプレイ等からなるユーザーインターフェース111が接続されている。
また、プロセスコントローラ110には、成膜装置100で実行される各種処理をプロセスコントローラ110の制御にて実現するための制御プログラムや、処理条件に応じて成膜装置100の各構成部に処理を実行させるためのプログラムすなわちレシピが格納された記憶部112が接続されている。レシピはハードディスクや半導体メモリに記憶されていてもよいし、CDROM、DVD等の可搬性の記憶媒体に収容された状態で記憶部112の所定位置にセットするようになっていてもよい。さらに、他の装置から、例えば専用回線を介してレシピを適宜伝送させるようにしてもよい。
そして、必要に応じて、ユーザーインターフェース111からの指示等にて任意のレシピを記憶部112から呼び出してプロセスコントローラ110に実行させることで、プロセスコントローラ110の制御下で、成膜装置100での所望の処理が行われる。
次に、上記金属化合物ガス供給部51について詳細に説明する。
まず、金属化合物原料が常温でガスの場合には、上記金属化合物ガス供給部51としては、図2に示すように、金属化合物ガスを供給する金属化合物ガス供給源61と、金属化合物ガス供給源61から延び前記ガス供給配管32に接続される金属化合物ガス供給配管62と、金属化合物ガス供給配管62に設けられた開閉バルブ63およびマスフローコントローラ(MFC)64とを有するものを用いることができる。
また、金属化合物原料が常温で液体または固体である場合には、上記金属化合物ガス供給部51としては、図3に示すように、金属化合物原料を装入する原料容器65と、原料容器65を加熱して金属化合物原料を気化または昇華させるヒーター66と、原料容器65から延びて前記ガス供給配管32に接続され、金属化合物原料の蒸気を供給する金属化合物ガス供給配管67とを有するものを用いることができる。金属化合物ガス供給配管67には、開閉バルブ68およびマスフローコントローラ(MFC)69が設けられている。
金属化合物原料が常温で液体または固体である場合の金属化合物ガス供給部51の他の例として、図4に示すように、金属化合物原料を装入する原料容器70と、原料容器70内の金属化合物原料にバブリングガスを吹き込むためのバブリングガス配管71と、原料容器70から延びて前記ガス供給配管32に接続され、バブリングによって生成された金属化合物原料の蒸気を供給する金属化合物ガス配管74とを有するものを用いることができる。バブリングガス配管71には開閉バルブ72およびマスフローコントローラ(MFC)73が設けられており、金属化合物ガス配管74には開閉バルブ75が設けられている。
さらに、金属化合物原料が常温で液体である場合の金属化合物ガス供給部51のさらに他の例として、図5に示すように、液体の金属化合物原料を装入する原料容器76と、原料容器76内に圧送ガスを供給する圧送ガス配管77と、原料容器76から延びて液体の金属化合物原料を供給する金属化合物原料供給配管79と、金属化合物原料供給配管79に接続された気化器82と、気化器82にキャリアガスを供給するためのキャリアガス供給源83およびキャリアガス供給配管84と、気化器82と前記ガス供給配管32とを接続し、気化器82で気化した金属化合物ガスをガス供給配管32に導く金属化合物ガス供給配管87とを有するものを挙げることができる。圧送配管77には開閉バルブ78が設けられており、金属化合物原料供給配管79には開閉バルブ80および液体マスフローコントローラ(LMFC)81が設けられており、キャリアガス供給配管84には開閉バルブ85およびマスフローコントローラ(MFC)86が設けられている。
なお、還元性有機化合物ガスを供給する還元性有機化合物ガス供給部52も、図2〜5に示した金属化合物ガス供給部51と同様に構成することができる。
次に、以上のように構成された成膜装置100を用いた本実施形態に係る成膜方法について説明する。
まず、ゲートバルブ49aを開にして搬入出口49から、ウエハWをチャンバー21内に搬入し、サセプタ22上に載置する。サセプタ22はあらかじめヒーター25により所定の温度に加熱されており、これによりウエハWを加熱する。そして、排気装置45の真空ポンプによりチャンバー21内を排気して、チャンバー21内の圧力を所定の値に調整する。
この状態でガス供給機構50の金属化合物ガス供給部51から所定の金属化合物ガスを、還元性有機化合物ガス供給部52から所定の還元性有機化合物ガスを、それぞれシャワーヘッド30を介してチャンバー21内のウエハW上に供給し、ウエハW上で金属化合物ガスと還元性有機化合物ガスとの間で酸化還元反応が生じて金属化合物ガスが還元され、ウエハW上に金属膜が成膜される。
以上の実施形態では、金属化合物ガスと還元性有機化合物がガスとを別々の容器から供給する構成にしたが、保存する温度において両者の反応性が低い組み合わせであれば、金属化合物ガスの原料と還元性有機化合物ガスの原料とを1つの容器に混合して貯留することも可能である。この場合、所定の混合比のガスが供給されるように貯留容器内に保存する金属化合物ガスの原料と還元性有機化合物ガスの原料の比を調整するとよい。また、両者の蒸気圧の差による混合比への影響を少なくするために、図5に示した気化器を用いたガス供給部51または図4に示したバブリングを用いたガス供給部51を使用することが好ましい。
金属化合物ガスの原料と還元性有機化合物ガスの原料の双方が固体である場合等、貯留容器内で均等に混合することが困難である場合には、例えばヘキサン、トルエン、キシレン、酢酸ブチル等の適当な溶媒に溶解させて貯留することも可能である。
以上のように、還元性有機化合物ガスは強い還元力を有し、金属化合物ガスを直接還元して金属膜とすることができる。従来は、ALD法等により金属酸化物膜を一旦成膜し、その酸化物膜を還元性有機化合物により還元する手法が採られていたが、還元性有機化合物を金属化合物ガスと同時に供給することにより金属膜を得られることが確かめられた。このため、従来のような複雑なプロセスを経ることなく、CVDにより十分な還元性をもって金属膜を成膜することができる。
また、このように高い還元性を有する還元性有機化合物を用いて金属膜原料である金属化合物を還元するので、比較的低温かつ高速で金属膜を成膜することができる。
本発明に適用可能な金属および金属化合物について以下に例示する。
成膜可能な金属膜としては、Cu膜、Pd膜、Ti膜、W膜、Ta膜、Ru膜、Pt膜、Ir膜、Rh膜、Mn膜を挙げることができる。また、これらを含む合金膜であってもよい。これらの中で、Cu膜、W膜、Pt膜、Ir膜、Rh膜は、例えば配線層として用いることができ、Pd膜、Ti膜、Ta膜、Ru膜、Mn膜は、例えばバリア層として用いることができる。
金属膜としてCu膜を成膜する場合には、原料である金属化合物として、銅ヘキサフルオロアセチルアセトネート(Cu(hfac))、銅アセチルアセトネート(Cu(acac)2)、銅ジピバロイルメタネート(Cu(dpm)2)、銅ジイソブチリルメタネート(Cu(dibm)2)、銅イソブチリルピバロイルメタネート(Cu(ibpm)2)、銅ビス6−エチル−2,2−ジメチル−3,5−デカネジオネート(Cu(edmdd))、銅ヘキサフルオロアセチルアセトネートトリメチルビニルシラン(Cu(hfac)TMVS)、および、銅ヘキサフルオロアセチルアセトネート1,5−シクロオクタジエン(Cu(hfac)COD)を挙げることができる。
金属膜としてPd膜を成膜する場合には、原料である金属化合物として、パラジウムヘキサフルオロアセチルアセトネート(Pd(hfac))、シクロペンタジエニルパラジウムアリル((C)Pd(allyl))、およびパラジウムアリル(Pd(allyl))を挙げることができる。
金属膜としてTi膜を成膜する場合には、原料である金属化合物として、四塩化チタン(TiCl)、四フッ化チタン(TiF)、四臭化チタン(TiBr)、四ヨウ化チタン(TiI)、テトラキスエチルメチルアミノチタン(Ti[N(CCH)](TEMAT))テトラキスジメチルアミノチタン(Ti[N(CH(TDMAT))、テトラキスジエチルアミノチタン(Ti[N(C(TDEAT))を挙げることができる。
金属膜としてW膜を成膜する場合には、原料である金属化合物として、六フッ化タングステン(WF)、タングステンカルボニル(W(CO))を挙げることができる。
金属膜としてTa膜を成膜する場合には原料である金属化合物として、五塩化タンタル(TaCl)、五フッ化タンタル(TaF)、五臭化タンタル(TaBr)、五ヨウ化タンタル(TaI)、ターシャルブチルイミドトリス(ジエチルアミド)タンタル(Ta(NC(CH)(N(C(TBTDET))、ターシャリーアミルイミドトリス(ジメチルアミド)タンタル(Ta(NC(CH)(N(CH)を挙げることができる。
金属膜としてRu膜を成膜する場合には、原料である金属化合物として、ビス(シクロペンタヂエニル)ルテニウム、トリス(2,2,6,6−テトラメチル−3,5−ヘプタンジオネート)ルテニウム、トリス(N,N′−ジイソプロピルアセトアミジネート)ルテニウム(III)、ビス(N,N′−ジイソプロピルアセトアミジネート)ルテニウム(II)ジカルボニル、ビス(エチルシクロペンタジエニル)ルテニウム、ビス(ペンタメチルシクロペンタジエニル)ルテニウム、ビス(2,2,6,6−テトラメチル−3,5−ヘプタンジオネート)(1,5−シクロオクタジエン)ルテニウム(II)、ルテニウム(III)アセチルアセトネートを挙げることができる。
金属膜としてPt(白金)膜を成膜する場合には、原料である金属化合物として、(トリメチル)メチルシクロペンタジエニルプラチニウム(IV)、プラチニウム(II)アセチルアセトネート、ビス(2,2,6,6−テトラメチル−3,5−ヘプタンジオネート)プラチニウム(II)、プラチニウム(II)ヘキサフルオロアセチルアセトネートを挙げることができる。
金属膜としてIr膜を成膜する場合には、原料である金属化合物として、1,5−シクロオクタジエン(アセチルアセトネート)イリジウム(I)、ジカルボニル(アセチルアセトネート)イリジウム(I)、イリジウム(III)アセチルアセトネートを挙げることができる。
金属膜としてRh膜を成膜する場合には、原料である金属化合物として、(アセチルアセトネート)ビス(シクロオクテン)ロジウム(I)、(アセチルアセトネート)ビス(エチレン)ロジウム(I)、アセチルアセトネート(1,5−シクロオクタジエン)ロジウム(I)、ロジウム(III)アセチルアセトネートを挙げることができる。
金属膜としてMn膜を成膜する場合には、原料である金属化合物として、ビス(シクロペンタジエニル)マンガン(Mn(C)、ビス(メチルシクロペンタジエニル)マンガン(Mn(CH)、ビス(エチルシクロペンタジエニル)マンガン(Mn(C)、ビス(イソプロピルシクロペンタジエニル)マンガン(Mn(C)、ビス(t−ブチルシクロペンタジエニル)マンガン(Mn(C)、ビス(アセチルアセトネート)マンガン(Mn(C)、ビス(ペンタメチルシクロペンタジエニル)マンガン(II)(Mn(C(CH)、ビス(テトラメチルシクロペンタジエニル)マンガン(II)(Mn(C(CHH))、(DMPD)(エチルシクロペンタジエニル)マンガン(Mn(C11))、トリス(DPM)マンガン(Mn(C1119)、マンガン(0)カルボニル(Mn(CO)10)、メチルマンガンペンタカルボニル(CHMn(CO))、シクロペンタジエニルマンガン(I)トリカルボニル((C)Mn(CO))、メチルシクロペンタジエニルマンガン(I)トリカルボニル((CH)Mn(CO))、エチルシクロペンタジエニルマンガン(I)トリカルボニル((C)Mn(CO))、アセチルシクロペンタジエニルマンガン(I)トリカルボニル((CHCOC)Mn(CO))、ヒドロキシイソプロピルシクロペンタジエニルマンガン(I)トリカルボニル((CHC(OH)C)Mn(CO))を挙げることができる。
また、金属膜の原料である金属化合物を還元する還元性有機化合物としては、ヒドロキシル基(−OH)を有するアルコール、アルデヒド基(−CHO)を有するアルデヒド、カルボキシル基(−COOH)を有するガルボン酸、無水カルボン酸、エステル、有機酸アンモニウム塩、有機酸アミン塩、有機酸アミド、有機酸ヒドラジド、有機酸の金属錯体および有機酸の金属塩を挙げることができ、これらの少なくとも1種を用いることができる。
アルコールとしては、
第1級アルコール、特に以下の一般式(1)
−OH ・・・(1)
(Rは直鎖または分枝鎖状のC〜C20のアルキルまたはアルケニル基、好ましくはメチル、エチル、プロピル、ブチル、ペンチルまたはヘキシル)
で表される第1級アルコール、例えばメタノール(CHOH)、エタノール(CHCHOH)、プロパノール(CHCHCHOH)、ブタノール(CHCHCHCHOH)、2−メチルプロパノール((CHCHCHOH)、2−メチルブタノール(CHCHCH(CH)CHOH);
第2級アルコール、特に以下の一般式(2)
Figure 2008031541
(R、Rは直鎖または分枝鎖状のC〜C20のアルキルまたはアルケニル基、好ましくはメチル、エチル、プロピル、ブチル、ペンチルまたはヘキシル)
で表される第2級アルコール、例えば2−プロパノール((CHCHOH)、2−ブタノール(CHCH(OH)CHCH);
ジオールおよびトリオールのようなポリヒドロキシアルコール、例えばエチレングリコール(HOCHCHOH)、グリセロール(HOCHCH(OH)CHOH);
1〜10個、典型的には5〜6個の炭素原子を環の一部に有する環状アルコール;
ベンジルアルコール(CCHOH)、o−、p−またはm−クレゾール、レゾルシノール等の芳香族アルコール;
ハロゲン化アルコール、特に以下の一般式(3)
CH3−n−R−OH ・・・(3)
(XはF、Cl、BrまたはI、好ましくはFまたはCl、nは0〜2の整数、Rは直鎖または分枝鎖状のC〜C20のアルキルまたはアルケニル基、好ましくはメチレン、エチレン、 トリメチレン、テトラメチレン、ペンタメチレンまたはヘキサメチレン)
で表されるハロゲン化アルコール、例えば、2,2,2−トリフルオロエタノール(CFCHOH);
他のアルコール誘導体、例えばメチルエタノールアミン(CHNHCHCHOH)
などを挙げることができる。
アルデヒドとしては、
以下の(4)式で示される一般式(4)
−CHO ・・・(4)
(Rは水素、または直鎖もしくは分枝鎖状のC〜C20のアルキルもしくはアルケニル基、好ましくはメチル、エチル、プロピル、ブチル、ペンチルまたはヘキシル)
で表されるアルデヒド、例えば、ホルムアルデヒド(HCHO)、アセトアルデヒド(CHCHO)およびブチルアルデヒド(CHCHCHCHO);
以下の一般式(5)
OHC−R−CHO ・・・(5)
(Rは直鎖または分枝鎖状のC〜C20の飽和または不飽和炭化水素であるが、Rが存在しないこと、すなわち両アルデヒド基が互いに結合していることも可能)
で表されるアルカンジオール化合物;
ハロゲン化アルデヒド;
他のアルデヒド誘導体
などが挙げられる。
カルボン酸としては、
以下の一般式(6)
−COOH ・・・(6)
(Rは水素、または直鎖もしくは分枝鎖状のC〜C20のアルキルもしくはアルケニル基、好ましくはメチル、エチル、プロピル、ブチル、ペンチルまたはヘキシル)
で表されるカルボン酸、例えば、蟻酸、酢酸(CHCOOH);
ポリカルボン酸;
カルボン酸ハロゲン化物;
他のカルボン酸誘導体
などが挙げられる。
無水カルボン酸は、R−CO−O−CO−R(R、Rは、水素原子または炭化水素基または炭化水素基を構成する水素原子の少なくとも一部がハロゲン原子に置換された官能基)で表記されるものと定義することができる。炭化水素基の具体例としては、アルキル基、アルケニル基、アルキニル基、アリール基などを挙げることができ、ハロゲン原子の具体例としては、フッ素、塩素、臭素、ヨウ素を挙げることができる。無水カルボン酸の具体例としては、無水酢酸以外に、無水蟻酸、無水プロピオン酸、無水酢酸蟻酸、無水酪酸、および無水吉草酸などが挙げられる。ただし、無水蟻酸および無水酢酸蟻酸は比較的不安定な物質であるため、これら以外の無水カルボン酸を用いることが好ましい。
エステルは、R10−COO−R11(R10は、水素原子または炭化水素基または炭化水素基を構成する水素原子の少なくとも一部がハロゲン原子に置換された官能基、R11は、炭化水素基または炭化水素基を構成する水素原子の少なくとも一部がハロゲン原子に置換された官能基)と表記されるものと定義することができる。炭化水素基およびハロゲン原子の具体例は上記したものと同様である。エステルの具体例としては、蟻酸メチル、蛾酸エチル、蟻酸プロピル、蟻酸ブチル、蟻酸ベンジル、酢酸メチル、酢酸エチル、酢酸プロピル、酢酸ブチル、酢酸ペンチル、酢酸ヘキシル、酢酸オクチル、酢酸フェニル、酢酸ベンジル、酢酸アリル、酢酸プロペニル、プロピオン酸メチル、プロピオン酸エチル、プロピオン酸ブチル、プロピオン酸ペンチル、プロピオン酸ベンジル、酪酸メチル、酪酸エチル、酪酸ペンチル、酪酸ブチル、吉草酸メチルおよび吉草酸エチルなどが挙げられる。
有機酸アンモニウム塩、有機酸アミン塩は、R12−COO−NR13141516(R12、R13、R14、R15、R16は、水素原子または炭化水素基または炭化水素基を構成する水素原子の少なくとも一部がハロゲン原子に置換された官能基)で示されるものと定義することができる。炭化水素基およびハロゲン原子の具体例は上記したものと同様である。有機酸アンモニウム塩、有機酸アミン塩の具体例としては、有機酸アンモニウム(R12COONH)、または有機酸メチルアミン塩、有機酸エチルアミン塩、有機酸t−ブチルアミン塩などの一級アミン塩、または有機酸ジメチルアミン塩、有機酸エチルメチルアミン塩、有機酸ジエチルアミン塩などの二級アミン塩、または有機酸トリメチルアミン塩、有機酸ジエチルメチルアミン塩、有機酸エチルジメチルアミン塩、有機酸トリメチルアミン塩などの三級アミン塩、または有機酸テトラメチルアンモニウム、有機酸トリエチルメチルアンモニウムなどの四級アンモニウム塩を挙げることができる。
有機酸アミドは、R17−CO−NH(R17は、水素原子または炭化水素基または炭化水素基を構成する水素原子の少なくとも一部がハロゲン原子に置換された官能基)で示されるものと定義することができる。炭化水素基およびハロゲン原子の具体例は上記したものと同様である。有機酸アミドの具体例としては、カルボン酸アミド(R17CONH)が挙げられる。
有機酸ヒドラジドは、R18−CO−NHONH(R18は、水素原子または炭化水素基または炭化水素基を構成する水素原子の少なくとも一部がハロゲン原子に置換された官能基)で示されるものと定義することができる。炭化水素基およびハロゲン原子の具体例は上記したものと同様である。有機酸ヒドラジドを構成する有機酸の具体例としては、蟻酸、酢酸、プロピオン酸、酪酸、酢酸蟻酸および吉草酸が挙げられる。
金属錯体または金属塩は、M(R19COO)(Mは金属原子、は自然数、R19は水素原子または炭化水素基または炭化水素基を構成する水素原子の少なくとも一部がハロゲン原子に置換された官能基)で示されるものと定義することができる。炭化水素基およびハロゲン原子の具体例は上記したものと同様である。有機酸の金属錯体または有機酸の金属塩を構成する金属元素の具体例としては、Ti、Ru、Cu、Si、Co、Alが挙げられる。有機酸の金属錯体または有機酸の金属塩を構成する有機酸の具体例としては、蟻酸、酢酸、プロピオン酸、酪酸、酢酸蟻酸および吉草酸が挙げられる。有機酸の金属錯体または有機酸の金属塩としては、有機酸が蟻酸の場合を例に挙げると、蟻酸チタン、蟻酸ルテニウム、蟻酸銅、蟻酸シリコン、蟻酸コバルト、蟻酸アルミニウムなどがあり、有機酸が酢酸の場合を例に挙げると、酢酸チタン、酢酸ルテニウム、酢酸銅、酢酸シリコン、酢酸コバルト、酢酸アルミニウムなどがあり、有機酸がプロピオン酸の場合を例に挙げると、プロピオン酸チタン、プロピオン酸ルテニウム、プロピオン酸銅、プロピオン酸シリコン、プロピオン酸コバルト、プロピオン酸アルミニウムなどがある。
金属膜を形成する際には下地が酸化されている場合があり、このような場合にそのまま金属膜を成膜すると特性が不十分になるおそれがある。このような不都合を回避するためには、上記還元性有機化合物ガスを先にチャンバー21内に供給することが有効である。これにより、成膜に先立って還元性有機化合物によりウエハWの表面を還元することができ、その後金属化合物ガスと還元性有機化合物ガスの両方を供給することにより、下地が酸化していない状態で良質の金属膜を形成することが可能となる。このような効果は、比較的還元されやすい酸化膜が形成される下地上に金属膜を形成する場合に有効に発揮することができる。例えば、下地としてRu膜バリア上に配線金属膜を成膜するような場合に、Ru膜表面に自然酸化膜が形成されていても、上記方法により酸化膜を還元することができ、良質の膜を成膜することができる。
次に、本発明の方法の適用例について図6を参照して説明する。図6は、ダマシン法によるCu配線の形成工程を示す図である。まず、Si基板120上に層間絶縁膜121を形成し、層間絶縁膜121に溝122を形成する(図6の(a))。次いで、バリア膜123として例えばTi膜やRu膜をCVDにより成膜し(図6の(b))、さらにその上に配線金属となるCu膜124をCVDにより成膜する(図6の(c))を形成する。その後、Cuめっきにより溝122の埋まっていない部分を埋め、CMP(chemical Mechanical Polishing)により溝122以外のバリア膜123およびCu膜124を除去してCu配線125を形成する(図6の(d))。なお、Cu膜124形成後に溝122の埋まっていない部分は、引き続きCu膜をCVDで形成することで埋めることもできる。上記バリア膜123およびCu膜124は、本実施形態に従って、金属化合物ガスと還元性有機化合物ガスをチャンバー21内に導入することにより成膜することができる。
この場合に、Cu膜124の成膜に先立って、下地の自然酸化膜を除去するために、先に還元性有機化合物を導入することが好ましいが、Cu膜124への酸化の影響をより確実に排除するためには、バリア膜123を成膜した後、大気雰囲気を経ることなくCu膜124を成膜することがより好ましい。
このように大気雰囲気を経ることなくバリア膜およびCu膜等の2つの膜を連続して成膜することができる装置としては、図7に示すようなものを挙げることができる。図7はバリア膜とCu膜とを真空を破らずに連続的に成膜することができるクラスターツールタイプの成膜システムを示す概略構成図である。
成膜システム200は、バリア膜を成膜するための2つのバリア膜成膜装置201と、Cu膜を成膜するための2つのCu膜成膜装置202とを有し、これらが六角形をなすウエハ搬送室205の4つの辺にそれぞれ対応して設けられている。これらバリア膜成膜装置201と、Cu膜成膜装置202とは、上述した成膜装置100と同様の構成を有している。また、ウエハ搬送室205の他の2つの辺にはそれぞれロードロック室206、207が設けられている。これらロードロック室206、207のウエハ搬送室205と反対側にはウエハ搬入出室208が設けられ、ウエハ搬入出室208のロードロック室206、207と反対側にはウエハWを収容可能な3つのキャリアCを取り付けるポート209、210、211が設けられている。
バリア膜成膜装置201およびCu膜成膜装置202のチャンバーは、ゲートバルブGを介してウエハ搬送室205に接続されている。また、ロードロック室206、207もゲートバルブGを介してウエハ搬送室205に接続されている。これらは、対応するゲートバルブGを開放することによりウエハ搬送室205と連通し、対応するゲートバルブGを閉塞することによりウエハ搬送室205と遮断される。また、ロードロック室206、207とウエハ搬入出室208との接続部分にもゲートバルブGが設けられており、ロードロック室206、207は、対応するゲートバルブGを開放することによりウエハ搬入出室208と連通し、対応するゲートバルブGを閉塞することによりウエハ搬入出室208と遮断される。ウエハ搬送室205内は所定の真空度に保持されており、ロードロック室206、207はウエハ搬送室205と連通させる際には所定の真空度に減圧され、ウエハ搬入出室208と連通させる際には大気雰囲気にされることが可能である。
ウエハ搬送室205内には、バリア膜成膜装置201、Cu膜成膜装置202、ロードロック室206、207の間でウエハWの搬入出を行うウエハ搬送機構212が設けられている。このウエハ搬送機構212は、ウエハ搬送室205の略中央に配設されており、回転および伸縮可能な回転・伸縮部213の先端にウエハWを保持する2つのブレード214a、214bを有しており、これら2つのブレード214a、214bは互いに反対方向を向くように回転・伸縮部213に取り付けられている。
ウエハ搬入出室208のキャリアC取り付け用の3つのポート209、210、211にはそれぞれ図示しないシャッターが設けられており、これらポート209、210、211にウエハWを収容したまたは空のキャリアCが直接取り付けられ、取り付けられた際にシャッターが外れて外気の侵入を防止しつつウエハ搬入出室208と連通するようになっている。また、ウエハ搬入出室208の側面にはアライメントチャンバ215が設けられており、そこでウエハWのアライメントが行われる。
ウエハ搬入出室208内には、キャリアCに対するウエハWの搬入出およびロードロック室206、207に対するウエハWの搬入出を行うウエハ搬送機構216が設けられている。このウエハ搬送機構216は、多関節アーム構造を有しており、キャリアCの配列方向に沿ってレール218上を走行可能となっており、その先端のハンド217上にウエハWを載せてその搬送を行う。
このように構成されたウエハ処理システム201においては、まず、キャリアCからウエハ搬送機構216によって図6の(a)に示す構造を有する一枚のウエハWを取り出し、ロードロック室206または207内に搬入し、ウエハWを搬入したロードロック室内を減圧した状態でウエハ搬送室205と連通させ、ウエハ搬送機構212によっていずれかのバリア膜成膜装置201のチャンバー内に搬入し、バリア膜の成膜を行う。その後、ウエハ搬送機構212によってバリア膜が成膜されたウエハWをいずれかのCu成膜装置202に搬入し、バリア膜の上にCu膜の成膜を行う。その後、ウエハ搬送機構212によってバリア膜の上にCu膜が成膜されたウエハWを所定の真空度に保持されたロードロック室207または206内に搬入する。そして、そのロードロック室のウエハ搬送室205側のゲートバルブGを閉じるとともにその中を大気雰囲気にし、ウエハ搬入出室208と連通させ、ウエハ搬送機構216によってウエハWをキャリアCに戻す。
このようにすることにより、バリア膜成膜後、Cu膜を成膜する際に、真空を破らないので、バリア膜表面は酸化されておらず、Cu膜が酸化膜の影響を受けることがない。バリア膜を金属膜上に成膜する場合には自然酸化膜の除去が必要になるが、その場合には、成膜に先立って還元性有機化合物を導入することにより酸化膜を還元除去するか、より確実に自然酸化膜を除去する観点からは成膜システム200に自然酸化膜を除去するための装置を設けて成膜に先立って自然酸化膜を除去することが好ましい。
なお、本発明は上記実施形態に限定されることなく種々変形可能である。例えば、上記実施形態では金属膜としてCu膜等を成膜する場合を例にとって説明したが、本発明はこれらの例に限定されることなく、金属化合物を還元性有機化合物との間の酸化還元反応により還元して成膜することができるものであればよい。また、上記実施形態では、枚葉式の成膜装置を用いた例を示したが、バッチ式の装置であってもよいことは言うまでもない。さらに、基板として半導体ウエハを用いた場合を例にとって説明したが、これに限るものではなく、液晶表示装置(LCD)用基板等、他の種々の基板を適用することができる。
本発明は、半導体装置の金属配線等の金属膜の成膜に好適である。
本発明の一実施形態に係るCVD成膜方法を実施するために用いる成膜装置を模式的に示す断面図。 図1の成膜装置における金属化合物ガス供給部の一例を示す概略図。 図1の成膜装置における金属化合物ガス供給部の他の例を示す概略図。 図1の成膜装置における金属化合物ガス供給部のさらに他の例を示す概略図。 図1の成膜装置における金属化合物ガス供給部のさらに他の例を示す概略図。 本発明の方法の適用例を示す工程断面図。 本発明の成膜方法を実施可能な成膜装置を組み込んでバリア膜とCu膜を真空を破ることなく連続して成膜することができるようにした成膜システムを示す概略構成図。
符号の説明
21;チャンバー
22;サセプタ
25;ヒーター
30;シャワーヘッド
45;排気装置
50;ガス供給機構
51;金属化合物ガス供給部
52;還元性有機化合物ガス供給部
100;成膜装置
110;プロセスコントローラ
120;Si基板
121;層間絶縁膜
122;溝
123;バリア層
124;Cu膜
100;成膜装置
W…半導体ウエハ(被処理体)

Claims (10)

  1. 処理容器内に被処理基板を配置し、前記処理容器内に金属化合物ガスと還元性有機化合物ガスとを連続的に供給することにより基板の表面に金属膜を形成することを特徴とするCVD成膜方法。
  2. 前記金属膜は、Cu、Pd、Ti、W、Ta、Ru、Pt、Ir、RhおよびMnの少なくとも1種を含み、前記金属化合物はこれらの少なくとも1種を含む化合物であることを特徴とする請求項1に記載のCVD成膜方法。
  3. 前記還元性有機化合物は、アルコール、アルデヒド、カルボン酸、無水カルボン酸、エステル、有機酸アンモニウム塩、有機酸アミン塩、有機酸アミド、有機酸ヒドラジド、有機酸の金属錯体および有機酸の金属塩から選択される少なくとも1種であることを特徴とする請求項1または請求項2に記載のCVD成膜方法。
  4. 最初に前記還元性有機化合物ガスのみを処理容器内に供給し、その後金属化合物ガスおよび還元性有機化合物ガスを処理容器内に供給することを特徴とする請求項1から請求項3のいずれか1項に記載のCVD成膜方法。
  5. 前記金属化合物ガスの原料と前記還元性有機化合物ガスの原料とを1つの容器内に混合した状態で貯留し、その容器から金属化合物ガスと還元性有機化合物ガスを処理容器内に供給することを特徴とする請求項1から請求項3のいずれか1項に記載のCVD成膜方法。
  6. 被処理基板を収容する処理容器と、
    処理容器内で基板を載置するための載置台と、
    処理容器内に金属化合物ガスおよび還元性有機化合物ガスを供給するガス供給部と、
    前記処理容器内を排気するための排気装置と、
    前記載置台上の基板を加熱する加熱装置と
    を具備し、
    前記処理容器内に金属化合物ガスおよび還元性有機化合物ガスを供給して前記載置台上の被処理基板表面にこれらの反応により金属膜を成膜することを特徴とするCVD成膜装置。
  7. 前記ガス供給部は、前記金属化合物ガスの原料を貯留する容器と、前記還元性有機化合物ガスの原料を貯留する容器とを別個に有することを特徴とする請求項6に記載のCVD成膜装置。
  8. 前記ガス供給部は、前記金属化合物ガスの原料と前記還元性有機化合物ガスの原料とを混合した状態で貯留する容器を有し、その容器から金属化合物ガスと還元性有機化合物ガスを処理容器内に供給することを特徴とする請求項6に記載のCVD成膜装置。
  9. 真空に保持され、被処理基板を収容する処理容器と、処理容器内で基板を載置するための載置台と、処理容器内に金属化合物ガスおよび還元性有機化合物ガスを供給するガス供給部と、前記処理容器内を排気するための排気装置と、前記載置台上の基板を加熱する加熱装置とを備えた2つ以上の成膜処理ユニットと、
    これら成膜処理ユニット間で真空を破ることなく基板を搬送する基板搬送機構と
    を具備し、
    いずれかの成膜処理ユニットにて金属化合物ガスおよび還元性有機化合物ガスの反応により被処理基板表面に第1の金属膜を成膜し、その後、前記基板搬送機構により他の成膜処理ユニットに被処理基板を搬送し、そこで真空を破ることなく連続して金属化合物ガスおよび還元性有機化合物ガスの反応により前記第1の金属膜の上に第2の金属膜を成膜することを特徴とするCVD成膜装置。
  10. コンピュータ上で動作する制御プログラムが記憶されたコンピュータ読取可能な記憶媒体であって、
    前記制御プログラムは、実行時に、請求項1から請求項5のいずれかの方法が行われるようにコンピュータに成膜装置を制御させることを特徴とするコンピュータ読取可能な記憶媒体。
JP2006208726A 2006-07-31 2006-07-31 Cvd成膜方法およびcvd成膜装置 Pending JP2008031541A (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP2006208726A JP2008031541A (ja) 2006-07-31 2006-07-31 Cvd成膜方法およびcvd成膜装置
KR1020097002043A KR20090025379A (ko) 2006-07-31 2007-07-18 Cvd 성막 방법 및 cvd 성막 장치
US12/375,882 US20090324827A1 (en) 2006-07-31 2007-07-18 Cvd film forming method and cvd film forming apparatus
PCT/JP2007/064197 WO2008015914A1 (fr) 2006-07-31 2007-07-18 Procédé et dispositif de formage de film cvd
CN2007800283371A CN101495673B (zh) 2006-07-31 2007-07-18 Cvd成膜方法和cvd成膜装置
TW096127775A TW200826217A (en) 2006-07-31 2007-07-30 Cvd film deposition process and cvd film deposition system

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2006208726A JP2008031541A (ja) 2006-07-31 2006-07-31 Cvd成膜方法およびcvd成膜装置

Publications (1)

Publication Number Publication Date
JP2008031541A true JP2008031541A (ja) 2008-02-14

Family

ID=38997094

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006208726A Pending JP2008031541A (ja) 2006-07-31 2006-07-31 Cvd成膜方法およびcvd成膜装置

Country Status (6)

Country Link
US (1) US20090324827A1 (ja)
JP (1) JP2008031541A (ja)
KR (1) KR20090025379A (ja)
CN (1) CN101495673B (ja)
TW (1) TW200826217A (ja)
WO (1) WO2008015914A1 (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2010004998A1 (ja) * 2008-07-11 2010-01-14 東京エレクトロン株式会社 成膜方法及び処理システム
WO2011010650A1 (ja) * 2009-07-22 2011-01-27 東京エレクトロン株式会社 成膜方法
JP2011151356A (ja) * 2009-12-22 2011-08-04 Hitachi Kokusai Electric Inc 半導体装置の製造方法、基板処理方法及び基板処理装置
US8003535B2 (en) 2007-08-09 2011-08-23 Tokyo Electron Limited Semiconductor device manufacturing method and target substrate processing system
KR20190016088A (ko) 2016-07-11 2019-02-15 도시바 미쓰비시덴키 산교시스템 가부시키가이샤 미스트 도포 성막 장치 및 미스트 도포 성막 방법

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5234718B2 (ja) * 2007-03-26 2013-07-10 株式会社アルバック 半導体装置の製造方法
JP2010059471A (ja) * 2008-09-03 2010-03-18 Ube Ind Ltd ルテニウム微粒子及びその製造法、並びにルテニウム微粒子を下層金属膜とした金属含有薄膜の製造方法
JP2010209425A (ja) * 2009-03-11 2010-09-24 Tokyo Electron Ltd Cu膜の成膜方法および記憶媒体
JP5589839B2 (ja) * 2009-03-24 2014-09-17 東レ株式会社 プラズマ処理装置およびこれを用いたアモルファスシリコン薄膜の製造方法
JP5507909B2 (ja) * 2009-07-14 2014-05-28 東京エレクトロン株式会社 成膜方法
JP5225957B2 (ja) * 2009-09-17 2013-07-03 東京エレクトロン株式会社 成膜方法および記憶媒体
KR20120062915A (ko) * 2009-09-29 2012-06-14 도쿄엘렉트론가부시키가이샤 니켈막의 성막 방법
JP5491147B2 (ja) * 2009-11-30 2014-05-14 株式会社デンソー 成膜方法及び成膜装置並びに積層膜
WO2011115878A1 (en) * 2010-03-19 2011-09-22 Sigma-Aldrich Co. Methods for preparing thin fillms by atomic layer deposition using hydrazines
WO2014210512A1 (en) * 2013-06-28 2014-12-31 Wayne State University Bis(trimethylsilyl) six-membered ring systems and related compounds as reducing agents for forming layers on a substrate
US9249505B2 (en) 2013-06-28 2016-02-02 Wayne State University Bis(trimethylsilyl) six-membered ring systems and related compounds as reducing agents for forming layers on a substrate
US20170170114A1 (en) * 2015-12-15 2017-06-15 Lam Research Corporation Multilayer film including a tantalum and titanium alloy as a scalable barrier diffusion layer for copper interconnects
US11427499B2 (en) * 2017-11-29 2022-08-30 Pilkington Group Limited Process for depositing a layer

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63203772A (ja) * 1987-02-20 1988-08-23 Hitachi Ltd 銅薄膜の気相成長方法
JPH04214867A (ja) * 1990-03-09 1992-08-05 Nippon Telegr & Teleph Corp <Ntt> 薄膜成長方法および装置
JP2000239843A (ja) * 1999-02-17 2000-09-05 Nippon Sanso Corp 金属薄膜の製造方法
JP2003257889A (ja) * 2002-02-28 2003-09-12 Mitsubishi Materials Corp 銅(II)のβ−ジケトネート錯体を含む有機金属化学蒸着法用溶液原料及びそれを用いて作製された銅薄膜
JP2003268549A (ja) * 2002-03-15 2003-09-25 Konica Corp 製膜方法及び基材

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
KR940002439B1 (ko) * 1990-03-09 1994-03-24 니뽄 덴신 덴와 가부시끼가이샤 금속 박막 성장방법 및 장치
US5753303A (en) * 1996-04-30 1998-05-19 International Business Machines Corporation Process for the elimination of tungsten oxidation with inert gas stabilization in chemical vapor deposition processes
JPH11217672A (ja) * 1998-01-30 1999-08-10 Sony Corp 金属窒化物膜の化学的気相成長方法およびこれを用いた電子装置の製造方法
US6921712B2 (en) * 2000-05-15 2005-07-26 Asm International Nv Process for producing integrated circuits including reduction using gaseous organic compounds
US20030064153A1 (en) * 2001-10-01 2003-04-03 Rajendra Solanki Method of depositing a metallic film on a substrate
JP4031704B2 (ja) * 2002-12-18 2008-01-09 東京エレクトロン株式会社 成膜方法
US20050000428A1 (en) * 2003-05-16 2005-01-06 Shero Eric J. Method and apparatus for vaporizing and delivering reactant
JP4601975B2 (ja) * 2004-03-01 2010-12-22 東京エレクトロン株式会社 成膜方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63203772A (ja) * 1987-02-20 1988-08-23 Hitachi Ltd 銅薄膜の気相成長方法
JPH04214867A (ja) * 1990-03-09 1992-08-05 Nippon Telegr & Teleph Corp <Ntt> 薄膜成長方法および装置
JP2000239843A (ja) * 1999-02-17 2000-09-05 Nippon Sanso Corp 金属薄膜の製造方法
JP2003257889A (ja) * 2002-02-28 2003-09-12 Mitsubishi Materials Corp 銅(II)のβ−ジケトネート錯体を含む有機金属化学蒸着法用溶液原料及びそれを用いて作製された銅薄膜
JP2003268549A (ja) * 2002-03-15 2003-09-25 Konica Corp 製膜方法及び基材

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8003535B2 (en) 2007-08-09 2011-08-23 Tokyo Electron Limited Semiconductor device manufacturing method and target substrate processing system
US8310054B2 (en) 2007-08-09 2012-11-13 Tokyo Electron Limited Semiconductor device manufacturing method and target substrate processing system
WO2010004998A1 (ja) * 2008-07-11 2010-01-14 東京エレクトロン株式会社 成膜方法及び処理システム
US8440563B2 (en) 2008-07-11 2013-05-14 Tokyo Electron Limited Film forming method and processing system
WO2011010650A1 (ja) * 2009-07-22 2011-01-27 東京エレクトロン株式会社 成膜方法
JP2011151356A (ja) * 2009-12-22 2011-08-04 Hitachi Kokusai Electric Inc 半導体装置の製造方法、基板処理方法及び基板処理装置
KR20190016088A (ko) 2016-07-11 2019-02-15 도시바 미쓰비시덴키 산교시스템 가부시키가이샤 미스트 도포 성막 장치 및 미스트 도포 성막 방법
DE112016007052T5 (de) 2016-07-11 2019-03-21 Toshiba Mitsubishi-Electric Industrial Systems Corporation Sprühbeschichtungsfilmbildungsvorrichtung und Sprühbeschichtungsfilmbildungsverfahren

Also Published As

Publication number Publication date
US20090324827A1 (en) 2009-12-31
WO2008015914A1 (fr) 2008-02-07
KR20090025379A (ko) 2009-03-10
TW200826217A (en) 2008-06-16
CN101495673A (zh) 2009-07-29
CN101495673B (zh) 2011-12-28

Similar Documents

Publication Publication Date Title
JP2008031541A (ja) Cvd成膜方法およびcvd成膜装置
EP1828430B1 (en) Method for deposition of metal layers from metal carbonyl precursors
US7646084B2 (en) Deposition system for increasing deposition rates of metal layers from metal-carbonyl precursors
US7713876B2 (en) Method for integrating a ruthenium layer with bulk copper in copper metallization
US7794788B2 (en) Method for pre-conditioning a precursor vaporization system for a vapor deposition process
US20080081464A1 (en) Method of integrated substrated processing using a hot filament hydrogen radical souce
WO2006107545A2 (en) Method for forming a barrier/seed layer for copper metallization
WO2005034223A1 (en) Method of depositing metal layers from metal-carbonyl precursors
US7763311B2 (en) Method for heating a substrate prior to a vapor deposition process
WO2005033357A2 (en) Low-pressure deposition of metal layers from metal-carbonyl precursors
US20070237895A1 (en) Method and system for initiating a deposition process utilizing a metal carbonyl precursor
JP2011063848A (ja) 成膜方法および記憶媒体
US20090029047A1 (en) Film-forming apparatus and film-forming method
US20230260834A1 (en) Metal oxide diffusion barriers
US7858522B2 (en) Method for reducing carbon monoxide poisoning in a thin film deposition system
US20120040085A1 (en) METHOD FOR FORMING Cu FILM AND STORAGE MEDIUM
US8697572B2 (en) Method for forming Cu film and storage medium
US7867560B2 (en) Method for performing a vapor deposition process
WO2007117803A2 (en) Method for introducing a precursor gas to a vapor deposition system
TW202315118A (zh) 經摻雜之含鉭阻障膜
JP2010212323A (ja) Cu膜の成膜方法および記憶媒体
JP2010202947A (ja) Cu膜の成膜方法および記憶媒体

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090615

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120703

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20121106