JP2006521019A5 - - Google Patents

Download PDF

Info

Publication number
JP2006521019A5
JP2006521019A5 JP2006507287A JP2006507287A JP2006521019A5 JP 2006521019 A5 JP2006521019 A5 JP 2006521019A5 JP 2006507287 A JP2006507287 A JP 2006507287A JP 2006507287 A JP2006507287 A JP 2006507287A JP 2006521019 A5 JP2006521019 A5 JP 2006521019A5
Authority
JP
Japan
Prior art keywords
film
precursor gas
low
atoms
multiphase
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2006507287A
Other languages
English (en)
Other versions
JP2006521019A (ja
Filing date
Publication date
Priority claimed from US10/390,801 external-priority patent/US7288292B2/en
Application filed filed Critical
Publication of JP2006521019A publication Critical patent/JP2006521019A/ja
Publication of JP2006521019A5 publication Critical patent/JP2006521019A5/ja
Pending legal-status Critical Current

Links

Claims (38)

  1. 多相超低誘電率膜を形成する方法であって、
    プラズマ・エンハンス化学気相付着(PECVD)装置内に基板を裁置するステップと、
    Si、C、O、およびHの原子を含む第1の前駆物質ガスを前記装置内に流すステップと、
    主にCおよびHの原子を含む有機分子を含む第2の前駆物質ガスを前記装置内に流すステップと、
    1つのSi原子および3つまたは4つのSi−O結合を含むシロキサン分子を含む第3の前駆物質ガスを前記装置内に流すステップと、
    前記基板上に、誘電率が2.7以下であり、全第3前駆物質流量に基づいて0.1から10%の四面体Si−O結合を有する多相超低k膜を堆積するステップと、
    を含む、方法。
  2. 前記第1の前記物質ガスが、Si、C、O、およびHの原子を含む単一の分子から成る、請求項1に記載の方法。
  3. 前記第1の前駆物質ガスが、Si、C、O、およびHの原子を含む分子の混合物から成る、請求項1に記載の方法。
  4. 前記ガス混合物にHeを追加するステップを更に含む、請求項1に記載の方法。
  5. 前記ガス混合物にCO2またはCO2およびO2の混合物を追加するステップを更に含む、請求項1に記載の方法。
  6. 前記第1の前駆物質ガスが、Si、C、O、およびH原子を含むシロキサンである、請求項1に記載の方法。
  7. 前記シロキサンが環状シロキサンである、請求項6に記載の方法。
  8. 前記環状シロキサンが、テトラメチルシクロテトラシロキサン、デカメチルシクロペンタシロキサン、オクタメチルシクロテトラシロキサン、トリメチルシクロトリシロキサン、およびヘクサメチルシクロトリシロキサンから成る群から選択される、請求項7に記載の方法。
  9. 前記第1の前駆物質ガスが、テトラメチルシクロテトラシロキサンまたはオクタメチルシクロテトラシロキサンである、請求項1に記載の方法。
  10. 前記第1の前駆物質ガスが、窒素、フッ素、またはゲルマニウムの元素を更に含む、請求項1に記載の方法。
  11. 前記第2の前駆物質ガスが、著しい環ひずみを与える縮合環の種を含み、前記縮合環が、4、5、7またはもっと多くの原子の環を含む、請求項1に記載の方法。
  12. 前記第2の前駆物質ガスが酸化シクロペンタンを含む、請求項1に記載の方法。
  13. 前記第3の前駆物質ガスが3または4のSi−O結合を含むシロキサン分子を含む、請求項1に記載の方法。
  14. 前記第3の前駆物質ガスが、テトラメチルオルトシリケート(TMOS)、テトラエチルオルトシリケート(TEOS)、ビニルトリエトキシシラン、アリルトリメトキシシラン、ビニルトリメトキシシラン、アリルトリエトキシシラン、フェニルトリエトキシシラン、およびフェニルトリメトキシシランから成る群から選択される、請求項1に記載の方法。
  15. 堆積の後、前記多相超低k膜を加熱処理するステップを更に含む、請求項1に記載の方法。
  16. 前記加熱処理が、少なくとも0.25時間の時間間隔、300℃以上の温度で行うアニーリング・ステップである、請求項15に記載の方法。
  17. 前記加熱処理を2つのステップで行い、第1のステップにおいて、前記膜を第1の時間期間、300℃未満の温度で加熱し、第2のステップにおいて、前記膜を第2の時間期間、300℃を超える温度で加熱し、前記第2の時間期間が前記第1の時間期間よりも長い、請求項15に記載の方法。
  18. 前記多相超低k膜をeビーム硬化させるステップを更に含み、前記eビーム硬化を、1分から300分までの時間期間、350℃から450℃までの温度で行う、請求項1に記載の方法。
  19. 前記PECVD装置が平行板型の装置である、請求項1に記載の方法。
  20. 前記平行板型装置は、基板チャック面積が300cm2および800cm2の間であり、前記基板と上部電極との間の間隔が1cmおよび10cmの間である、請求項19に記載の方法。
  21. 前記平行板型装置の電極にRF電力を印加するステップを更に含む、請求項19に記載の方法。
  22. 前記堆積ステップが、前記基板の温度を25℃および400℃の間に設定するステップと、RF電力密度を0.05から4.0W/cm2までに設定するステップとを更に含む、請求項1に記載の方法。
  23. 前記堆積ステップが、前記第1の前駆物質ガスの流量を5sccmおよび1000sccmの間に設定するステップを更に含む、請求項1に記載の方法。
  24. 前記堆積ステップが、前記第2の前駆物質ガスの流量を5および50,000sccmの間に設定するステップを更に含む、請求項1に記載の方法。
  25. 前記堆積ステップが、前記第3の前駆物質ガスの流量を5sccmおよび1000sccmの間に設定するステップを更に含む、請求項1に記載の方法。
  26. 前記堆積ステップが、前記PECVD装置の圧力を50mTorrおよび5000mTorrの間に設定するステップを更に含む、請求項1に記載の方法。
  27. 前記第1の前駆物質ガスがテトラメチルシクロテトラシロキサンであり、前記第2の前駆物質ガスが酸化シクロペンタンである、請求項1に記載の方法。
  28. 多相超低誘電率膜を形成する方法であって、
    プラズマ・エンハンス化学気相付着(PECVD)装置内に基板を裁置するステップと、
    Si、C、O、およびHの原子を含む第1の前駆物質ガスを前記装置内に流すステップと、
    CおよびHの原子を含む有機分子を主に含む第2の前駆物質ガスを前記装置内に流すステップと、
    1つのSi原子およびeビーム放射に対して敏感な反応基を含む分子を含む第3の前駆物質ガスを前記装置内に流すステップと、
    前記基板上に、誘電率が2.7以下の多相超低k膜を堆積するステップと、
    前記堆積した膜をeビーム放射によって硬化させるステップと、
    を含む、方法。
  29. 多相超低誘電膜を形成する方法であって、
    プラズマ・エンハンス化学気相付着(PECVD)装置内に基板を裁置するステップと、
    Si、C、O、およびHの原子を含む第1の前駆物質ガスを前記装置内に流すステップと、
    1つのSi原子およびeビーム放射に対して敏感な反応基を含む分子を含む第2の前駆物質ガスを前記装置内に流すステップと、
    前記基板上に、誘電率が2.7以下の多相超低k膜を堆積するステップと、
    前記堆積した膜をeビーム放射によって硬化させるステップと、
    を含む、方法。
  30. 前記堆積した膜をeビーム放射によって硬化させるステップが、1KeVおよび100KeVの間の電子エネルギを用いて行われる、請求項29に記載の方法。
  31. Si、C、O、およびHの原子を含む多相超低k誘電膜であって、前記膜は、誘電率が2.4以下であり、ナノサイズの孔または空隙を有し、弾性係数が5GPa以上であり、硬度が0.7GPa以上であり、前記弾性係数および硬度はナノインデンテーションによって測定され、前記膜が0.1から10%の四面体Si−O結合を含む、多相超低k誘電膜。
  32. 誘電率が2.2以下であり、ナノサイズの孔または空隙を有し、弾性係数が3GPa以上であり、前記硬度が0.3GPa以上であり、前記弾性係数および硬度はナノインデンテーションによって測定され、前記膜が0.1から10%の四面体Si−O結合を含む、多相超低k誘電膜。
  33. 前記孔または空隙が0.5から20ナノメートルの孔の直径を有する、請求項31に記載の多相超低k誘電膜。
  34. 前記多相超低k誘電膜が、共有結合ネットワークにおけるSi、C、O、およびHから成る水素化酸化シリコン炭素材料(SiCOH)の第1の相を有し、少なくとも別の1相が主にCおよびH原子から成る、請求項31に記載の多相超低k膜。
  35. 請求項31または32に記載の多相超低k誘電膜を少なくとも含む電子構造。
  36. 前記多相超低k誘電膜が相互接続構造のレベル間またはレベル内誘電体である、請求項35に記載の電子構造。
  37. 前記多相超低k誘電膜が相互接続配線構造のキャップまたは拡散バリアである、請求項35に記載の電子構造。
  38. 前記多相超低k誘電膜が相互接続構造のマスクまたは研磨ストップ層である、請求項35に記載の電子構造。
JP2006507287A 2003-03-18 2004-03-17 超低k(ULK)SiCOH膜および方法 Pending JP2006521019A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/390,801 US7288292B2 (en) 2003-03-18 2003-03-18 Ultra low k (ULK) SiCOH film and method
PCT/US2004/008195 WO2004083495A2 (en) 2003-03-18 2004-03-17 Ultra low k (ulk) sicoh film and method

Related Child Applications (2)

Application Number Title Priority Date Filing Date
JP2010256739A Division JP2011082540A (ja) 2003-03-18 2010-11-17 多相超低k誘電
JP2011287303A Division JP5511781B2 (ja) 2003-03-18 2011-12-28 多相超低誘電膜の形成方法

Publications (2)

Publication Number Publication Date
JP2006521019A JP2006521019A (ja) 2006-09-14
JP2006521019A5 true JP2006521019A5 (ja) 2007-04-26

Family

ID=33029679

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2006507287A Pending JP2006521019A (ja) 2003-03-18 2004-03-17 超低k(ULK)SiCOH膜および方法
JP2010256739A Pending JP2011082540A (ja) 2003-03-18 2010-11-17 多相超低k誘電
JP2011287303A Expired - Fee Related JP5511781B2 (ja) 2003-03-18 2011-12-28 多相超低誘電膜の形成方法

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2010256739A Pending JP2011082540A (ja) 2003-03-18 2010-11-17 多相超低k誘電
JP2011287303A Expired - Fee Related JP5511781B2 (ja) 2003-03-18 2011-12-28 多相超低誘電膜の形成方法

Country Status (9)

Country Link
US (3) US7288292B2 (ja)
EP (1) EP1617957B1 (ja)
JP (3) JP2006521019A (ja)
KR (1) KR100724508B1 (ja)
CN (1) CN1787881B (ja)
AT (1) ATE479729T1 (ja)
DE (1) DE602004028922D1 (ja)
TW (1) TWI281707B (ja)
WO (1) WO2004083495A2 (ja)

Families Citing this family (74)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8293001B2 (en) 2002-04-17 2012-10-23 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US8951342B2 (en) 2002-04-17 2015-02-10 Air Products And Chemicals, Inc. Methods for using porogens for low k porous organosilica glass films
US9061317B2 (en) 2002-04-17 2015-06-23 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US7384471B2 (en) 2002-04-17 2008-06-10 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US7404990B2 (en) 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
TWI282124B (en) * 2002-11-28 2007-06-01 Tosoh Corp Insulating film material containing an organic silane compound, its production method and semiconductor device
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
TWI240959B (en) 2003-03-04 2005-10-01 Air Prod & Chem Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7208389B1 (en) 2003-03-31 2007-04-24 Novellus Systems, Inc. Method of porogen removal from porous low-k films using UV radiation
US8137764B2 (en) 2003-05-29 2012-03-20 Air Products And Chemicals, Inc. Mechanical enhancer additives for low dielectric films
US20040253378A1 (en) * 2003-06-12 2004-12-16 Applied Materials, Inc. Stress reduction of SIOC low k film by addition of alkylenes to OMCTS based processes
US20050037153A1 (en) * 2003-08-14 2005-02-17 Applied Materials, Inc. Stress reduction of sioc low k films
WO2005053009A1 (ja) * 2003-11-28 2005-06-09 Nec Corporation 多孔質絶縁膜及びその製造方法並びに多孔質絶縁膜を用いた半導体装置
US7341761B1 (en) * 2004-03-11 2008-03-11 Novellus Systems, Inc. Methods for producing low-k CDO films
US7547643B2 (en) * 2004-03-31 2009-06-16 Applied Materials, Inc. Techniques promoting adhesion of porous low K film to underlying barrier layer
US7781351B1 (en) 2004-04-07 2010-08-24 Novellus Systems, Inc. Methods for producing low-k carbon doped oxide films with low residual stress
US7332445B2 (en) 2004-09-28 2008-02-19 Air Products And Chemicals, Inc. Porous low dielectric constant compositions and methods for making and using same
US7491658B2 (en) * 2004-10-13 2009-02-17 International Business Machines Corporation Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
US7695765B1 (en) 2004-11-12 2010-04-13 Novellus Systems, Inc. Methods for producing low-stress carbon-doped oxide films with improved integration properties
DE102005007825B4 (de) 2005-01-10 2015-09-17 Interpane Entwicklungs-Und Beratungsgesellschaft Mbh Verfahren zur Herstellung einer reflexionsmindernden Beschichtung, reflexionsmindernde Schicht auf einem transparenten Substrat sowie Verwendung einer derartigen Schicht
US7892648B2 (en) * 2005-01-21 2011-02-22 International Business Machines Corporation SiCOH dielectric material with improved toughness and improved Si-C bonding
US7166531B1 (en) 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
US7253105B2 (en) * 2005-02-22 2007-08-07 International Business Machines Corporation Reliable BEOL integration process with direct CMP of porous SiCOH dielectric
US7265437B2 (en) * 2005-03-08 2007-09-04 International Business Machines Corporation Low k dielectric CVD film formation process with in-situ imbedded nanolayers to improve mechanical properties
US20070173071A1 (en) * 2006-01-20 2007-07-26 International Business Machines Corporation SiCOH dielectric
US20080009141A1 (en) * 2006-07-05 2008-01-10 International Business Machines Corporation Methods to form SiCOH or SiCNH dielectrics and structures including the same
US8080282B2 (en) * 2006-08-08 2011-12-20 Asm Japan K.K. Method for forming silicon carbide film containing oxygen
US7906174B1 (en) 2006-12-07 2011-03-15 Novellus Systems, Inc. PECVD methods for producing ultra low-k dielectric films using UV treatment
KR100845941B1 (ko) * 2007-03-27 2008-07-14 성균관대학교산학협력단 저유전 상수값을 갖는 박막 제조 방법 및 이에 의하여제조된 박막
CN101126148B (zh) * 2007-07-27 2010-04-21 北京印刷学院 一种具有阻隔兼防护功能的纳米薄膜及其制做方法
US20090061649A1 (en) 2007-08-28 2009-03-05 International Business Machines Corporation LOW k POROUS SiCOH DIELECTRIC AND INTEGRATION WITH POST FILM FORMATION TREATMENT
US20090061237A1 (en) * 2007-08-28 2009-03-05 International Business Machines Corporation LOW k POROUS SiCOH DIELECTRIC AND INTEGRATION WITH POST FILM FORMATION TREATMENT
KR100909757B1 (ko) * 2007-10-31 2009-07-29 주식회사 하이닉스반도체 반도체 소자의 층간절연막 형성 방법
KR100962044B1 (ko) 2007-12-06 2010-06-08 성균관대학교산학협력단 저유전 플라즈마 중합체 박막 및 그 제조 방법
US20100143580A1 (en) * 2008-05-28 2010-06-10 American Air Liquide, Inc. Stabilization of Bicycloheptadiene
US20090324928A1 (en) * 2008-06-26 2009-12-31 Vijayakumar Ramachandrarao Forming ultra low dielectric constant porous dielectric films and structures formed thereby
CN101580410B (zh) * 2008-08-29 2012-08-29 广东大众农业科技股份有限公司 一种利用造纸污泥生产碱性有机肥的方法
US8298965B2 (en) * 2008-09-03 2012-10-30 American Air Liquide, Inc. Volatile precursors for deposition of C-linked SiCOH dielectrics
US20100151206A1 (en) 2008-12-11 2010-06-17 Air Products And Chemicals, Inc. Method for Removal of Carbon From An Organosilicate Material
JP5705751B2 (ja) 2009-03-10 2015-04-22 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード low−kシリル化用の環式アミノ化合物
US8261158B2 (en) * 2009-03-13 2012-09-04 Fusion-Io, Inc. Apparatus, system, and method for using multi-level cell solid-state storage as single level cell solid-state storage
US8266503B2 (en) 2009-03-13 2012-09-11 Fusion-Io Apparatus, system, and method for using multi-level cell storage in a single-level cell mode
JP5559775B2 (ja) * 2009-04-30 2014-07-23 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US20100317198A1 (en) * 2009-06-12 2010-12-16 Novellus Systems, Inc. Remote plasma processing of interface surfaces
EP2319821A1 (en) 2009-11-06 2011-05-11 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Stabilization of bicycloheptadiene
US8481355B2 (en) * 2009-12-15 2013-07-09 Primestar Solar, Inc. Modular system and process for continuous deposition of a thin film layer on a substrate
US8492239B2 (en) 2010-01-27 2013-07-23 International Business Machines Corporation Homogeneous porous low dielectric constant materials
US8314005B2 (en) 2010-01-27 2012-11-20 International Business Machines Corporation Homogeneous porous low dielectric constant materials
US8854882B2 (en) 2010-01-27 2014-10-07 Intelligent Intellectual Property Holdings 2 Llc Configuring storage cells
WO2011094454A2 (en) * 2010-01-27 2011-08-04 Fusion-Io, Inc. Apparatus, system, and method for determining a read voltage threshold for solid-state storage media
US8661184B2 (en) 2010-01-27 2014-02-25 Fusion-Io, Inc. Managing non-volatile media
US8380915B2 (en) 2010-01-27 2013-02-19 Fusion-Io, Inc. Apparatus, system, and method for managing solid-state storage media
CN102762763B (zh) * 2010-02-17 2014-12-31 乔治洛德方法研究和开发液化空气有限公司 SiCOH低K膜的气相沉积法
US9997357B2 (en) * 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8927430B2 (en) 2011-07-12 2015-01-06 International Business Machines Corporation Overburden removal for pore fill integration approach
US8541301B2 (en) 2011-07-12 2013-09-24 International Business Machines Corporation Reduction of pore fill material dewetting
US8828489B2 (en) 2011-08-19 2014-09-09 International Business Machines Corporation Homogeneous modification of porous films
JP6105204B2 (ja) * 2012-02-10 2017-03-29 株式会社日立ハイテクサイエンス Tem観察用試料作製方法
US8804415B2 (en) 2012-06-19 2014-08-12 Fusion-Io, Inc. Adaptive voltage range management in non-volatile memory
US8753449B2 (en) * 2012-06-25 2014-06-17 Applied Materials, Inc. Enhancement in UV curing efficiency using oxygen-doped purge for ultra low-K dielectric film
US9196849B2 (en) * 2013-01-09 2015-11-24 Research & Business Foundation Sungkyunkwan University Polymer/inorganic multi-layer encapsulation film
US20140363903A1 (en) * 2013-06-10 2014-12-11 Tokyo Ohta Kogyo Co., Ltd. Substrate treating apparatus and method of treating substrate
US9058983B2 (en) 2013-06-17 2015-06-16 International Business Machines Corporation In-situ hardmask generation
US9371430B2 (en) 2013-08-19 2016-06-21 Research & Business Foundation Sungkyunkwan University Porous film with high hardness and a low dielectric constant and preparation method thereof
KR101506801B1 (ko) * 2013-08-19 2015-03-30 성균관대학교산학협력단 고강도 저유전 플라즈마 중합체 박막 및 그 제조 방법
WO2015116350A1 (en) 2014-01-29 2015-08-06 Applied Materials, Inc. Low temperature cure modulus enhancement
CN103996654B (zh) * 2014-06-09 2017-01-25 苏州大学 多相低介电常数材料层的制造方法
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US11749563B2 (en) 2018-06-27 2023-09-05 Taiwan Semiconductor Manufacturing Co., Ltd. Interlayer dielectric layer
SG11202111962QA (en) 2019-05-01 2021-11-29 Lam Res Corp Modulated atomic layer deposition
CN110158052B (zh) 2019-05-17 2021-05-14 江苏菲沃泰纳米科技股份有限公司 低介电常数膜及其制备方法
TWI814856B (zh) 2019-07-05 2023-09-11 聯華電子股份有限公司 半導體元件及其製作方法

Family Cites Families (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2917490A1 (de) * 1979-04-30 1980-11-13 Basf Ag Verfahren zur herstellung von aliphatischen und cycloaliphatischen di- und polyurethanen
US4473516A (en) * 1983-01-03 1984-09-25 Hoover Universal, Inc. Method and apparatus for injection molding plastic articles having solid exterior surfaces and porous interior cores
US4569814A (en) * 1984-07-03 1986-02-11 Motorola, Inc. Preforming of preheated plastic pellets for use in transfer molding
US4814137A (en) * 1988-02-16 1989-03-21 Westinghouse Electric Corp. High performance reliability fuel pellet
US5043199A (en) * 1988-10-31 1991-08-27 Fujitsu Limited Resin tablet for plastic encapsulation and method of manufacturing of plastic encapsulation using the resin tablet
NL9200127A (nl) * 1992-01-23 1993-08-16 Ireneus Johannes Theodorus Mar Werkwijze voor het in een vormholte persen van een door een reactie uithardende kunststof, een daarbij te gebruiken pilvormig pershulpmateriaal alsmede een houder uit dergelijk materiaal.
JPH06312793A (ja) * 1993-04-26 1994-11-08 Mk Seiko Co Ltd エンジンオイル交換装置
EP0742586A3 (en) * 1995-05-02 1998-03-11 Texas Instruments Incorporated Improvements in or relating to integrated circuits
US5888443A (en) * 1996-05-02 1999-03-30 Texas Instruments Incorporated Method for manufacturing prepackaged molding compound for component encapsulation
US6091157A (en) * 1997-12-05 2000-07-18 Advanced Micro Devices, Inc. Method to improve internal package delamination and wire bond reliability using non-homogeneous molding compound pellets
US6068884A (en) * 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6147009A (en) 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6071457A (en) * 1998-09-24 2000-06-06 Texas Instruments Incorporated Bellows container packaging system and method
US6361837B2 (en) * 1999-01-15 2002-03-26 Advanced Micro Devices, Inc. Method and system for modifying and densifying a porous film
US6312793B1 (en) * 1999-05-26 2001-11-06 International Business Machines Corporation Multiphase low dielectric constant material
US6440550B1 (en) * 1999-10-18 2002-08-27 Honeywell International Inc. Deposition of fluorosilsesquioxane films
JP2001115021A (ja) * 1999-10-18 2001-04-24 Asahi Kasei Corp シリカ前駆体/有機ポリマー組成物
US6203319B1 (en) * 1999-12-01 2001-03-20 Edward Stanley Lee Pellet-forming mold for dental filling materials
US6582777B1 (en) * 2000-02-17 2003-06-24 Applied Materials Inc. Electron beam modification of CVD deposited low dielectric constant materials
US6270846B1 (en) 2000-03-02 2001-08-07 Sandia Corporation Method for making surfactant-templated, high-porosity thin films
US6576568B2 (en) 2000-04-04 2003-06-10 Applied Materials, Inc. Ionic additives for extreme low dielectric constant chemical formulations
WO2002007191A2 (en) 2000-07-13 2002-01-24 The Regents Of The Universty Of California Silica zeolite low-k dielectric thin films
CN1257547C (zh) * 2000-08-02 2006-05-24 国际商业机器公司 多相低介电常数材料及其沉积方法与应用
US6441491B1 (en) 2000-10-25 2002-08-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device containing the same
JP4272424B2 (ja) * 2000-10-25 2009-06-03 インターナショナル・ビジネス・マシーンズ・コーポレーション 半導体素子のレベル内またはレベル間誘電体としての超低誘電率材料、その製造方法、およびそれを含む電子デバイス
US6790789B2 (en) * 2000-10-25 2004-09-14 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made
US6756323B2 (en) * 2001-01-25 2004-06-29 International Business Machines Corporation Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device
US6649540B2 (en) 2000-11-09 2003-11-18 The Boc Group, Inc. Organosilane CVD precursors and their use for making organosilane polymer low-k dielectric film
KR100343938B1 (en) * 2000-11-29 2002-07-20 Samsung Electronics Co Ltd Preparation method of interlayer insulation membrane of semiconductor
US6572923B2 (en) 2001-01-12 2003-06-03 The Boc Group, Inc. Asymmetric organocyclosiloxanes and their use for making organosilicon polymer low-k dielectric film
US6583048B2 (en) * 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
SG98468A1 (en) * 2001-01-17 2003-09-19 Air Prod & Chem Organosilicon precursors for interlayer dielectric films with low dielectric constants
US20020132496A1 (en) 2001-02-12 2002-09-19 Ball Ian J. Ultra low-k dielectric materials
US6677680B2 (en) 2001-02-28 2004-01-13 International Business Machines Corporation Hybrid low-k interconnect structure comprised of 2 spin-on dielectric materials
JP2002280379A (ja) * 2001-03-15 2002-09-27 Asahi Kasei Corp 絶縁薄膜用の多孔性シリカ薄膜
US6780499B2 (en) * 2001-05-03 2004-08-24 International Business Machines Corporation Ordered two-phase dielectric film, and semiconductor device containing the same
JP2003142476A (ja) * 2001-11-01 2003-05-16 Asahi Kasei Corp 絶縁薄膜用の多孔性シリカ薄膜
US6846515B2 (en) * 2002-04-17 2005-01-25 Air Products And Chemicals, Inc. Methods for using porogens and/or porogenated precursors to provide porous organosilica glass films with low dielectric constants
US7060330B2 (en) * 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7122880B2 (en) * 2002-05-30 2006-10-17 Air Products And Chemicals, Inc. Compositions for preparing low dielectric materials
US7307343B2 (en) * 2002-05-30 2007-12-11 Air Products And Chemicals, Inc. Low dielectric materials and methods for making same
WO2004053205A2 (en) * 2002-07-22 2004-06-24 Massachusetts Institute Of Technolgoy Porous material formation by chemical vapor deposition onto colloidal crystal templates
TWI273090B (en) * 2002-09-09 2007-02-11 Mitsui Chemicals Inc Method for modifying porous film, modified porous film and use of same
US7404990B2 (en) * 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
JP4231996B2 (ja) 2002-11-14 2009-03-04 信越化学工業株式会社 エアバッグ目止め材用シリコーンゴム組成物
US7011890B2 (en) * 2003-03-03 2006-03-14 Applied Materials Inc. Modulated/composited CVD low-k films with improved mechanical and electrical properties for nanoelectronic devices
TWI240959B (en) * 2003-03-04 2005-10-01 Air Prod & Chem Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7098149B2 (en) * 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US20040197474A1 (en) * 2003-04-01 2004-10-07 Vrtis Raymond Nicholas Method for enhancing deposition rate of chemical vapor deposition films
US7479327B2 (en) * 2003-05-27 2009-01-20 Exxonmobil Chemical Patents Inc. Tie-layer materials for use with ionomer-based films and sheets as skins on other materials
US8137764B2 (en) * 2003-05-29 2012-03-20 Air Products And Chemicals, Inc. Mechanical enhancer additives for low dielectric films
WO2005053009A1 (ja) * 2003-11-28 2005-06-09 Nec Corporation 多孔質絶縁膜及びその製造方法並びに多孔質絶縁膜を用いた半導体装置
US7030468B2 (en) * 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
US20050161060A1 (en) * 2004-01-23 2005-07-28 Johnson Andrew D. Cleaning CVD chambers following deposition of porogen-containing materials
US7288205B2 (en) * 2004-07-09 2007-10-30 Applied Materials, Inc. Hermetic low dielectric constant layer for barrier applications
US7332445B2 (en) * 2004-09-28 2008-02-19 Air Products And Chemicals, Inc. Porous low dielectric constant compositions and methods for making and using same
US7229934B2 (en) * 2004-10-18 2007-06-12 International Business Machines Corporation Porous organosilicates with improved mechanical properties
US7202564B2 (en) * 2005-02-16 2007-04-10 International Business Machines Corporation Advanced low dielectric constant organosilicon plasma chemical vapor deposition films
US7265437B2 (en) * 2005-03-08 2007-09-04 International Business Machines Corporation Low k dielectric CVD film formation process with in-situ imbedded nanolayers to improve mechanical properties
US20070009673A1 (en) * 2005-07-06 2007-01-11 Asm Japan K.K. Insulation film and method for manufacturing same

Similar Documents

Publication Publication Date Title
JP2006521019A5 (ja)
US7098149B2 (en) Mechanical enhancement of dense and porous organosilicate materials by UV exposure
EP3231892B1 (en) Mechanical enhancement of dense and porous organosilicate materials by uv exposure
US7288292B2 (en) Ultra low k (ULK) SiCOH film and method
TWI324381B (en) Low k and ultra low k sicoh dielectric films and methods to form the same
TW546252B (en) Hydrogenated oxidized silicon carbon material
TWI374472B (en) An improved method for fabricating an ultralow dielectric comstant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made
JP4216768B2 (ja) 有機ケイ酸塩ガラス膜及びその作製方法並びに有機ケイ酸塩ガラス膜作製のための混合物
US20040096593A1 (en) Non-thermal process for forming porous low dielectric constant films
US7357977B2 (en) Ultralow dielectric constant layer with controlled biaxial stress
JP2008530821A5 (ja)
US20070299239A1 (en) Curing Dielectric Films Under A Reducing Atmosphere
TW200307761A (en) Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
JP2008537639A5 (ja)
Zhou et al. Highly stable ultrathin carbosiloxane films by molecular layer deposition
KR20160106751A (ko) 경도 및 모듈러스를 증가시키기 위한 저 k 막들의 탄소 이산화물 및 탄소 일산화물 매개성 경화
Rouessac et al. Precursor chemistry for ULK CVD
JP6918386B1 (ja) 絶縁膜の製造方法
TWI822044B (zh) 用於氣相沉積一介電膜的組合物及用於沉積一有機矽膜的方法
TW563202B (en) An ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and an electronic device containing the same