JP2006060237A - 化学反応室及び半導体プロセスチャンバー - Google Patents

化学反応室及び半導体プロセスチャンバー Download PDF

Info

Publication number
JP2006060237A
JP2006060237A JP2005241272A JP2005241272A JP2006060237A JP 2006060237 A JP2006060237 A JP 2006060237A JP 2005241272 A JP2005241272 A JP 2005241272A JP 2005241272 A JP2005241272 A JP 2005241272A JP 2006060237 A JP2006060237 A JP 2006060237A
Authority
JP
Japan
Prior art keywords
strontium
superlattice material
semiconductor process
process chamber
represented
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2005241272A
Other languages
English (en)
Inventor
Bing Ji
ビン ジ
Stephen Andrew Motika
スティーブン アンドリュー モティカ
Dingjun Wu
ディンジュン ウー
Eugene J Karwacki Jr
ジョセフ カーワキ,ジュニア ユージーン
David Allen Roberts
アレン ロバーツ デイビッド
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Air Products and Chemicals Inc
Original Assignee
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Products and Chemicals Inc filed Critical Air Products and Chemicals Inc
Publication of JP2006060237A publication Critical patent/JP2006060237A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/02Apparatus characterised by their chemically-resistant properties
    • B01J2219/0204Apparatus characterised by their chemically-resistant properties comprising coatings on the surfaces in direct contact with the reactive components
    • B01J2219/0236Metal based
    • B01J2219/024Metal oxides

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Materials For Photolithography (AREA)

Abstract

【課題】 エッチングプロセス及び/又はクリーニングプロセス中の物理的損傷及び/又は化学的損傷から、プラズマ反応器又は反応性種発生器等の半導体処理装置の内部コンポーネントを保護する装置及び方法を提供すること。
【解決手段】 ストロンチウムビスマスタンタレート(SBT)等の3種以上の金属元素を有する層状超格子材料を使用して、反応室の内部コンポーネントの表面上に保護バリヤーを形成する。
【選択図】 なし

Description

本発明は、エッチングプロセス及び/又はクリーニングプロセス中の物理的損傷及び/又は化学的損傷から、プラズマ反応器又は反応性種発生器等の反応性処理装置の内部コンポーネントを保護する装置及び方法に関する。
半導体集積回路(IC)の製造において、二酸化珪素(SiO2)、窒化珪素(Si34)及び酸窒化珪素(SiON)等の誘電材料は、トランジスターゲート用絶縁体として広く使用されてきた。IC装置の形状大きさが縮小化されるにしたがって、ゲート誘電体層が次第に薄くなってきている。極めて小さな厚さ(≦10nm)で十分な破壊電圧を維持するために、kが約4.1(二酸化珪素のk)を超える材料として定義される高誘電率(高k)材料を、トランジスターゲート構造における絶縁層及び深いトレンチコンデンサにおけるバリヤー層として使用できる。最新で且つ最も有望な高k材料は、金属酸化物、例えばAl23、HfO2、ZrO2及びそれらの混合物、並びに金属シリケート、例えばHfSixy、ZrSixy及びそれらの混合物である。場合によっては、窒素を、これらの金属酸化物及び金属シリケート高k材料に組み込むことができる。
半導体プロセスチャンバー、例えば化学蒸着(CVD)チャンバー、とりわけ原子層蒸着(ALD)チャンバー(高k膜の付着に用いられる)は、半導体コンポーネントの処理中又は定期的なクリーニング中(堆積した蒸着残留物を内面から除去する)に、しばしば非常に腐食性のあるガスにさらされる。処理及びクリーニングに用いられる非常に腐食性のあるガスには、ハロゲン含有薬剤、Cl2、HCl、BCl3、NF3、F2、HF及び/又はBCl3/NF3の組み合わせがある。現場(in situ)プラズマ、リモートプラズマ及び/又は熱的加熱により活性化される非常に腐食性のあるハロゲン系化学反応を用いて高kALD/CVDチャンバーをクリーニングすることは、極めて効果的であることが明らかとなった。しかしながら、BCl3又はBCl3/NF3現場プラズマは極めて攻撃的であるので、これらのハロゲン系現場プラズマは、例えば反応器表面から高k蒸着残留物を除去するだけでなく、ALD/CVD蒸着チャンバーの内部コンポーネント及び表面をエッチング及び腐食する。
以下の特許文献を、半導体コンポーネントの処理中、及び時々おこなわれるクリーニングでのハロゲンガスにさらされるALD及びCVD反応器の腐食及びエッチングに対する耐性を付与する方法の例として示す。
特許文献1及び2は、プラズマチャンバー等の半導体処理装置への耐食性コンポーネントの組み込みを開示している。アルミニウム及びアルミニウム合金から一般的に構成されている壁、電極、支持体等へのプラズマチャンバーガスの腐食作用により生じるエロージョンを防止するために、ダイヤモンドライクコーティングをこのような内面に適用する。
特許文献3及び4は、炭窒化物コーティングに基づく半導体処理装置用耐食性コンポーネントを開示している。これらのコーティングは処理装置の表面に付着させる。このような表面には、例えばセラミック、ステンレス鋼、耐火物だけでなく、アルミナ、SiC又はSi34でコーティングしたものなどがある。
特許文献5は、プラズマ反応器チャンバーの部品を含む半導体処理装置の金属、セラミック及びポリマー表面の内部コンポーネントに耐食性を付与する方法を開示している。硬質カーボン中間層を、チャンバー表面に組み込んでいる。硬質カーボン介在物には、フラーレン類、例えばC60及びC70等のフラーレン(バッキーボール又はバックミスターフラーレン類)、ダイヤモンド、炭化物、炭窒化物、ホウ化物などがある。
特許文献6は、AlF3の主結晶相を組み込んだ膜を上方に有する支持体に基づく耐食性部材を開示している。このような膜により、腐食性フッ素化合物、例えばWF6の存在下で高温に加熱されたときの、アルミニウムを含有する金属、アルミニウムを含有するセラミック等のベース部材に耐食性及びエロージョン耐性が付与される。
特許文献7及び8は、イットリウムアルミニウムペロブスカイトとイットリウムアルミニウムガーネットの混合物から形成されたプラズマ反応器チャンバーに、モノリシックセラミックワークピースを適用することを開示している。このような混合物は、フッ素処理ガスによる腐食及び磨耗を受けやすい内部チャンバーコンポーネントのエロージョンを防止する役割を果たす。
特許文献9は、浸食性及び腐食性プラズマ環境にさらされる半導体材料用コンポーネントを開示している。このようなコンポーネントは、ストロンチウム、ランタン及びジスプロシウムの酸化物、窒化物、ホウ化物、炭化物及び/又はフッ化物の少なくとも一種、又はハフニウムの窒化物、ホウ化物、炭化物又はフッ化物からなるセラミックコーティングに基づいている。
特許文献10及び11は、種々の二元金属化合物、例えば窒化アルミニウム、窒化ホウ素及び特に、窒化ホウ素/酸化イットリウム及び酸化セリウムを用いることによる、半導体処理チャンバーを保護する種々の方法を開示している。
国際公開第02/054454号パンフレット 米国特許第6537429号明細書 米国特許第6533910号明細書 国際公開第02/053794号パンフレット 国際公開第02/053797号パンフレット 欧州特許出願公開第1026281号明細書 米国特許出願公開第2003/0159657号明細書 国際公開第03/001559号パンフレット 国際公開第03/080892号パンフレット 米国特許第6613442号明細書 国際公開第2004/003962号パンフレット
本発明は、一般的に非常に腐食性な雰囲気にしばしばさらされる化学反応室、特にこのような腐食性ハロゲン系雰囲気にさらされる内面及び内部コンポーネントを備えた、半導体プロセスチャンバー、例えばALD、CVD及びプラズマエッチングチャンバーに関する。3種以上の金属元素を有する層状超格子材料、例えばストロンチウムビスマスタンタレート(SBT)を使用して、このような反応室及び半導体処理装置の内部コンポーネントの表面上に、腐食及びエロージョンに対する保護バリヤーを形成する。さらに、本発明は、このようなチャンバーの内部表面及び内部コンポーネントに耐食性を付与するための方法に関する。
本発明のプロセスには、以下のような利点がある:
半導体処理装置上に、このような装置の処理及びクリーニングに用いられる数多くの腐食性ハロゲンガスに対して耐性のある保護膜を形成することができる;
プラズマ処理に用いられるハードマスク用保護膜を形成できる;及び
微小電子機械システム(MEMS)におけるコンポーネントの表面等の移動コンポーネントの表面上に保護膜を形成できる。
本発明では、その一面によれば、反応室の内部コンポーネント、例えば半導体処理装置、微小電子機械反応器に用いられるものを、ハロゲンガスから保護、及び/又は反応種発生器を物理的及び/又は化学的損傷から保護する方法が提供される。この方法は、特に高誘電率材料を付着させるのに使用される化学蒸着(CVD)原子層付着(ALD)チャンバーを、チャンバークリーニング操作により引き起こされる損傷から保護するのに有用である。
CVD及びALDチャンバー等の半導体処理装置の内部コンポーネントの構成材料は、典型的にはシリコン(珪素)又はシリコン化合物、例えば酸化珪素、石英、ガラス、炭化珪素、窒化珪素及び/又は酸窒化珪素等;ホウ素及びホウ素化合物、例えば窒化ホウ素、炭化ホウ素、セラミック、例えばアルミナ、金属、例えばアルミニウム、チタン、鋼、炭素鋼、ステンレス鋼等及び/又は金属化合物、例えば窒化アルミニウム、窒化チタン、炭化チタン、酸化チタン等、炭素又は炭素含有化合物、例えばポリマー、例えばポリイミド及び/又はテフロン(登録商標)等を主成分としている。アルミニウムチャンバーは、非常に一般的であり、且つ種々のハロゲン系化学反応でクリーニングしたときには非常に腐食しやすい種類のチャンバーである。
層状超格子材料を内面に適用したときには、極めて安定であり、且つハロゲン系エッチング/クリーニングプロセス中の物理的スパッタリング及び化学的腐食/エッチングに対して負活性であることが分かった。これらの超格子材料のコーティング又は膜を、チャンバーの内面に適用して、ハロゲン系チャンバークリーニングプロセスにより引き起こされる損傷から、半導体処理チャンバーの内部コンポーネントを保護する。
層状超格子材料は、(1)単一の化学式を有し;及び(2)明瞭に異なる結晶構造を有する交互層状を形成する、材料として定義される。有効であるためには、超格子材料の薄膜は、3種以上の金属元素を含有しなければならず、且つ金属元素の少なくとも一つは、揮発性酸化物、ハロゲン化物又は水素化物等を形成してはならない。層状構造は、1層以上のペロブスカイト型層の部分格子及び1層以上の中間非ペロブスカイト型層の部分格子から構成されている。ペロブスカイト型層の部分格子と非ペロブスカイト型層の部分格子は、相互に依存した方法で連結されて、超格子を形成している。代表的な層状超格子材料は、層状超格子材料についての以下の一般式によりまとめられる:
Figure 2006060237
上式において、項A1、A2、...及びAjはペロブスカイト型構造におけるA部位元素を表す。A部位元素としては、例えばストロンチウム、カルシウム、バリウム、ビスマス、カドミウム及び鉛等がある。項S1、S2、....及びSkは、超格子生成元素を表す。超格子生成元素としては、例えば、ビスマス、イットリウム、スカンジウム、ランタン、アンチモン、クロム、タリウム及び原子価が+3である他の元素である。項B1、B2、...及びBlは、ペロブスカイト型構造におけるB部位元素を表す。B部位元素としては、例えばチタン、タンタル、ハフニウム、タングステン、ニオブ及びジルコニウム等である。上記式における項Qは、アニオンを表し、最も一般的なアニオン元素は酸素であるが、アニオンはフッ素、塩素、又はアニオン元素の組み合わせ、例えばオキシフッ化物及びオキシ塩化物等の組み合わせでもよい。式(1)における上付添字は、元素の原子価を示す。式(1)における下付添字は、単位格子における平均原子数を表す。下付添字w、x、y及びzは、以下の制約数を有する整数又は分数であることができる:
Figure 2006060237
層状超格子材料の最も一般的な例は、式SrBi2Ta29で表されるストロンチウムビスマスタンタレート(SBT)である。SBT超格子材料の他の組成変数は、式Sr(1-X)Bi(2+0.6667X)Ta29(式中、x=0〜0.99である)で表される。ストロンチウム系超格子材料の他の例には、ストロンチウムビスマスニオベート(SBN)Sr(1-X)Bi(2+0.6667X)Nb29(式中、x=0〜0.99である)及びストロンチウムビスマスタンタレートニオベート(SBTN)Sr(1-X)Bi(2+0.6667X)TayNb(2-y)9(式中、x=0〜0.99であり、y=0〜2である)などがある。層状超格子材料の他の例には、BaBi2Ta29、PbBi2Ta29及びBaBi2Nb29などがある。
超格子材料からなる薄膜は、多結晶形であっても、無定形であってもよい。これらは、非孔質及び緻密でなければならない。また、これらは、無定形であるか、又はそれらの粒子が十分に小さく、反応性ハロゲン種が、延びている粒界を通って拡散できない程度でなければならない。保護超格子バリヤーコーティングの厚さは、一般的に1nm〜100μm、好ましくは10nm〜10μm、最も好ましくは100nm〜1μmである。
SBT等の層状超格子材料からなる薄膜を、従来のコーティング法により反応室表面上にコーティングできる。このような方法には、液体前駆体を用いた霧化蒸着、均一水溶液の適用後に溶媒の蒸発、アニーリング、金属有機化学蒸着(MOCVD)、金属有機分解、前駆体を含有するゾルゲルの適用後に高速熱処理、化学蒸着(CVD)、原子層付着(ALD)、原子層化学蒸着(ALCVD)、スパッタリング、スパッタリング付着、スパッタコーティング及び物理蒸着(PVD)、浸漬コーティング、電子線蒸発及び凝縮、イオンビーム蒸着、熱間静水圧圧縮成形、冷間静水圧圧縮成形、圧縮成形、鋳造、コンパクティング及び焼結、プラズマ溶射、溶射、火炎溶射、はけ塗り、大気プラズマ蒸着、誘電バリヤー放電蒸着、中空陰極放電蒸着などがある。
以下、実施例により本発明の種々の実施態様を説明するが、本発明の範囲はこれらの実施例には限定されない。
例1
超格子材料を有するシリコンウエハ
まず、シリコンウエハに酸化珪素(SiO2)を厚さ約300nmにコーティング後、厚さ約500nmのSBT層をコーティングした。X線結晶回折パターンの計算結果によれば、SrBi2Ta29のものであった。X線反射率データ、並びにデータに最も適合しているモード、すなわち、予測X線反射率データと測定X線反射率データとの間が最もよく一致するのは、膜厚約504nm、密度8.250g/cm3であることが分かった。この密度は、単結晶SBTの密度8.78g/cm3よりもわずかに小さいだけであった。これは、この膜には顕著な細孔がなかったことを示している。
例2
半導体処理装置におけるリモートNF3プラズマ下流エッチングに対する超格子材料のバリヤー抵抗
この例の目的は、例1のSrBi2Ta29超格子材料が、半導体処理装置における内面及びコンポーネントに対してバリヤー、すなわち、耐食性を付与して、このような表面及びコンポーネントが、半導体処理チャンバー等の反応チャンバーの処理、又は清浄化中に使用されるハロゲンガスに暴露されたときに耐えることができるかどうかを判断することである。
例1においてSBTウエハから切断された約1インチ角の試料クーポンを、キャリアウエハ上に配置した。比較例として、むき出しのシリコンウエハから切断した1インチ角の対照クーポンを、SBTクーポンに隣接して配置した。キャリアウエハ上にクーポンを配置する前に、両方のクーポンの重量を測定した。次に、両方の試験クーポンの縁を、カプトン(Kapton)テープでシールした。クーポンの縁をシールして試験クーポンの裏面からのエッチング反応の恐れをなくして、各クーポンの上面のみがNF3反応ガスに暴露するようにした。
次に、試験ウエハを、アストロン(Astron)リモートプラズマ発生器の下流に位置する反応器に入れた。反応器チャンバーを排気後、リモートプラズマを、以下の方法で発生させた:NF3流量100sccm(標準cm3/分)、Ar流量100sccm、下流チャンバー圧力4トール及びRFパワー1kW。アストロン装置を止め、プロセスガス流を、10分間の処理後に停止した。反応器を、完全に排気した後、窒素でベントした。試験ウエハ及び対照ウエハを、反応器から取り出し、再び重量を測定した。結果を、第1表に示す。
Figure 2006060237
周知のように、リモートNF3プラズマは、シリコンをエッチング/除去するのに非常に効果的であり、このことは、第1表における対照Siクーポンにより明らかである。むきだしの対照シリコンクーポンについて、1.48mg/分の高重量損失率(エッチング速度)が観察された。これに対して、SBTコーティングを施したクーポンについては、重量損失はなかった。このことは、NF3リモートプラズマにより発生した反応種が、SBT膜に浸透せず、その下に位置するSiをエッチングしなかったことによるものである。
この例から、(1)SBT膜が、典型的なリモートNF3プラズマ下流クリーニングプロセスによりエッチング及び腐食されにくいこと、及び(2)SBT膜を、例1のプロセスにより基板上にコーティングすることができ、無孔性且つ緻密な非腐食面を備えたクーポンを得ることができることが明らかである。このデータから、超格子SBT膜からなる薄層により、NF3リモートプラズマにより発生する反応性フッ素種に対して有効な保護バリヤーを形成できると結論される。
例3
反応性イオンエッチャにおけるHClに対するバリヤー抵抗
例1と同様なSBT試料クーポンを、4インチのキャリアウエハ上に配置した。次に、キャリアウエハを、容量結合反応性イオンエッチャの下電極上に配置した。下電極を、自動マッチングネットワークを介して、13.56MHzRF発生器により作動させた。RF作動下電極の温度を、再循環チラーにより20°Cに設定した。プロセスガスを、接地上電極上のシャワーヘッドを介して反応器に供給した。
本例において、塩化水素(HCl)を、反応性ガス(エッチャント)として使用した。SBT膜の厚さを、プラズマ処理前後の両方に、反射率計により測定した。SBT膜の厚さの変化を使用して、SBTの損失があったかどうかを判断した。第2表に、2つの試験プロセス法と結果をまとめて示す。
Figure 2006060237
第2表における負のエッチング速度は、処理後に膜厚がわずかに増加したことを示している。これは、主に塩素原子が部分的にSBT超格子構造に組み込まれたことによるものと思われる。いずれにしても、SBT膜又はその下に位置するシリコンの損失はなかった。
SBT試料クーポンを、接地反応器表面上ではなく、RF作動電極上に配置したので、SBT膜が、より過酷な試験である高DCバイアス電圧により示される高エネルギーイオン衝撃及び反応種に暴露されたことも重要である。
このように、SBT膜の薄層は、現場HClプラズマにより発生されるイオン衝撃及び反応性塩素種に対して効果的な保護バリアを形成できることが明らかである。
例4
反応性イオンエッチャ中のBCl3に対するバリア耐性
SBT試料クーポン及び現場プラズマ反応器は、例3に用いたものと同様である。本例におけるプロセスガスは、三塩化ホウ素(BCl3)である。第3表に、方法と結果をまとめて示す。
Figure 2006060237
例3と同様に、膜厚が、BCl3プラズマ処理後にわずかに増加した。SBT又はその下に位置するシリコンの損失は見られなかった。
本例から、SBT膜の薄層は、現場BCl3プラズマにより発生されるイオン衝撃及び反応性塩素種に対して効果的な保護バリアを形成できることが明らかである。
例5
反応性イオンエッチャ中のCl2に対するバリア耐性
SBT試料クーポン及び現場プラズマ反応器は、例3に用いたものと同様である。本例におけるプロセスガスは、塩素(Cl2)である。第4表に、試験方法と結果とをまとめて示す。
Figure 2006060237
例3と同様に、膜厚がCl2プラズマ処理後にわずかに増加した。SBT又はその下に位置するシリコンの損失は見られなかった。
本例から、SBT膜の薄層は、現場Cl2プラズマにより発生されるイオン衝撃及び反応性塩素種に対して効果的な保護バリアを形成できることが明らかである。
例6
反応性イオンエッチャにおけるBCl3/NF3/Heに対するバリア耐性
SBT試料クーポン及び現場プラズマ反応器は、例3に用いたものと同様である。本例におけるプロセスガスは、BCl3/NF3/Heからなる混合物である。第5表に、方法と結果をまとめて示す。
Figure 2006060237
例3と同様に、薄膜厚が、処理後にわずかに増加した。SBT又はその下に位置するシリコンの損失は見られなかった。
本例から、SBT膜の薄層は、現場BCl3/NF3/Heプラズマにより発生されるイオン衝撃及び反応性塩素及びフッ素種に対して効果的な保護バリアを形成できることが明らかである。
例7
熱エッチ反応器中のClF3に対するバリア耐性
SBT試料クーポンは、例3のものと同様のものを用いた。このSBT試料クーポンを、4インチのキャリアウエハとともに、熱エッチ反応器内の加熱したペデスタル上に配置した。試料を配置した後、反応器を基準圧力まで排気し、ペデスタル抵抗ヒーターを入れた。ペデスタルが温度設定点に到達したら、プロセスガスである三フッ化塩素(ClF3)を反応器に導入して、圧力を100トールとした。20分間暴露させた後、プロセスClF3流量を停止し、反応器チャンバーを排気した。次に、チャンバーを、窒素でベントし、試験試料を回収した。
得られた結果から明らかなように、基板温度500°Cでは、ClF3熱処理に付した後には、SBTのエッチングは見られなかった。
本例から、SBT膜の薄層がClF3熱処理に対して効果的な保護バリヤーを形成できることが明らかである。
要するに、例2〜例7から、ALD及びCVDチャンバー等の半導体プロセスチャンバーを含む化学反応室で用いられる種類の超格子コーティングを施した表面が、攻撃的なハロゲン化学反応に過酷な処理条件下で暴露されても、耐食性を示すことが明らかである。これらのハロゲン化学反応と条件は、半導体工業で用いられる広範囲のウエハエッチング及びチャンバークリーニングプロセスの代表である。例えば、このようなハロゲン化学反応は、高k材料(例えば、Al23、HfO2、HfSiO4等又はそれらの混合物)の付着と関連してALD及びCVD反応室等の反応室の内面上に発生した付着物を除去するのに用いられる。
得られた結果はさらに、半導体処理装置の内部コンポーネントの表面上に設けたSBT等の超格子材料からなる薄膜は、半導体処理中の腐食又は損傷からコンポーネントを効果的に保護できることも示している。

Claims (21)

  1. 内面に層状超格子材料からなる耐食性膜をコーティングしてなる化学反応室。
  2. 前記層状超格子材料が、下式:
    Figure 2006060237
    (式中、項A1、A2、...及びAjはペロブスカイト型構造におけるA部位元素を表し、項S1、S2、...及びSkは超格子生成元素を表し、項B1、B2、...及びBlはペロブスカイト型構造におけるB部位元素を表し、項Qはアニオンを表し、上付添字は元素の原子価を示し且つ単位格子における平均原子数を表し、下付添字w、x、y及びzは下式:
    Figure 2006060237
    で表される制約数を有する整数又は分数であることができる)
    で表されるものである、請求項1に記載の化学反応室。
  3. 前記A部位元素は、ストロンチウム、カルシウム、バリウム、ビスマス、カドミウム及び鉛からなる群から選択される、請求項2に記載の化学反応室。
  4. 前記S部位超格子生成元素は、ビスマス、イットリウム、スカンジウム、ランタン、アンチモン、クロム、タリウム及び原子価が+3である元素からなる群から選択される、請求項3に記載の化学反応室。
  5. 前記B部位元素は、チタン、タンタル、ハフニウム、タングステン、ニオブ及びジルコニウムからなる群から選択される、請求項4に記載の化学反応室。
  6. 前記アニオン元素は、酸素、フッ素、塩素又はこれらのアニオン元素の組み合わせからなる群から選択される、請求項5に記載の化学反応室。
  7. 内面に耐食性コーティングを施した半導体プロセスチャンバーであって、ストロンチウムビスマスタンタライト、ストロンチウムビスマスニオベート、ストロンチウムビスマスタンタレートニオベート、BaBi2Ta29、PbBi2Ta29及びBaBi2Nb29からなる群から選択された層状ストロンチウム系超格子材料からなる耐食性コーティングを含むことを特徴とする、半導体プロセスチャンバー。
  8. 前記超格子材料からなるコーティングが、式Sr(1-X)Bi(2+0.6667X)Ta29(式中、x=0〜0.99である)で表される、請求項7に記載の半導体プロセスチャンバー。
  9. 前記ストロンチウム系超格子材料が、式(SBN)Sr(1-X)Bi(2+0.6667X)Nb29(式中、x=0〜0.99である)で表されるストロンチウムビスマスニオベートである、請求項7に記載の半導体プロセスチャンバー。
  10. 前記ストロンチウム系超格子材料が、式(SBTN)Sr(1-X)Bi(2+0.6667X)TayNb(2-y)9(式中、x=0〜0.99であり、y=0〜2である)で表されるストロンチウムビスマスタンタレートニオベートである、請求項7に記載の半導体プロセスチャンバー。
  11. 前記コーティング材料は、式SrBi2Ta29で表される、請求項7に記載の半導体プロセスチャンバー。
  12. ハロゲン含有ガスへの暴露により半導体プロセスチャンバーの内面へ耐食性を付与する方法であって、
    Cl2、HCl、BCl3、NF3、F2及びHFからなる群から選択された前記ハロゲン含有ガスに暴露される前に、前記内面上に層状超格子材料からなる耐食性コーティングを適用する工程を含むことを特徴とする、方法。
  13. 前記半導体プロセスチャンバーの前記内面に、厚さが1nm〜100μmである層状超格子材料からなる膜をコーティングする、請求項12に記載の方法。
  14. 前記厚さが、10nm〜10μmである、請求項13に記載の方法。
  15. 前記半導体プロセスチャンバーの前記内面が、酸化珪素、石英、炭化珪素、窒化珪素、ステンレス鋼、チタン及びアルミニウムからなる群から選択された材料から構成されている、請求項13に記載の方法。
  16. 前記超格子材料からなるコーティングが、ストロンチウムビスマスタンタライト、ストロンチウムビスマスニオベート及びストロンチウムビスマスタンタレートニオベートからなる群から選択されたストロンチウム系材料である、請求項13に記載の方法。
  17. 前記超格子材料からなるコーティングが、式Sr(1-X)Bi(2+0.6667X)Ta29(式中、x=0〜0.99である)で表される、請求項15に記載の方法。
  18. 前記ストロンチウム系超格子材料が、式Sr(1-X)Bi(2+0.6667X)Nb29(式中、x=0〜0.99である)で表されるストロンチウムビスマスニオベートである、請求項15に記載の方法。
  19. 前記ストロンチウム系超格子材料が、式(SBTN)Sr(1-X)Bi(2+0.6667X)TayNb(2-y)9(式中、x=0〜0.99であり、y=0〜2である)で表されるストロンチウムビスマスタンタレートニオベートである、請求項15に記載の方法。
  20. プラズマ処理に使用するのに適した耐食性ハードマスクを加工する方法であって、前記ハードマスクのパターンマスク上に層状超格子材料をコーティングすることを含む、方法。
  21. ハロゲン含有ガスに暴露される微小電子機械システムにおいてコンポーネント表面を保護する方法であって、前記ハロゲン含有ガスに暴露される前に、前記コンポーネント表面に層状超格子材料をコーティングすることを含む、方法。
JP2005241272A 2004-08-23 2005-08-23 化学反応室及び半導体プロセスチャンバー Pending JP2006060237A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/924,321 US7119032B2 (en) 2004-08-23 2004-08-23 Method to protect internal components of semiconductor processing equipment using layered superlattice materials

Publications (1)

Publication Number Publication Date
JP2006060237A true JP2006060237A (ja) 2006-03-02

Family

ID=35517426

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005241272A Pending JP2006060237A (ja) 2004-08-23 2005-08-23 化学反応室及び半導体プロセスチャンバー

Country Status (6)

Country Link
US (1) US7119032B2 (ja)
EP (1) EP1637626B1 (ja)
JP (1) JP2006060237A (ja)
KR (1) KR100699763B1 (ja)
AT (1) ATE417945T1 (ja)
DE (1) DE602005011720D1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017199907A (ja) * 2016-04-27 2017-11-02 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 半導体処理チャンバコンポーネント用の保護コーティングの原子層堆積

Families Citing this family (333)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080264564A1 (en) * 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US20080213496A1 (en) * 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
JP4272486B2 (ja) * 2003-08-29 2009-06-03 東京エレクトロン株式会社 薄膜形成装置及び薄膜形成装置の洗浄方法
TWI365919B (en) * 2004-12-28 2012-06-11 Tokyo Electron Ltd Film formation apparatus and method of using the same
EP1780779A3 (en) * 2005-10-28 2008-06-11 Interuniversitair Microelektronica Centrum ( Imec) A plasma for patterning advanced gate stacks
US20070190670A1 (en) * 2006-02-10 2007-08-16 Forest Carl A Method of making ferroelectric and dielectric layered superlattice materials and memories utilizing same
US7514125B2 (en) * 2006-06-23 2009-04-07 Applied Materials, Inc. Methods to improve the in-film defectivity of PECVD amorphous carbon films
US7718559B2 (en) * 2007-04-20 2010-05-18 Applied Materials, Inc. Erosion resistance enhanced quartz used in plasma etch chamber
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
US7696117B2 (en) * 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US8367227B2 (en) * 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
US8622021B2 (en) * 2007-10-31 2014-01-07 Lam Research Corporation High lifetime consumable silicon nitride-silicon dioxide plasma processing components
WO2009105526A2 (en) * 2008-02-21 2009-08-27 Linde North America, Inc. Rapid supply of fluorine source gas to remote plasma for chamber cleaning
JP2010084230A (ja) * 2008-09-04 2010-04-15 Tokyo Electron Ltd 成膜装置、基板処理装置及び回転テーブル
US8206829B2 (en) * 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101630234B1 (ko) * 2009-11-17 2016-06-15 주성엔지니어링(주) 공정챔버의 세정방법
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20130064973A1 (en) * 2011-09-09 2013-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Chamber Conditioning Method
TW201334035A (zh) * 2011-10-06 2013-08-16 Greene Tweed Of Delaware 抗電漿蝕刻膜,承載抗電漿蝕刻膜之物品及相關的方法
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) * 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) * 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
WO2015061616A1 (en) 2013-10-24 2015-04-30 Surmet Corporation High purity polycrystalline aluminum oxynitride bodies
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
KR101676099B1 (ko) * 2014-09-24 2016-11-15 오씨아이 주식회사 Nf3 가스 분리농축 방법, 장치 및 시스템
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10388492B2 (en) * 2016-04-14 2019-08-20 Fm Industries, Inc. Coated semiconductor processing members having chlorine and fluorine plasma erosion resistance and complex oxide coatings therefor
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10186400B2 (en) 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US20190304756A1 (en) * 2018-04-03 2019-10-03 Applied Materials, Inc. Semiconductor chamber coatings and processes
US11639547B2 (en) * 2018-05-03 2023-05-02 Applied Materials, Inc. Halogen resistant coatings and methods of making and using thereof
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US10704141B2 (en) * 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11401599B2 (en) * 2018-06-18 2022-08-02 Applied Materials, Inc. Erosion resistant metal silicate coatings
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
TW202142733A (zh) 2020-01-06 2021-11-16 荷蘭商Asm Ip私人控股有限公司 反應器系統、抬升銷、及處理方法
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20220152325A (ko) * 2020-03-24 2022-11-15 에펜코 오우 플라즈마 연소를 안정화하고 보조하기 위한 나노크기의 세라믹 플라즈마 촉매
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
US10973908B1 (en) 2020-05-14 2021-04-13 David Gordon Bermudes Expression of SARS-CoV-2 spike protein receptor binding domain in attenuated salmonella as a vaccine
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6056994A (en) 1988-12-27 2000-05-02 Symetrix Corporation Liquid deposition methods of fabricating layered superlattice materials
US5688565A (en) 1988-12-27 1997-11-18 Symetrix Corporation Misted deposition method of fabricating layered superlattice materials
US5201990A (en) 1991-05-23 1993-04-13 Applied Materials, Inc. Process for treating aluminum surfaces in a vacuum apparatus
US5873977A (en) 1994-09-02 1999-02-23 Sharp Kabushiki Kaisha Dry etching of layer structure oxides
US6095084A (en) 1996-02-02 2000-08-01 Applied Materials, Inc. High density plasma process chamber
RU2100067C1 (ru) 1996-05-06 1997-12-27 Институт катализа им.Г.К.Борескова СО РАН Катализатор окисления оксида углерода и углеводородов (варианты)
US5831277A (en) * 1997-03-19 1998-11-03 Northwestern University III-nitride superlattice structures
US6891138B2 (en) 1997-04-04 2005-05-10 Robert C. Dalton Electromagnetic susceptors with coatings for artificial dielectric systems and devices
US6379575B1 (en) 1997-10-21 2002-04-30 Applied Materials, Inc. Treatment of etching chambers using activated cleaning gas
US6307221B1 (en) * 1998-11-18 2001-10-23 The Whitaker Corporation InxGa1-xP etch stop layer for double recess pseudomorphic high electron mobility transistor structures
JP4054148B2 (ja) 1999-02-01 2008-02-27 日本碍子株式会社 耐食性部材の製造方法及び耐食性部材
EP1191582A4 (en) 1999-03-09 2004-09-22 Tokyo Electron Ltd PRODUCTION METHOD FOR A SEMICONDUCTOR ARRANGEMENT
WO2001040540A1 (en) * 1999-12-02 2001-06-07 Tegal Corporation Improved reactor with heated and textured electrodes and surfaces
KR20010062209A (ko) 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
US7300559B2 (en) * 2000-04-10 2007-11-27 G & H Technologies Llc Filtered cathodic arc deposition method and apparatus
US20020195056A1 (en) * 2000-05-12 2002-12-26 Gurtej Sandhu Versatile atomic layer deposition apparatus
US7163197B2 (en) * 2000-09-26 2007-01-16 Shimadzu Corporation Liquid substance supply device for vaporizing system, vaporizer, and vaporization performance appraisal method
US6805952B2 (en) 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US6533910B2 (en) 2000-12-29 2003-03-18 Lam Research Corporation Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof
US6537429B2 (en) 2000-12-29 2003-03-25 Lam Research Corporation Diamond coatings on reactor wall and method of manufacturing thereof
US6790242B2 (en) 2000-12-29 2004-09-14 Lam Research Corporation Fullerene coated component of semiconductor processing equipment and method of manufacturing thereof
US6613442B2 (en) 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
US6830622B2 (en) 2001-03-30 2004-12-14 Lam Research Corporation Cerium oxide containing ceramic components and coatings in semiconductor processing equipment and methods of manufacture thereof
US7670688B2 (en) 2001-06-25 2010-03-02 Applied Materials, Inc. Erosion-resistant components for plasma process chambers
TW508648B (en) 2001-12-11 2002-11-01 United Microelectronics Corp Method of reducing the chamber particle level
AU2003219660A1 (en) * 2002-02-14 2003-09-04 Iowa State University Research Foundation, Inc. Novel friction and wear-resistant coatings for tools, dies and microelectromechanical systems
US6846754B2 (en) * 2002-02-22 2005-01-25 Showa Denko Kabushiki Kaisha Boron phosphide-based semiconductor layer and vapor phase growth method thereof
US6789498B2 (en) 2002-02-27 2004-09-14 Applied Materials, Inc. Elements having erosion resistance
US6780787B2 (en) 2002-03-21 2004-08-24 Lam Research Corporation Low contamination components for semiconductor processing apparatus and methods for making components
US7311797B2 (en) 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US7238429B2 (en) * 2003-09-23 2007-07-03 Iowa State University Research Foundation, Inc. Ultra-hard low friction coating based on A1MgB14 for reduced wear of MEMS and other tribological components and system

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017199907A (ja) * 2016-04-27 2017-11-02 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 半導体処理チャンバコンポーネント用の保護コーティングの原子層堆積
JP7125251B2 (ja) 2016-04-27 2022-08-24 アプライド マテリアルズ インコーポレイテッド 半導体処理チャンバコンポーネント用の保護コーティングの原子層堆積

Also Published As

Publication number Publication date
EP1637626A2 (en) 2006-03-22
KR20060053206A (ko) 2006-05-19
ATE417945T1 (de) 2009-01-15
EP1637626A3 (en) 2006-07-26
DE602005011720D1 (de) 2009-01-29
US20060040508A1 (en) 2006-02-23
US7119032B2 (en) 2006-10-10
EP1637626B1 (en) 2008-12-17
KR100699763B1 (ko) 2007-03-27

Similar Documents

Publication Publication Date Title
KR100699763B1 (ko) 층상 초격자 물질을 사용한 반도체 공정 장비의 내부부품의 보호 방법
JP6259844B2 (ja) ハロゲン含有プラズマに露出された表面の浸食速度を減じる装置及び方法
US11373882B2 (en) Coated article and semiconductor chamber apparatus formed from yttrium oxide and zirconium oxide
US9051219B2 (en) Semiconductor processing apparatus comprising a solid solution ceramic formed from yttrium oxide, zirconium oxide, and aluminum oxide
US7696117B2 (en) Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US10622194B2 (en) Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
EP1382716A2 (en) Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
JP2007516921A (ja) 半導体材料処理装置におけるイットリアでコーティングされたセラミック部品及びその部品を製造する方法
JP2005159364A (ja) 蒸着チェンバーから誘電率が大きな材料を除去する方法
KR100786609B1 (ko) 기구 부품의 반응성 기체 청소 방법 및 공정
US20230286867A1 (en) Erosion resistant metal oxide coatings deposited by atomic layer deposition
TW201920742A (zh) 用於高溫加熱器的原子層沉積塗層
JP2006173301A (ja) 非シリコン系膜の成膜装置のクリーニング方法

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080819

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080902

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20090303